Raspbian Package Auto-Building

Build log for yosys (0.6-5) on armhf

yosys0.6-5armhf → 2016-05-09 17:16:50

sbuild (Debian sbuild) 0.66.0 (04 Oct 2015) on bm-wb-02

+==============================================================================+
| yosys 0.6-5 (armhf)                                        09 May 2016 17:06 |
+==============================================================================+

Package: yosys
Version: 0.6-5
Source Version: 0.6-5
Distribution: stretch-staging
Machine Architecture: armhf
Host Architecture: armhf
Build Architecture: armhf

I: NOTICE: Log filtering will replace 'build/yosys-lnUUMA/yosys-0.6' with '<<PKGBUILDDIR>>'
I: NOTICE: Log filtering will replace 'build/yosys-lnUUMA' with '<<BUILDDIR>>'
I: NOTICE: Log filtering will replace 'var/lib/schroot/mount/stretch-staging-armhf-sbuild-ad218cb4-7765-41a5-bfc4-1312f07e9734' with '<<CHROOT>>'

+------------------------------------------------------------------------------+
| Update chroot                                                                |
+------------------------------------------------------------------------------+

Get:1 http://172.17.0.1/private stretch-staging InRelease [11.3 kB]
Get:2 http://172.17.0.1/private stretch-staging/main Sources [8955 kB]
Get:3 http://172.17.0.1/private stretch-staging/main armhf Packages [11.0 MB]
Fetched 20.0 MB in 22s (881 kB/s)
Reading package lists...
W: No sandbox user '_apt' on the system, can not drop privileges

+------------------------------------------------------------------------------+
| Fetch source files                                                           |
+------------------------------------------------------------------------------+


Check APT
---------

Checking available source versions...

Download source files with APT
------------------------------

Reading package lists...
NOTICE: 'yosys' packaging is maintained in the 'Git' version control system at:
https://anonscm.debian.org/git/debian-science/packages/yosys.git
Please use:
git clone https://anonscm.debian.org/git/debian-science/packages/yosys.git
to retrieve the latest (possibly unreleased) updates to the package.
Need to get 900 kB of source archives.
Get:1 http://172.17.0.1/private stretch-staging/main yosys 0.6-5 (dsc) [2436 B]
Get:2 http://172.17.0.1/private stretch-staging/main yosys 0.6-5 (tar) [885 kB]
Get:3 http://172.17.0.1/private stretch-staging/main yosys 0.6-5 (diff) [13.0 kB]
Fetched 900 kB in 0s (6068 kB/s)
Download complete and in download only mode

Check architectures
-------------------


Check dependencies
------------------

Merged Build-Depends: build-essential, fakeroot
Filtered Build-Depends: build-essential, fakeroot
dpkg-deb: building package 'sbuild-build-depends-core-dummy' in '/<<BUILDDIR>>/resolver-ucYPKb/apt_archive/sbuild-build-depends-core-dummy.deb'.
OK
Get:1 file:/<<BUILDDIR>>/resolver-ucYPKb/apt_archive ./ InRelease
Ign:1 file:/<<BUILDDIR>>/resolver-ucYPKb/apt_archive ./ InRelease
Get:2 file:/<<BUILDDIR>>/resolver-ucYPKb/apt_archive ./ Release [2119 B]
Get:2 file:/<<BUILDDIR>>/resolver-ucYPKb/apt_archive ./ Release [2119 B]
Get:3 file:/<<BUILDDIR>>/resolver-ucYPKb/apt_archive ./ Release.gpg [299 B]
Get:3 file:/<<BUILDDIR>>/resolver-ucYPKb/apt_archive ./ Release.gpg [299 B]
Get:4 file:/<<BUILDDIR>>/resolver-ucYPKb/apt_archive ./ Sources [194 B]
Get:5 file:/<<BUILDDIR>>/resolver-ucYPKb/apt_archive ./ Packages [507 B]
Reading package lists...
W: No sandbox user '_apt' on the system, can not drop privileges
W: file:///<<BUILDDIR>>/resolver-ucYPKb/apt_archive/./Release.gpg: Signature by key 3493EC2B8E6DC280C121C60435506D9A48F77B2E uses weak digest algorithm (SHA1)
Reading package lists...

+------------------------------------------------------------------------------+
| Install core build dependencies (apt-based resolver)                         |
+------------------------------------------------------------------------------+

Installing build dependencies
Reading package lists...
Building dependency tree...
Reading state information...
The following NEW packages will be installed:
  sbuild-build-depends-core-dummy
0 upgraded, 1 newly installed, 0 to remove and 3 not upgraded.
Need to get 0 B/762 B of archives.
After this operation, 0 B of additional disk space will be used.
Get:1 file:/<<BUILDDIR>>/resolver-ucYPKb/apt_archive ./ sbuild-build-depends-core-dummy 0.invalid.0 [762 B]
debconf: delaying package configuration, since apt-utils is not installed
Selecting previously unselected package sbuild-build-depends-core-dummy.
(Reading database ... 
(Reading database ... 5%
(Reading database ... 10%
(Reading database ... 15%
(Reading database ... 20%
(Reading database ... 25%
(Reading database ... 30%
(Reading database ... 35%
(Reading database ... 40%
(Reading database ... 45%
(Reading database ... 50%
(Reading database ... 55%
(Reading database ... 60%
(Reading database ... 65%
(Reading database ... 70%
(Reading database ... 75%
(Reading database ... 80%
(Reading database ... 85%
(Reading database ... 90%
(Reading database ... 95%
(Reading database ... 100%
(Reading database ... 13590 files and directories currently installed.)
Preparing to unpack .../sbuild-build-depends-core-dummy.deb ...
Unpacking sbuild-build-depends-core-dummy (0.invalid.0) ...
Setting up sbuild-build-depends-core-dummy (0.invalid.0) ...
W: No sandbox user '_apt' on the system, can not drop privileges
Merged Build-Depends: debhelper (>= 9), tcl-dev, libreadline-dev, bison, flex, gawk, libffi-dev, pkg-config, txt2man, python3
Filtered Build-Depends: debhelper (>= 9), tcl-dev, libreadline-dev, bison, flex, gawk, libffi-dev, pkg-config, txt2man, python3
dpkg-deb: building package 'sbuild-build-depends-yosys-dummy' in '/<<BUILDDIR>>/resolver-6YYEM6/apt_archive/sbuild-build-depends-yosys-dummy.deb'.
OK
Get:1 file:/<<BUILDDIR>>/resolver-6YYEM6/apt_archive ./ InRelease
Ign:1 file:/<<BUILDDIR>>/resolver-6YYEM6/apt_archive ./ InRelease
Get:2 file:/<<BUILDDIR>>/resolver-6YYEM6/apt_archive ./ Release [2119 B]
Get:2 file:/<<BUILDDIR>>/resolver-6YYEM6/apt_archive ./ Release [2119 B]
Get:3 file:/<<BUILDDIR>>/resolver-6YYEM6/apt_archive ./ Release.gpg [299 B]
Get:3 file:/<<BUILDDIR>>/resolver-6YYEM6/apt_archive ./ Release.gpg [299 B]
Get:4 file:/<<BUILDDIR>>/resolver-6YYEM6/apt_archive ./ Sources [242 B]
Get:5 file:/<<BUILDDIR>>/resolver-6YYEM6/apt_archive ./ Packages [560 B]
Reading package lists...
W: No sandbox user '_apt' on the system, can not drop privileges
W: file:///<<BUILDDIR>>/resolver-6YYEM6/apt_archive/./Release.gpg: Signature by key 3493EC2B8E6DC280C121C60435506D9A48F77B2E uses weak digest algorithm (SHA1)
Reading package lists...

+------------------------------------------------------------------------------+
| Install yosys build dependencies (apt-based resolver)                        |
+------------------------------------------------------------------------------+

Installing build dependencies
Reading package lists...
Building dependency tree...
Reading state information...
The following additional packages will be installed:
  autoconf automake autopoint autotools-dev bison bsdmainutils debhelper
  dh-autoreconf dh-python dh-strip-nondeterminism file flex gawk gettext
  gettext-base groff-base intltool-debian libarchive-zip-perl libbison-dev
  libbsd0 libcroco3 libexpat1 libffi-dev libffi6
  libfile-stripnondeterminism-perl libfl-dev libglib2.0-0 libicu55 libmagic1
  libmpdec2 libpipeline1 libpython3-stdlib libpython3.5-minimal
  libpython3.5-stdlib libreadline-dev libreadline6-dev libsigsegv2
  libsqlite3-0 libssl1.0.2 libtcl8.6 libtinfo-dev libtool libunistring0
  libxml2 m4 man-db mime-support pkg-config po-debconf python3 python3-minimal
  python3.5 python3.5-minimal tcl tcl-dev tcl8.6 tcl8.6-dev txt2man zlib1g-dev
Suggested packages:
  autoconf-archive gnu-standards autoconf-doc bison-doc wamerican | wordlist
  whois vacation dh-make gawk-doc gettext-doc libasprintf-dev libgettextpo-dev
  groff readline-doc libtool-doc gfortran | fortran95-compiler gcj-jdk less
  www-browser libmail-box-perl python3-doc python3-tk python3-venv
  python3.5-venv python3.5-doc binfmt-support tcl-doc tcl-tclreadline
  tcl8.6-doc
Recommended packages:
  curl | wget | lynx-cur libglib2.0-data shared-mime-info xdg-user-dirs
  libltdl-dev xml-core libmail-sendmail-perl
The following NEW packages will be installed:
  autoconf automake autopoint autotools-dev bison bsdmainutils debhelper
  dh-autoreconf dh-python dh-strip-nondeterminism file flex gawk gettext
  gettext-base groff-base intltool-debian libarchive-zip-perl libbison-dev
  libbsd0 libcroco3 libexpat1 libffi-dev libffi6
  libfile-stripnondeterminism-perl libfl-dev libglib2.0-0 libicu55 libmagic1
  libmpdec2 libpipeline1 libpython3-stdlib libpython3.5-minimal
  libpython3.5-stdlib libreadline-dev libreadline6-dev libsigsegv2
  libsqlite3-0 libssl1.0.2 libtcl8.6 libtinfo-dev libtool libunistring0
  libxml2 m4 man-db mime-support pkg-config po-debconf python3 python3-minimal
  python3.5 python3.5-minimal sbuild-build-depends-yosys-dummy tcl tcl-dev
  tcl8.6 tcl8.6-dev txt2man zlib1g-dev
0 upgraded, 60 newly installed, 0 to remove and 3 not upgraded.
Need to get 29.1 MB/29.1 MB of archives.
After this operation, 105 MB of additional disk space will be used.
Get:1 file:/<<BUILDDIR>>/resolver-6YYEM6/apt_archive ./ sbuild-build-depends-yosys-dummy 0.invalid.0 [816 B]
Get:2 http://172.17.0.1/private stretch-staging/main armhf groff-base armhf 1.22.3-7 [1083 kB]
Get:3 http://172.17.0.1/private stretch-staging/main armhf libbsd0 armhf 0.8.3-1 [89.0 kB]
Get:4 http://172.17.0.1/private stretch-staging/main armhf bsdmainutils armhf 9.0.10 [177 kB]
Get:5 http://172.17.0.1/private stretch-staging/main armhf libpipeline1 armhf 1.4.1-2 [23.7 kB]
Get:6 http://172.17.0.1/private stretch-staging/main armhf man-db armhf 2.7.5-1 [975 kB]
Get:7 http://172.17.0.1/private stretch-staging/main armhf libsigsegv2 armhf 2.10-5 [28.4 kB]
Get:8 http://172.17.0.1/private stretch-staging/main armhf m4 armhf 1.4.17-5 [239 kB]
Get:9 http://172.17.0.1/private stretch-staging/main armhf libfl-dev armhf 2.6.0-11 [84.3 kB]
Get:10 http://172.17.0.1/private stretch-staging/main armhf flex armhf 2.6.0-11 [404 kB]
Get:11 http://172.17.0.1/private stretch-staging/main armhf gawk armhf 1:4.1.3+dfsg-0.1 [509 kB]
Get:12 http://172.17.0.1/private stretch-staging/main armhf libunistring0 armhf 0.9.3-5.2 [253 kB]
Get:13 http://172.17.0.1/private stretch-staging/main armhf libssl1.0.2 armhf 1.0.2h-1 [889 kB]
Get:14 http://172.17.0.1/private stretch-staging/main armhf libpython3.5-minimal armhf 3.5.1-11 [554 kB]
Get:15 http://172.17.0.1/private stretch-staging/main armhf libexpat1 armhf 2.1.1-1 [60.4 kB]
Get:16 http://172.17.0.1/private stretch-staging/main armhf python3.5-minimal armhf 3.5.1-11 [1417 kB]
Get:17 http://172.17.0.1/private stretch-staging/main armhf python3-minimal armhf 3.5.1-3 [35.2 kB]
Get:18 http://172.17.0.1/private stretch-staging/main armhf mime-support all 3.60 [36.7 kB]
Get:19 http://172.17.0.1/private stretch-staging/main armhf libffi6 armhf 3.2.1-4 [18.5 kB]
Get:20 http://172.17.0.1/private stretch-staging/main armhf libmpdec2 armhf 2.4.2-1 [67.5 kB]
Get:21 http://172.17.0.1/private stretch-staging/main armhf libsqlite3-0 armhf 3.12.2-1 [464 kB]
Get:22 http://172.17.0.1/private stretch-staging/main armhf libpython3.5-stdlib armhf 3.5.1-11 [2064 kB]
Get:23 http://172.17.0.1/private stretch-staging/main armhf python3.5 armhf 3.5.1-11 [199 kB]
Get:24 http://172.17.0.1/private stretch-staging/main armhf libpython3-stdlib armhf 3.5.1-3 [18.6 kB]
Get:25 http://172.17.0.1/private stretch-staging/main armhf dh-python all 2.20151103 [76.9 kB]
Get:26 http://172.17.0.1/private stretch-staging/main armhf python3 armhf 3.5.1-3 [21.6 kB]
Get:27 http://172.17.0.1/private stretch-staging/main armhf libmagic1 armhf 1:5.25-2 [250 kB]
Get:28 http://172.17.0.1/private stretch-staging/main armhf file armhf 1:5.25-2 [61.2 kB]
Get:29 http://172.17.0.1/private stretch-staging/main armhf gettext-base armhf 0.19.7-2 [111 kB]
Get:30 http://172.17.0.1/private stretch-staging/main armhf libicu55 armhf 55.1-7 [7380 kB]
Get:31 http://172.17.0.1/private stretch-staging/main armhf libxml2 armhf 2.9.3+dfsg1-1 [800 kB]
Get:32 http://172.17.0.1/private stretch-staging/main armhf autoconf all 2.69-10 [338 kB]
Get:33 http://172.17.0.1/private stretch-staging/main armhf autotools-dev all 20160430.1 [72.6 kB]
Get:34 http://172.17.0.1/private stretch-staging/main armhf automake all 1:1.15-4 [735 kB]
Get:35 http://172.17.0.1/private stretch-staging/main armhf autopoint all 0.19.7-2 [424 kB]
Get:36 http://172.17.0.1/private stretch-staging/main armhf libbison-dev armhf 2:3.0.4.dfsg-1 [433 kB]
Get:37 http://172.17.0.1/private stretch-staging/main armhf bison armhf 2:3.0.4.dfsg-1 [744 kB]
Get:38 http://172.17.0.1/private stretch-staging/main armhf libglib2.0-0 armhf 2.48.0-1 [2540 kB]
Get:39 http://172.17.0.1/private stretch-staging/main armhf libcroco3 armhf 0.6.11-1 [131 kB]
Get:40 http://172.17.0.1/private stretch-staging/main armhf gettext armhf 0.19.7-2 [1400 kB]
Get:41 http://172.17.0.1/private stretch-staging/main armhf intltool-debian all 0.35.0+20060710.4 [26.3 kB]
Get:42 http://172.17.0.1/private stretch-staging/main armhf po-debconf all 1.0.19 [249 kB]
Get:43 http://172.17.0.1/private stretch-staging/main armhf libarchive-zip-perl all 1.57-1 [95.1 kB]
Get:44 http://172.17.0.1/private stretch-staging/main armhf libfile-stripnondeterminism-perl all 0.016-1 [11.9 kB]
Get:45 http://172.17.0.1/private stretch-staging/main armhf dh-strip-nondeterminism all 0.016-1 [6998 B]
Get:46 http://172.17.0.1/private stretch-staging/main armhf libtool all 2.4.6-0.1 [200 kB]
Get:47 http://172.17.0.1/private stretch-staging/main armhf dh-autoreconf all 12 [15.8 kB]
Get:48 http://172.17.0.1/private stretch-staging/main armhf debhelper all 9.20160403 [800 kB]
Get:49 http://172.17.0.1/private stretch-staging/main armhf libffi-dev armhf 3.2.1-4 [159 kB]
Get:50 http://172.17.0.1/private stretch-staging/main armhf libtinfo-dev armhf 6.0+20160319-1 [65.7 kB]
Get:51 http://172.17.0.1/private stretch-staging/main armhf libreadline6-dev armhf 6.3-8+b3 [105 kB]
Get:52 http://172.17.0.1/private stretch-staging/main armhf libreadline-dev armhf 6.3-8+b3 [958 B]
Get:53 http://172.17.0.1/private stretch-staging/main armhf libtcl8.6 armhf 8.6.5+dfsg-2 [862 kB]
Get:54 http://172.17.0.1/private stretch-staging/main armhf pkg-config armhf 0.29-4 [59.2 kB]
Get:55 http://172.17.0.1/private stretch-staging/main armhf tcl8.6 armhf 8.6.5+dfsg-2 [122 kB]
Get:56 http://172.17.0.1/private stretch-staging/main armhf tcl armhf 8.6.0+9 [5502 B]
Get:57 http://172.17.0.1/private stretch-staging/main armhf zlib1g-dev armhf 1:1.2.8.dfsg-2+b1 [197 kB]
Get:58 http://172.17.0.1/private stretch-staging/main armhf tcl8.6-dev armhf 8.6.5+dfsg-2 [887 kB]
Get:59 http://172.17.0.1/private stretch-staging/main armhf tcl-dev armhf 8.6.0+9 [7986 B]
Get:60 http://172.17.0.1/private stretch-staging/main armhf txt2man all 1.5.6-3 [27.5 kB]
debconf: delaying package configuration, since apt-utils is not installed
Fetched 29.1 MB in 2s (10.2 MB/s)
Selecting previously unselected package groff-base.
(Reading database ... 
(Reading database ... 5%
(Reading database ... 10%
(Reading database ... 15%
(Reading database ... 20%
(Reading database ... 25%
(Reading database ... 30%
(Reading database ... 35%
(Reading database ... 40%
(Reading database ... 45%
(Reading database ... 50%
(Reading database ... 55%
(Reading database ... 60%
(Reading database ... 65%
(Reading database ... 70%
(Reading database ... 75%
(Reading database ... 80%
(Reading database ... 85%
(Reading database ... 90%
(Reading database ... 95%
(Reading database ... 100%
(Reading database ... 13590 files and directories currently installed.)
Preparing to unpack .../groff-base_1.22.3-7_armhf.deb ...
Unpacking groff-base (1.22.3-7) ...
Selecting previously unselected package libbsd0:armhf.
Preparing to unpack .../libbsd0_0.8.3-1_armhf.deb ...
Unpacking libbsd0:armhf (0.8.3-1) ...
Selecting previously unselected package bsdmainutils.
Preparing to unpack .../bsdmainutils_9.0.10_armhf.deb ...
Unpacking bsdmainutils (9.0.10) ...
Selecting previously unselected package libpipeline1:armhf.
Preparing to unpack .../libpipeline1_1.4.1-2_armhf.deb ...
Unpacking libpipeline1:armhf (1.4.1-2) ...
Selecting previously unselected package man-db.
Preparing to unpack .../man-db_2.7.5-1_armhf.deb ...
Unpacking man-db (2.7.5-1) ...
Selecting previously unselected package libsigsegv2:armhf.
Preparing to unpack .../libsigsegv2_2.10-5_armhf.deb ...
Unpacking libsigsegv2:armhf (2.10-5) ...
Selecting previously unselected package m4.
Preparing to unpack .../archives/m4_1.4.17-5_armhf.deb ...
Unpacking m4 (1.4.17-5) ...
Selecting previously unselected package libfl-dev:armhf.
Preparing to unpack .../libfl-dev_2.6.0-11_armhf.deb ...
Unpacking libfl-dev:armhf (2.6.0-11) ...
Selecting previously unselected package flex.
Preparing to unpack .../flex_2.6.0-11_armhf.deb ...
Unpacking flex (2.6.0-11) ...
Processing triggers for libc-bin (2.22-7) ...
Setting up libsigsegv2:armhf (2.10-5) ...
Processing triggers for libc-bin (2.22-7) ...
Selecting previously unselected package gawk.
(Reading database ... 
(Reading database ... 5%
(Reading database ... 10%
(Reading database ... 15%
(Reading database ... 20%
(Reading database ... 25%
(Reading database ... 30%
(Reading database ... 35%
(Reading database ... 40%
(Reading database ... 45%
(Reading database ... 50%
(Reading database ... 55%
(Reading database ... 60%
(Reading database ... 65%
(Reading database ... 70%
(Reading database ... 75%
(Reading database ... 80%
(Reading database ... 85%
(Reading database ... 90%
(Reading database ... 95%
(Reading database ... 100%
(Reading database ... 14331 files and directories currently installed.)
Preparing to unpack .../gawk_1%3a4.1.3+dfsg-0.1_armhf.deb ...
Unpacking gawk (1:4.1.3+dfsg-0.1) ...
Selecting previously unselected package libunistring0:armhf.
Preparing to unpack .../libunistring0_0.9.3-5.2_armhf.deb ...
Unpacking libunistring0:armhf (0.9.3-5.2) ...
Selecting previously unselected package libssl1.0.2:armhf.
Preparing to unpack .../libssl1.0.2_1.0.2h-1_armhf.deb ...
Unpacking libssl1.0.2:armhf (1.0.2h-1) ...
Selecting previously unselected package libpython3.5-minimal:armhf.
Preparing to unpack .../libpython3.5-minimal_3.5.1-11_armhf.deb ...
Unpacking libpython3.5-minimal:armhf (3.5.1-11) ...
Selecting previously unselected package libexpat1:armhf.
Preparing to unpack .../libexpat1_2.1.1-1_armhf.deb ...
Unpacking libexpat1:armhf (2.1.1-1) ...
Selecting previously unselected package python3.5-minimal.
Preparing to unpack .../python3.5-minimal_3.5.1-11_armhf.deb ...
Unpacking python3.5-minimal (3.5.1-11) ...
Selecting previously unselected package python3-minimal.
Preparing to unpack .../python3-minimal_3.5.1-3_armhf.deb ...
Unpacking python3-minimal (3.5.1-3) ...
Selecting previously unselected package mime-support.
Preparing to unpack .../mime-support_3.60_all.deb ...
Unpacking mime-support (3.60) ...
Selecting previously unselected package libffi6:armhf.
Preparing to unpack .../libffi6_3.2.1-4_armhf.deb ...
Unpacking libffi6:armhf (3.2.1-4) ...
Selecting previously unselected package libmpdec2:armhf.
Preparing to unpack .../libmpdec2_2.4.2-1_armhf.deb ...
Unpacking libmpdec2:armhf (2.4.2-1) ...
Selecting previously unselected package libsqlite3-0:armhf.
Preparing to unpack .../libsqlite3-0_3.12.2-1_armhf.deb ...
Unpacking libsqlite3-0:armhf (3.12.2-1) ...
Selecting previously unselected package libpython3.5-stdlib:armhf.
Preparing to unpack .../libpython3.5-stdlib_3.5.1-11_armhf.deb ...
Unpacking libpython3.5-stdlib:armhf (3.5.1-11) ...
Selecting previously unselected package python3.5.
Preparing to unpack .../python3.5_3.5.1-11_armhf.deb ...
Unpacking python3.5 (3.5.1-11) ...
Selecting previously unselected package libpython3-stdlib:armhf.
Preparing to unpack .../libpython3-stdlib_3.5.1-3_armhf.deb ...
Unpacking libpython3-stdlib:armhf (3.5.1-3) ...
Selecting previously unselected package dh-python.
Preparing to unpack .../dh-python_2.20151103_all.deb ...
Unpacking dh-python (2.20151103) ...
Processing triggers for libc-bin (2.22-7) ...
Setting up libssl1.0.2:armhf (1.0.2h-1) ...
Setting up libpython3.5-minimal:armhf (3.5.1-11) ...
Setting up libexpat1:armhf (2.1.1-1) ...
Setting up python3.5-minimal (3.5.1-11) ...
Setting up python3-minimal (3.5.1-3) ...
Processing triggers for libc-bin (2.22-7) ...
Selecting previously unselected package python3.
(Reading database ... 
(Reading database ... 5%
(Reading database ... 10%
(Reading database ... 15%
(Reading database ... 20%
(Reading database ... 25%
(Reading database ... 30%
(Reading database ... 35%
(Reading database ... 40%
(Reading database ... 45%
(Reading database ... 50%
(Reading database ... 55%
(Reading database ... 60%
(Reading database ... 65%
(Reading database ... 70%
(Reading database ... 75%
(Reading database ... 80%
(Reading database ... 85%
(Reading database ... 90%
(Reading database ... 95%
(Reading database ... 100%
(Reading database ... 15488 files and directories currently installed.)
Preparing to unpack .../python3_3.5.1-3_armhf.deb ...
Unpacking python3 (3.5.1-3) ...
Selecting previously unselected package libmagic1:armhf.
Preparing to unpack .../libmagic1_1%3a5.25-2_armhf.deb ...
Unpacking libmagic1:armhf (1:5.25-2) ...
Selecting previously unselected package file.
Preparing to unpack .../file_1%3a5.25-2_armhf.deb ...
Unpacking file (1:5.25-2) ...
Selecting previously unselected package gettext-base.
Preparing to unpack .../gettext-base_0.19.7-2_armhf.deb ...
Unpacking gettext-base (0.19.7-2) ...
Selecting previously unselected package libicu55:armhf.
Preparing to unpack .../libicu55_55.1-7_armhf.deb ...
Unpacking libicu55:armhf (55.1-7) ...
Selecting previously unselected package libxml2:armhf.
Preparing to unpack .../libxml2_2.9.3+dfsg1-1_armhf.deb ...
Unpacking libxml2:armhf (2.9.3+dfsg1-1) ...
Selecting previously unselected package autoconf.
Preparing to unpack .../autoconf_2.69-10_all.deb ...
Unpacking autoconf (2.69-10) ...
Selecting previously unselected package autotools-dev.
Preparing to unpack .../autotools-dev_20160430.1_all.deb ...
Unpacking autotools-dev (20160430.1) ...
Selecting previously unselected package automake.
Preparing to unpack .../automake_1%3a1.15-4_all.deb ...
Unpacking automake (1:1.15-4) ...
Selecting previously unselected package autopoint.
Preparing to unpack .../autopoint_0.19.7-2_all.deb ...
Unpacking autopoint (0.19.7-2) ...
Selecting previously unselected package libbison-dev:armhf.
Preparing to unpack .../libbison-dev_2%3a3.0.4.dfsg-1_armhf.deb ...
Unpacking libbison-dev:armhf (2:3.0.4.dfsg-1) ...
Selecting previously unselected package bison.
Preparing to unpack .../bison_2%3a3.0.4.dfsg-1_armhf.deb ...
Unpacking bison (2:3.0.4.dfsg-1) ...
Selecting previously unselected package libglib2.0-0:armhf.
Preparing to unpack .../libglib2.0-0_2.48.0-1_armhf.deb ...
Unpacking libglib2.0-0:armhf (2.48.0-1) ...
Selecting previously unselected package libcroco3:armhf.
Preparing to unpack .../libcroco3_0.6.11-1_armhf.deb ...
Unpacking libcroco3:armhf (0.6.11-1) ...
Selecting previously unselected package gettext.
Preparing to unpack .../gettext_0.19.7-2_armhf.deb ...
Unpacking gettext (0.19.7-2) ...
Selecting previously unselected package intltool-debian.
Preparing to unpack .../intltool-debian_0.35.0+20060710.4_all.deb ...
Unpacking intltool-debian (0.35.0+20060710.4) ...
Selecting previously unselected package po-debconf.
Preparing to unpack .../po-debconf_1.0.19_all.deb ...
Unpacking po-debconf (1.0.19) ...
Selecting previously unselected package libarchive-zip-perl.
Preparing to unpack .../libarchive-zip-perl_1.57-1_all.deb ...
Unpacking libarchive-zip-perl (1.57-1) ...
Selecting previously unselected package libfile-stripnondeterminism-perl.
Preparing to unpack .../libfile-stripnondeterminism-perl_0.016-1_all.deb ...
Unpacking libfile-stripnondeterminism-perl (0.016-1) ...
Selecting previously unselected package dh-strip-nondeterminism.
Preparing to unpack .../dh-strip-nondeterminism_0.016-1_all.deb ...
Unpacking dh-strip-nondeterminism (0.016-1) ...
Selecting previously unselected package libtool.
Preparing to unpack .../libtool_2.4.6-0.1_all.deb ...
Unpacking libtool (2.4.6-0.1) ...
Selecting previously unselected package dh-autoreconf.
Preparing to unpack .../dh-autoreconf_12_all.deb ...
Unpacking dh-autoreconf (12) ...
Selecting previously unselected package debhelper.
Preparing to unpack .../debhelper_9.20160403_all.deb ...
Unpacking debhelper (9.20160403) ...
Selecting previously unselected package libffi-dev:armhf.
Preparing to unpack .../libffi-dev_3.2.1-4_armhf.deb ...
Unpacking libffi-dev:armhf (3.2.1-4) ...
Selecting previously unselected package libtinfo-dev:armhf.
Preparing to unpack .../libtinfo-dev_6.0+20160319-1_armhf.deb ...
Unpacking libtinfo-dev:armhf (6.0+20160319-1) ...
Selecting previously unselected package libreadline6-dev:armhf.
Preparing to unpack .../libreadline6-dev_6.3-8+b3_armhf.deb ...
Unpacking libreadline6-dev:armhf (6.3-8+b3) ...
Selecting previously unselected package libreadline-dev:armhf.
Preparing to unpack .../libreadline-dev_6.3-8+b3_armhf.deb ...
Unpacking libreadline-dev:armhf (6.3-8+b3) ...
Selecting previously unselected package libtcl8.6:armhf.
Preparing to unpack .../libtcl8.6_8.6.5+dfsg-2_armhf.deb ...
Unpacking libtcl8.6:armhf (8.6.5+dfsg-2) ...
Selecting previously unselected package pkg-config.
Preparing to unpack .../pkg-config_0.29-4_armhf.deb ...
Unpacking pkg-config (0.29-4) ...
Selecting previously unselected package tcl8.6.
Preparing to unpack .../tcl8.6_8.6.5+dfsg-2_armhf.deb ...
Unpacking tcl8.6 (8.6.5+dfsg-2) ...
Selecting previously unselected package tcl.
Preparing to unpack .../archives/tcl_8.6.0+9_armhf.deb ...
Unpacking tcl (8.6.0+9) ...
Selecting previously unselected package zlib1g-dev:armhf.
Preparing to unpack .../zlib1g-dev_1%3a1.2.8.dfsg-2+b1_armhf.deb ...
Unpacking zlib1g-dev:armhf (1:1.2.8.dfsg-2+b1) ...
Selecting previously unselected package tcl8.6-dev:armhf.
Preparing to unpack .../tcl8.6-dev_8.6.5+dfsg-2_armhf.deb ...
Unpacking tcl8.6-dev:armhf (8.6.5+dfsg-2) ...
Selecting previously unselected package tcl-dev:armhf.
Preparing to unpack .../tcl-dev_8.6.0+9_armhf.deb ...
Unpacking tcl-dev:armhf (8.6.0+9) ...
Selecting previously unselected package txt2man.
Preparing to unpack .../txt2man_1.5.6-3_all.deb ...
Unpacking txt2man (1.5.6-3) ...
Selecting previously unselected package sbuild-build-depends-yosys-dummy.
Preparing to unpack .../sbuild-build-depends-yosys-dummy.deb ...
Unpacking sbuild-build-depends-yosys-dummy (0.invalid.0) ...
Processing triggers for libc-bin (2.22-7) ...
Setting up groff-base (1.22.3-7) ...
Setting up libbsd0:armhf (0.8.3-1) ...
Setting up bsdmainutils (9.0.10) ...
update-alternatives: using /usr/bin/bsd-write to provide /usr/bin/write (write) in auto mode
update-alternatives: using /usr/bin/bsd-from to provide /usr/bin/from (from) in auto mode
Setting up libpipeline1:armhf (1.4.1-2) ...
Setting up man-db (2.7.5-1) ...
Not building database; man-db/auto-update is not 'true'.
Setting up m4 (1.4.17-5) ...
Setting up libfl-dev:armhf (2.6.0-11) ...
Setting up flex (2.6.0-11) ...
Setting up gawk (1:4.1.3+dfsg-0.1) ...
Setting up libunistring0:armhf (0.9.3-5.2) ...
Setting up mime-support (3.60) ...
Setting up libffi6:armhf (3.2.1-4) ...
Setting up libmpdec2:armhf (2.4.2-1) ...
Setting up libsqlite3-0:armhf (3.12.2-1) ...
Setting up libpython3.5-stdlib:armhf (3.5.1-11) ...
Setting up python3.5 (3.5.1-11) ...
Setting up libpython3-stdlib:armhf (3.5.1-3) ...
Setting up libmagic1:armhf (1:5.25-2) ...
Setting up file (1:5.25-2) ...
Setting up gettext-base (0.19.7-2) ...
Setting up libicu55:armhf (55.1-7) ...
Setting up libxml2:armhf (2.9.3+dfsg1-1) ...
Setting up autoconf (2.69-10) ...
Setting up autotools-dev (20160430.1) ...
Setting up automake (1:1.15-4) ...
update-alternatives: using /usr/bin/automake-1.15 to provide /usr/bin/automake (automake) in auto mode
Setting up autopoint (0.19.7-2) ...
Setting up libbison-dev:armhf (2:3.0.4.dfsg-1) ...
Setting up bison (2:3.0.4.dfsg-1) ...
update-alternatives: using /usr/bin/bison.yacc to provide /usr/bin/yacc (yacc) in auto mode
Setting up libglib2.0-0:armhf (2.48.0-1) ...
No schema files found: doing nothing.
Setting up libcroco3:armhf (0.6.11-1) ...
Setting up gettext (0.19.7-2) ...
Setting up intltool-debian (0.35.0+20060710.4) ...
Setting up po-debconf (1.0.19) ...
Setting up libarchive-zip-perl (1.57-1) ...
Setting up libfile-stripnondeterminism-perl (0.016-1) ...
Setting up libtool (2.4.6-0.1) ...
Setting up libffi-dev:armhf (3.2.1-4) ...
Setting up libtinfo-dev:armhf (6.0+20160319-1) ...
Setting up libreadline6-dev:armhf (6.3-8+b3) ...
Setting up libreadline-dev:armhf (6.3-8+b3) ...
Setting up libtcl8.6:armhf (8.6.5+dfsg-2) ...
Setting up pkg-config (0.29-4) ...
Setting up tcl8.6 (8.6.5+dfsg-2) ...
Setting up tcl (8.6.0+9) ...
Setting up zlib1g-dev:armhf (1:1.2.8.dfsg-2+b1) ...
Setting up tcl8.6-dev:armhf (8.6.5+dfsg-2) ...
Setting up tcl-dev:armhf (8.6.0+9) ...
Setting up txt2man (1.5.6-3) ...
Setting up dh-python (2.20151103) ...
Setting up python3 (3.5.1-3) ...
Setting up dh-autoreconf (12) ...
Setting up debhelper (9.20160403) ...
Setting up sbuild-build-depends-yosys-dummy (0.invalid.0) ...
Setting up dh-strip-nondeterminism (0.016-1) ...
Processing triggers for libc-bin (2.22-7) ...
W: No sandbox user '_apt' on the system, can not drop privileges

+------------------------------------------------------------------------------+
| Build environment                                                            |
+------------------------------------------------------------------------------+

Kernel: Linux 3.19.0-trunk-armmp armhf (armv7l)
Toolchain package versions: binutils_2.26-8 dpkg-dev_1.18.4 g++-5_5.3.1-14 gcc-5_5.3.1-14 libc6-dev_2.22-7 libstdc++-4.9-dev_4.9.3-14 libstdc++-5-dev_5.3.1-14 libstdc++6_5.3.1-14 linux-libc-dev_3.18.5-1~exp1+rpi19+stretch
Package versions: acl_2.2.52-3 adduser_3.114 apt_1.2.11 autoconf_2.69-10 automake_1:1.15-4 autopoint_0.19.7-2 autotools-dev_20160430.1 base-files_9.6+rpi1 base-passwd_3.5.39 bash_4.3-14 binutils_2.26-8 bison_2:3.0.4.dfsg-1 bsdmainutils_9.0.10 bsdutils_1:2.28-1 build-essential_11.7 bzip2_1.0.6-8 coreutils_8.25-2 cpio_2.11+dfsg-5 cpp_4:5.3.1-1+rpi1 cpp-5_5.3.1-14 dash_0.5.8-2.2 debconf_1.5.59 debfoster_2.7-2 debhelper_9.20160403 debianutils_4.7 dh-autoreconf_12 dh-python_2.20151103 dh-strip-nondeterminism_0.016-1 diffutils_1:3.3-3 dmsetup_2:1.02.123-1 dpkg_1.18.4 dpkg-dev_1.18.4 e2fslibs_1.43~WIP.2016.03.15-2 e2fsprogs_1.43~WIP.2016.03.15-2 fakeroot_1.20.2-1 file_1:5.25-2 findutils_4.6.0+git+20160126-2 flex_2.6.0-11 g++_4:5.3.1-1+rpi1 g++-5_5.3.1-14 gawk_1:4.1.3+dfsg-0.1 gcc_4:5.3.1-1+rpi1 gcc-4.6-base_4.6.4-5+rpi1 gcc-4.7-base_4.7.3-11+rpi1 gcc-4.8-base_4.8.5-4 gcc-4.9-base_4.9.3-14 gcc-5_5.3.1-14 gcc-5-base_5.3.1-14 gettext_0.19.7-2 gettext-base_0.19.7-2 gnupg_1.4.20-6 gpgv_1.4.20-6 grep_2.25-1 groff-base_1.22.3-7 gzip_1.6-5 hostname_3.17 init_1.31 init-system-helpers_1.31 initscripts_2.88dsf-59.3 insserv_1.14.0-5.3 intltool-debian_0.35.0+20060710.4 klibc-utils_2.0.4-8+rpi1 kmod_22-1.1 libacl1_2.2.52-3 libapparmor1_2.10-4 libapt-pkg4.12_1.0.9.10 libapt-pkg5.0_1.2.11 libarchive-zip-perl_1.57-1 libasan1_4.9.3-14 libasan2_5.3.1-14 libatomic1_5.3.1-14 libattr1_1:2.4.47-2 libaudit-common_1:2.4.5-1 libaudit1_1:2.4.5-1 libbison-dev_2:3.0.4.dfsg-1 libblkid1_2.28-1 libbsd0_0.8.3-1 libbz2-1.0_1.0.6-8 libc-bin_2.22-7 libc-dev-bin_2.22-7 libc6_2.22-7 libc6-dev_2.22-7 libcap2_1:2.24-12 libcap2-bin_1:2.24-12 libcc1-0_5.3.1-14 libcomerr2_1.43~WIP.2016.03.15-2 libcroco3_0.6.11-1 libcryptsetup4_2:1.7.0-2 libdb5.3_5.3.28-11 libdbus-1-3_1.10.8-1 libdebconfclient0_0.209 libdevmapper1.02.1_2:1.02.123-1 libdpkg-perl_1.18.4 libdrm2_2.4.67-1 libexpat1_2.1.1-1 libfakeroot_1.20.2-1 libfdisk1_2.28-1 libffi-dev_3.2.1-4 libffi6_3.2.1-4 libfile-stripnondeterminism-perl_0.016-1 libfl-dev_2.6.0-11 libgc1c2_1:7.4.2-7.4 libgcc-4.9-dev_4.9.3-14 libgcc-5-dev_5.3.1-14 libgcc1_1:5.3.1-14 libgcrypt20_1.7.0-2 libgdbm3_1.8.3-13.1 libglib2.0-0_2.48.0-1 libgmp10_2:6.1.0+dfsg-2 libgomp1_5.3.1-14 libgpg-error0_1.22-1 libicu55_55.1-7 libisl15_0.16.1-1 libklibc_2.0.4-8+rpi1 libkmod2_22-1.1 liblz4-1_0.0~r131-2 liblzma5_5.1.1alpha+20120614-2.1 libmagic1_1:5.25-2 libmount1_2.28-1 libmpc3_1.0.3-1 libmpdec2_2.4.2-1 libmpfr4_3.1.4-1 libncurses5_6.0+20160319-1 libncursesw5_6.0+20160319-1 libpam-modules_1.1.8-3.2 libpam-modules-bin_1.1.8-3.2 libpam-runtime_1.1.8-3.2 libpam0g_1.1.8-3.2 libpcre3_2:8.38-3.1 libperl5.22_5.22.2-1 libpipeline1_1.4.1-2 libpng12-0_1.2.54-6 libprocps3_2:3.3.9-9 libprocps5_2:3.3.11-3 libpython3-stdlib_3.5.1-3 libpython3.5-minimal_3.5.1-11 libpython3.5-stdlib_3.5.1-11 libreadline-dev_6.3-8+b3 libreadline6_6.3-8+b3 libreadline6-dev_6.3-8+b3 libseccomp2_2.3.0-1 libselinux1_2.5-2 libsemanage-common_2.5-1 libsemanage1_2.5-1 libsepol1_2.5-1 libsigsegv2_2.10-5 libslang2_2.3.0-2.3 libsmartcols1_2.28-1 libsqlite3-0_3.12.2-1 libss2_1.43~WIP.2016.03.15-2 libssl1.0.2_1.0.2h-1 libstdc++-4.9-dev_4.9.3-14 libstdc++-5-dev_5.3.1-14 libstdc++6_5.3.1-14 libsystemd0_229-5 libtcl8.6_8.6.5+dfsg-2 libtimedate-perl_2.3000-2 libtinfo-dev_6.0+20160319-1 libtinfo5_6.0+20160319-1 libtool_2.4.6-0.1 libubsan0_5.3.1-14 libudev1_229-5 libunistring0_0.9.3-5.2 libusb-0.1-4_2:0.1.12-28 libustr-1.0-1_1.0.4-5 libuuid1_2.28-1 libxml2_2.9.3+dfsg1-1 linux-libc-dev_3.18.5-1~exp1+rpi19+stretch login_1:4.2-3.1 lsb-base_9.20160110+rpi1 m4_1.4.17-5 make_4.1-9 makedev_2.3.1-93 man-db_2.7.5-1 manpages_4.05-1 mawk_1.3.3-17 mime-support_3.60 mount_2.28-1 multiarch-support_2.22-7 nano_2.5.3-3 ncurses-base_6.0+20160319-1 ncurses-bin_6.0+20160319-1 passwd_1:4.2-3.1 patch_2.7.5-1 perl_5.22.2-1 perl-base_5.22.2-1 perl-modules-5.22_5.22.2-1 pkg-config_0.29-4 po-debconf_1.0.19 procps_2:3.3.11-3 python3_3.5.1-3 python3-minimal_3.5.1-3 python3.5_3.5.1-11 python3.5-minimal_3.5.1-11 raspbian-archive-keyring_20120528.2 readline-common_6.3-8 sbuild-build-depends-core-dummy_0.invalid.0 sbuild-build-depends-yosys-dummy_0.invalid.0 sed_4.2.2-7.1 sensible-utils_0.0.9 startpar_0.59-3 systemd_229-5 systemd-sysv_229-5 sysv-rc_2.88dsf-59.3 sysvinit-utils_2.88dsf-59.3 tar_1.28-2.1 tcl_8.6.0+9 tcl-dev_8.6.0+9 tcl8.6_8.6.5+dfsg-2 tcl8.6-dev_8.6.5+dfsg-2 txt2man_1.5.6-3 tzdata_2016d-2 udev_229-5 util-linux_2.28-1 xz-utils_5.1.1alpha+20120614-2.1 zlib1g_1:1.2.8.dfsg-2+b1 zlib1g-dev_1:1.2.8.dfsg-2+b1

+------------------------------------------------------------------------------+
| Build                                                                        |
+------------------------------------------------------------------------------+


Unpack source
-------------

gpgv: keyblock resource `/sbuild-nonexistent/.gnupg/trustedkeys.gpg': file open error
gpgv: Signature made Tue May  3 19:57:20 2016 UTC using RSA key ID D108D111
gpgv: Can't check signature: public key not found
dpkg-source: warning: failed to verify signature on ./yosys_0.6-5.dsc
dpkg-source: info: extracting yosys in yosys-0.6
dpkg-source: info: unpacking yosys_0.6.orig.tar.gz
dpkg-source: info: unpacking yosys_0.6-5.debian.tar.xz
dpkg-source: info: applying 01_gitrevision.patch
dpkg-source: info: applying 02_removeabc.patch
dpkg-source: info: applying 03_notruntests.patch
dpkg-source: info: applying 05_abc_executable.patch
dpkg-source: info: applying spelling-fixes.patch
dpkg-source: info: applying switch-to-free-font.patch
dpkg-source: info: applying manual-build.patch
dpkg-source: info: applying add-missing-headers.patch
dpkg-source: info: applying kfreebsd-support.patch
dpkg-source: info: applying fixup-initalization.patch
dpkg-source: info: applying fix_ftbfs_cannot_find_infinite.patch

Check disc space
----------------

Sufficient free space for build

User Environment
----------------

DEB_BUILD_OPTIONS=parallel=4
HOME=/sbuild-nonexistent
LOGNAME=buildd
PATH=/usr/local/sbin:/usr/local/bin:/usr/sbin:/usr/bin:/sbin:/bin:/usr/games
SCHROOT_ALIAS_NAME=stretch-staging-armhf-sbuild
SCHROOT_CHROOT_NAME=stretch-staging-armhf-sbuild
SCHROOT_COMMAND=env
SCHROOT_GID=109
SCHROOT_GROUP=buildd
SCHROOT_SESSION_ID=stretch-staging-armhf-sbuild-ad218cb4-7765-41a5-bfc4-1312f07e9734
SCHROOT_UID=104
SCHROOT_USER=buildd
SHELL=/bin/sh
TERM=linux
USER=buildd

dpkg-buildpackage
-----------------

dpkg-buildpackage: source package yosys
dpkg-buildpackage: source version 0.6-5
dpkg-buildpackage: source distribution unstable
 dpkg-source --before-build yosys-0.6
dpkg-buildpackage: host architecture armhf
 fakeroot debian/rules clean
PREFIX=/usr dh clean --parallel --with=python3
   dh_testdir -O--parallel
   debian/rules override_dh_auto_clean
make[1]: Entering directory '/<<PKGBUILDDIR>>'
dh_auto_clean
	make -j4 clean
make[2]: Entering directory '/<<PKGBUILDDIR>>'
rm -rf share
if test -d manual; then cd manual && sh clean.sh; fi
find ./ -name '*.aux' | xargs rm -f
find ./ -name '*.bbl' | xargs rm -f
find ./ -name '*.blg' | xargs rm -f
find ./ -name '*.idx' | xargs rm -f
find ./ -name '*.log' | xargs rm -f
find ./ -name '*.out' | xargs rm -f
find ./ -name '*.pdf' | xargs rm -f
find ./ -name '*.toc' | xargs rm -f
find ./ -name '*.snm' | xargs rm -f
find ./ -name '*.nav' | xargs rm -f
find ./ -name '*.vrb' | xargs rm -f
find ./ -name '*.ok' | xargs rm -f
find ./CHAPTER_Prog/ -name 'stubnets.so' | xargs rm -f
find ./CHAPTER_Prog/ -name 'stubnets.d' | xargs rm -f
find ./CHAPTER_Prog/ -name '*.log' | xargs rm -f
find ./PRESENTATION_ExAdv/ -name '*.dot' | xargs rm -f
find ./PRESENTATION_ExOth/ -name '*.dot' | xargs rm -f
find ./PRESENTATION_ExSyn/ -name '*.dot' | xargs rm -f
find ./PRESENTATION_Intro/ -name 'counter_00.dot' | xargs rm -f
find ./PRESENTATION_Intro/ -name 'counter_01.dot' | xargs rm -f
find ./PRESENTATION_Intro/ -name 'counter_02.dot' | xargs rm -f
find ./PRESENTATION_Intro/ -name 'counter_03.dot' | xargs rm -f
find ./PRESENTATION_Prog/ -name 'my_cmd.so' | xargs rm -f
find ./PRESENTATION_Prog/ -name 'my_cmd.d' | xargs rm -f
rm -f kernel/version_5869d26.o kernel/driver.o kernel/register.o kernel/rtlil.o kernel/log.o kernel/calc.o kernel/yosys.o kernel/cellaigs.o libs/bigint/BigIntegerAlgorithms.o libs/bigint/BigInteger.o libs/bigint/BigIntegerUtils.o libs/bigint/BigUnsigned.o libs/bigint/BigUnsignedInABase.o libs/sha1/sha1.o libs/subcircuit/subcircuit.o libs/ezsat/ezsat.o libs/ezsat/ezminisat.o libs/minisat/Options.o libs/minisat/SimpSolver.o libs/minisat/Solver.o libs/minisat/System.o frontends/vhdl2verilog/vhdl2verilog.o frontends/ilang/ilang_parser.tab.o frontends/ilang/ilang_lexer.o frontends/ilang/ilang_frontend.o frontends/verilog/verilog_parser.tab.o frontends/verilog/verilog_lexer.o frontends/verilog/preproc.o frontends/verilog/verilog_frontend.o frontends/verilog/const2ast.o frontends/ast/ast.o frontends/ast/simplify.o frontends/ast/genrtlil.o frontends/ast/dpicall.o frontends/blif/blifparse.o frontends/verific/verific.o frontends/liberty/liberty.o passes/tests/test_autotb.o passes/tests/test_cell.o passes/tests/test_abcloop.o passes/sat/sat.o passes/sat/freduce.o passes/sat/eval.o passes/sat/miter.o passes/sat/expose.o passes/cmds/add.o passes/cmds/delete.o passes/cmds/design.o passes/cmds/select.o passes/cmds/show.o passes/cmds/rename.o passes/cmds/connect.o passes/cmds/scatter.o passes/cmds/setundef.o passes/cmds/splitnets.o passes/cmds/stat.o passes/cmds/setattr.o passes/cmds/copy.o passes/cmds/splice.o passes/cmds/scc.o passes/cmds/torder.o passes/cmds/logcmd.o passes/cmds/tee.o passes/cmds/write_file.o passes/cmds/connwrappers.o passes/cmds/cover.o passes/cmds/trace.o passes/cmds/plugin.o passes/cmds/check.o passes/cmds/qwp.o passes/cmds/edgetypes.o passes/memory/memory.o passes/memory/memory_dff.o passes/memory/memory_share.o passes/memory/memory_collect.o passes/memory/memory_unpack.o passes/memory/memory_bram.o passes/memory/memory_map.o passes/techmap/techmap.o passes/techmap/simplemap.o passes/techmap/dfflibmap.o passes/techmap/maccmap.o passes/techmap/libparse.o passes/techmap/abc.o passes/techmap/iopadmap.o passes/techmap/hilomap.o passes/techmap/extract.o passes/techmap/alumacc.o passes/techmap/dff2dffe.o passes/techmap/dffinit.o passes/techmap/pmuxtree.o passes/techmap/muxcover.o passes/techmap/aigmap.o passes/techmap/tribuf.o passes/techmap/lut2mux.o passes/techmap/nlutmap.o passes/techmap/dffsr2dff.o passes/opt/opt.o passes/opt/opt_share.o passes/opt/opt_muxtree.o passes/opt/opt_reduce.o passes/opt/opt_rmdff.o passes/opt/opt_clean.o passes/opt/opt_const.o passes/opt/share.o passes/opt/wreduce.o passes/hierarchy/hierarchy.o passes/hierarchy/singleton.o passes/hierarchy/submod.o passes/equiv/equiv_make.o passes/equiv/equiv_miter.o passes/equiv/equiv_simple.o passes/equiv/equiv_status.o passes/equiv/equiv_add.o passes/equiv/equiv_remove.o passes/equiv/equiv_induct.o passes/equiv/equiv_struct.o passes/equiv/equiv_purge.o passes/equiv/equiv_mark.o passes/fsm/fsm.o passes/fsm/fsm_detect.o passes/fsm/fsm_extract.o passes/fsm/fsm_opt.o passes/fsm/fsm_expand.o passes/fsm/fsm_recode.o passes/fsm/fsm_info.o passes/fsm/fsm_export.o passes/fsm/fsm_map.o passes/proc/proc.o passes/proc/proc_clean.o passes/proc/proc_rmdead.o passes/proc/proc_init.o passes/proc/proc_arst.o passes/proc/proc_mux.o passes/proc/proc_dlatch.o passes/proc/proc_dff.o backends/edif/edif.o backends/smt2/smt2.o backends/btor/btor.o backends/intersynth/intersynth.o backends/ilang/ilang_backend.o backends/json/json.o backends/verilog/verilog_backend.o backends/smv/smv.o backends/blif/blif.o backends/spice/spice.o techlibs/xilinx/synth_xilinx.o techlibs/common/synth.o techlibs/common/prep.o techlibs/ice40/synth_ice40.o techlibs/ice40/ice40_ffssr.o techlibs/ice40/ice40_ffinit.o techlibs/ice40/ice40_opt.o techlibs/greenpak4/synth_greenpak4.o  frontends/ilang/ilang_parser.tab.cc frontends/ilang/ilang_parser.tab.h frontends/ilang/ilang_parser.output frontends/ilang/ilang_lexer.cc frontends/verilog/verilog_parser.tab.cc frontends/verilog/verilog_parser.tab.h frontends/verilog/verilog_parser.output frontends/verilog/verilog_lexer.cc passes/techmap/techmap.inc techlibs/xilinx/brams_init_36.vh techlibs/xilinx/brams_init_32.vh techlibs/xilinx/brams_init_18.vh techlibs/xilinx/brams_init_16.vh techlibs/common/simlib_help.inc techlibs/common/simcells_help.inc techlibs/ice40/brams_init1.vh techlibs/ice40/brams_init2.vh techlibs/ice40/brams_init3.vh yosys yosys-config yosys-filterlib yosys-smtbmc  share/include/kernel/yosys.h share/include/kernel/hashlib.h share/include/kernel/log.h share/include/kernel/rtlil.h share/include/kernel/register.h share/include/kernel/celltypes.h share/include/kernel/consteval.h share/include/kernel/sigtools.h share/include/kernel/modtools.h share/include/kernel/macc.h share/include/kernel/utils.h share/include/kernel/satgen.h share/include/libs/ezsat/ezsat.h share/include/libs/ezsat/ezminisat.h share/include/libs/sha1/sha1.h share/include/passes/fsm/fsmdata.h share/include/backends/ilang/ilang_backend.h share/include/frontends/ast/ast.h share/python3/smtio.py share/xilinx/cells_map.v share/xilinx/cells_sim.v share/xilinx/brams.txt share/xilinx/brams_map.v share/xilinx/brams_bb.v share/xilinx/drams.txt share/xilinx/drams_map.v share/xilinx/drams_bb.v share/xilinx/arith_map.v share/xilinx/brams_init_36.vh share/xilinx/brams_init_32.vh share/xilinx/brams_init_18.vh share/xilinx/brams_init_16.vh share/simlib.v share/simcells.v share/techmap.v share/pmux2mux.v share/adff2dff.v share/cells.lib share/ice40/arith_map.v share/ice40/cells_map.v share/ice40/cells_sim.v share/ice40/brams.txt share/ice40/brams_map.v share/ice40/brams_init1.vh share/ice40/brams_init2.vh share/ice40/brams_init3.vh share/greenpak4/cells_map.v share/greenpak4/cells_sim.v share/greenpak4/gp_dff.lib  passes/techmap/filterlib.o techlibs/xilinx/brams_init.mk techlibs/ice40/brams_init.mk
rm -f kernel/version_*.o kernel/version_*.cc abc/abc-[0-9a-f]*
rm -f libs/*/*.d frontends/*/*.d passes/*/*.d backends/*/*.d kernel/*.d techlibs/*/*.d
make[2]: Leaving directory '/<<PKGBUILDDIR>>'
rm -f debian/man/*.1
make[1]: Leaving directory '/<<PKGBUILDDIR>>'
   dh_clean -O--parallel
 debian/rules build-arch
PREFIX=/usr dh build-arch --parallel --with=python3
   dh_testdir -a -O--parallel
   dh_update_autotools_config -a -O--parallel
   debian/rules override_dh_auto_configure
make[1]: Entering directory '/<<PKGBUILDDIR>>'
make config-gcc
make[2]: Entering directory '/<<PKGBUILDDIR>>'
rm -rf share
if test -d manual; then cd manual && sh clean.sh; fi
find ./ -name '*.aux' | xargs rm -f
find ./ -name '*.bbl' | xargs rm -f
find ./ -name '*.blg' | xargs rm -f
find ./ -name '*.idx' | xargs rm -f
find ./ -name '*.log' | xargs rm -f
find ./ -name '*.out' | xargs rm -f
find ./ -name '*.pdf' | xargs rm -f
find ./ -name '*.toc' | xargs rm -f
find ./ -name '*.snm' | xargs rm -f
find ./ -name '*.nav' | xargs rm -f
find ./ -name '*.vrb' | xargs rm -f
find ./ -name '*.ok' | xargs rm -f
find ./CHAPTER_Prog/ -name 'stubnets.so' | xargs rm -f
find ./CHAPTER_Prog/ -name 'stubnets.d' | xargs rm -f
find ./CHAPTER_Prog/ -name '*.log' | xargs rm -f
find ./PRESENTATION_ExAdv/ -name '*.dot' | xargs rm -f
find ./PRESENTATION_ExOth/ -name '*.dot' | xargs rm -f
find ./PRESENTATION_ExSyn/ -name '*.dot' | xargs rm -f
find ./PRESENTATION_Intro/ -name 'counter_00.dot' | xargs rm -f
find ./PRESENTATION_Intro/ -name 'counter_01.dot' | xargs rm -f
find ./PRESENTATION_Intro/ -name 'counter_02.dot' | xargs rm -f
find ./PRESENTATION_Intro/ -name 'counter_03.dot' | xargs rm -f
find ./PRESENTATION_Prog/ -name 'my_cmd.so' | xargs rm -f
find ./PRESENTATION_Prog/ -name 'my_cmd.d' | xargs rm -f
rm -f kernel/version_5869d26.o kernel/driver.o kernel/register.o kernel/rtlil.o kernel/log.o kernel/calc.o kernel/yosys.o kernel/cellaigs.o libs/bigint/BigIntegerAlgorithms.o libs/bigint/BigInteger.o libs/bigint/BigIntegerUtils.o libs/bigint/BigUnsigned.o libs/bigint/BigUnsignedInABase.o libs/sha1/sha1.o libs/subcircuit/subcircuit.o libs/ezsat/ezsat.o libs/ezsat/ezminisat.o libs/minisat/Options.o libs/minisat/SimpSolver.o libs/minisat/Solver.o libs/minisat/System.o frontends/vhdl2verilog/vhdl2verilog.o frontends/ilang/ilang_parser.tab.o frontends/ilang/ilang_lexer.o frontends/ilang/ilang_frontend.o frontends/verilog/verilog_parser.tab.o frontends/verilog/verilog_lexer.o frontends/verilog/preproc.o frontends/verilog/verilog_frontend.o frontends/verilog/const2ast.o frontends/ast/ast.o frontends/ast/simplify.o frontends/ast/genrtlil.o frontends/ast/dpicall.o frontends/blif/blifparse.o frontends/verific/verific.o frontends/liberty/liberty.o passes/tests/test_autotb.o passes/tests/test_cell.o passes/tests/test_abcloop.o passes/sat/sat.o passes/sat/freduce.o passes/sat/eval.o passes/sat/miter.o passes/sat/expose.o passes/cmds/add.o passes/cmds/delete.o passes/cmds/design.o passes/cmds/select.o passes/cmds/show.o passes/cmds/rename.o passes/cmds/connect.o passes/cmds/scatter.o passes/cmds/setundef.o passes/cmds/splitnets.o passes/cmds/stat.o passes/cmds/setattr.o passes/cmds/copy.o passes/cmds/splice.o passes/cmds/scc.o passes/cmds/torder.o passes/cmds/logcmd.o passes/cmds/tee.o passes/cmds/write_file.o passes/cmds/connwrappers.o passes/cmds/cover.o passes/cmds/trace.o passes/cmds/plugin.o passes/cmds/check.o passes/cmds/qwp.o passes/cmds/edgetypes.o passes/memory/memory.o passes/memory/memory_dff.o passes/memory/memory_share.o passes/memory/memory_collect.o passes/memory/memory_unpack.o passes/memory/memory_bram.o passes/memory/memory_map.o passes/techmap/techmap.o passes/techmap/simplemap.o passes/techmap/dfflibmap.o passes/techmap/maccmap.o passes/techmap/libparse.o passes/techmap/abc.o passes/techmap/iopadmap.o passes/techmap/hilomap.o passes/techmap/extract.o passes/techmap/alumacc.o passes/techmap/dff2dffe.o passes/techmap/dffinit.o passes/techmap/pmuxtree.o passes/techmap/muxcover.o passes/techmap/aigmap.o passes/techmap/tribuf.o passes/techmap/lut2mux.o passes/techmap/nlutmap.o passes/techmap/dffsr2dff.o passes/opt/opt.o passes/opt/opt_share.o passes/opt/opt_muxtree.o passes/opt/opt_reduce.o passes/opt/opt_rmdff.o passes/opt/opt_clean.o passes/opt/opt_const.o passes/opt/share.o passes/opt/wreduce.o passes/hierarchy/hierarchy.o passes/hierarchy/singleton.o passes/hierarchy/submod.o passes/equiv/equiv_make.o passes/equiv/equiv_miter.o passes/equiv/equiv_simple.o passes/equiv/equiv_status.o passes/equiv/equiv_add.o passes/equiv/equiv_remove.o passes/equiv/equiv_induct.o passes/equiv/equiv_struct.o passes/equiv/equiv_purge.o passes/equiv/equiv_mark.o passes/fsm/fsm.o passes/fsm/fsm_detect.o passes/fsm/fsm_extract.o passes/fsm/fsm_opt.o passes/fsm/fsm_expand.o passes/fsm/fsm_recode.o passes/fsm/fsm_info.o passes/fsm/fsm_export.o passes/fsm/fsm_map.o passes/proc/proc.o passes/proc/proc_clean.o passes/proc/proc_rmdead.o passes/proc/proc_init.o passes/proc/proc_arst.o passes/proc/proc_mux.o passes/proc/proc_dlatch.o passes/proc/proc_dff.o backends/edif/edif.o backends/smt2/smt2.o backends/btor/btor.o backends/intersynth/intersynth.o backends/ilang/ilang_backend.o backends/json/json.o backends/verilog/verilog_backend.o backends/smv/smv.o backends/blif/blif.o backends/spice/spice.o techlibs/xilinx/synth_xilinx.o techlibs/common/synth.o techlibs/common/prep.o techlibs/ice40/synth_ice40.o techlibs/ice40/ice40_ffssr.o techlibs/ice40/ice40_ffinit.o techlibs/ice40/ice40_opt.o techlibs/greenpak4/synth_greenpak4.o  frontends/ilang/ilang_parser.tab.cc frontends/ilang/ilang_parser.tab.h frontends/ilang/ilang_parser.output frontends/ilang/ilang_lexer.cc frontends/verilog/verilog_parser.tab.cc frontends/verilog/verilog_parser.tab.h frontends/verilog/verilog_parser.output frontends/verilog/verilog_lexer.cc passes/techmap/techmap.inc techlibs/xilinx/brams_init_36.vh techlibs/xilinx/brams_init_32.vh techlibs/xilinx/brams_init_18.vh techlibs/xilinx/brams_init_16.vh techlibs/common/simlib_help.inc techlibs/common/simcells_help.inc techlibs/ice40/brams_init1.vh techlibs/ice40/brams_init2.vh techlibs/ice40/brams_init3.vh yosys yosys-config yosys-filterlib yosys-smtbmc  share/include/kernel/yosys.h share/include/kernel/hashlib.h share/include/kernel/log.h share/include/kernel/rtlil.h share/include/kernel/register.h share/include/kernel/celltypes.h share/include/kernel/consteval.h share/include/kernel/sigtools.h share/include/kernel/modtools.h share/include/kernel/macc.h share/include/kernel/utils.h share/include/kernel/satgen.h share/include/libs/ezsat/ezsat.h share/include/libs/ezsat/ezminisat.h share/include/libs/sha1/sha1.h share/include/passes/fsm/fsmdata.h share/include/backends/ilang/ilang_backend.h share/include/frontends/ast/ast.h share/python3/smtio.py share/xilinx/cells_map.v share/xilinx/cells_sim.v share/xilinx/brams.txt share/xilinx/brams_map.v share/xilinx/brams_bb.v share/xilinx/drams.txt share/xilinx/drams_map.v share/xilinx/drams_bb.v share/xilinx/arith_map.v share/xilinx/brams_init_36.vh share/xilinx/brams_init_32.vh share/xilinx/brams_init_18.vh share/xilinx/brams_init_16.vh share/simlib.v share/simcells.v share/techmap.v share/pmux2mux.v share/adff2dff.v share/cells.lib share/ice40/arith_map.v share/ice40/cells_map.v share/ice40/cells_sim.v share/ice40/brams.txt share/ice40/brams_map.v share/ice40/brams_init1.vh share/ice40/brams_init2.vh share/ice40/brams_init3.vh share/greenpak4/cells_map.v share/greenpak4/cells_sim.v share/greenpak4/gp_dff.lib  passes/techmap/filterlib.o techlibs/xilinx/brams_init.mk techlibs/ice40/brams_init.mk
rm -f kernel/version_*.o kernel/version_*.cc abc/abc-[0-9a-f]*
rm -f libs/*/*.d frontends/*/*.d passes/*/*.d backends/*/*.d kernel/*.d techlibs/*/*.d
echo 'CONFIG := gcc' > Makefile.conf
make[2]: Leaving directory '/<<PKGBUILDDIR>>'
make[1]: Leaving directory '/<<PKGBUILDDIR>>'
   debian/rules override_dh_auto_build-arch
make[1]: Entering directory '/<<PKGBUILDDIR>>'
dh_auto_build --parallel -- all
	make -j4 all
make[2]: Entering directory '/<<PKGBUILDDIR>>'
[Makefile.conf] CONFIG := gcc
[  0%] Building kernel/version_5869d26.cc
[  1%] Building kernel/driver.o
[  1%] Building techlibs/common/simlib_help.inc
[  1%] Building techlibs/common/simcells_help.inc
[  2%] Building kernel/rtlil.o
[  2%] Building kernel/log.o
[  3%] Building kernel/calc.o
kernel/log.cc: In function 'void Yosys::log_backtrace(const char*, int)':
kernel/log.cc:284:40: warning: unsupported argument to '__builtin_return_address'
  if ((p = __builtin_extract_return_addr(__builtin_return_address(1))) && dladdr(p, &dli)) {
                                        ^
kernel/log.cc:293:40: warning: unsupported argument to '__builtin_return_address'
  if ((p = __builtin_extract_return_addr(__builtin_return_address(2))) && dladdr(p, &dli)) {
                                        ^
kernel/log.cc:302:40: warning: unsupported argument to '__builtin_return_address'
  if ((p = __builtin_extract_return_addr(__builtin_return_address(3))) && dladdr(p, &dli)) {
                                        ^
kernel/log.cc:311:40: warning: unsupported argument to '__builtin_return_address'
  if ((p = __builtin_extract_return_addr(__builtin_return_address(4))) && dladdr(p, &dli)) {
                                        ^
kernel/log.cc:320:40: warning: unsupported argument to '__builtin_return_address'
  if ((p = __builtin_extract_return_addr(__builtin_return_address(5))) && dladdr(p, &dli)) {
                                        ^
kernel/log.cc:329:40: warning: unsupported argument to '__builtin_return_address'
  if ((p = __builtin_extract_return_addr(__builtin_return_address(6))) && dladdr(p, &dli)) {
                                        ^
kernel/log.cc:338:40: warning: unsupported argument to '__builtin_return_address'
  if ((p = __builtin_extract_return_addr(__builtin_return_address(7))) && dladdr(p, &dli)) {
                                        ^
kernel/log.cc:347:40: warning: unsupported argument to '__builtin_return_address'
  if ((p = __builtin_extract_return_addr(__builtin_return_address(8))) && dladdr(p, &dli)) {
                                        ^
[  4%] Building kernel/yosys.o
[  4%] Building kernel/cellaigs.o
[  5%] Building libs/bigint/BigIntegerAlgorithms.o
[  5%] Building libs/bigint/BigInteger.o
[  6%] Building libs/bigint/BigIntegerUtils.o
[  6%] Building libs/bigint/BigUnsigned.o
[  7%] Building libs/bigint/BigUnsignedInABase.o
[  8%] Building libs/sha1/sha1.o
[  8%] Building libs/subcircuit/subcircuit.o
[  9%] Building libs/ezsat/ezsat.o
[  9%] Building libs/ezsat/ezminisat.o
[ 10%] Building libs/minisat/Options.o
[ 11%] Building libs/minisat/SimpSolver.o
[ 11%] Building libs/minisat/Solver.o
[ 12%] Building libs/minisat/System.o
[ 12%] Building frontends/vhdl2verilog/vhdl2verilog.o
[ 12%] Building frontends/ilang/ilang_parser.tab.cc
[ 12%] Building frontends/ilang/ilang_lexer.cc
[ 14%] Building frontends/ilang/ilang_frontend.o
[ 14%] Building frontends/verilog/verilog_parser.tab.cc
[ 14%] Building frontends/verilog/verilog_lexer.cc
[ 16%] Building frontends/verilog/preproc.o
[ 16%] Building frontends/verilog/verilog_frontend.o
[ 17%] Building frontends/verilog/const2ast.o
[ 18%] Building frontends/ast/ast.o
[ 18%] Building frontends/ast/simplify.o
[ 19%] Building frontends/ast/genrtlil.o
[ 19%] Building frontends/ast/dpicall.o
frontends/ast/ast.cc: In member function 'Yosys::RTLIL::Const Yosys::AST::AstNode::realAsConst(int)':
frontends/ast/ast.cc:860:17: error: 'isfinite' was not declared in this scope
  if (!isfinite(v)) {
                 ^
frontends/ast/ast.cc:860:17: note: suggested alternative:
In file included from /usr/include/c++/5/random:38:0,
                 from /usr/include/c++/5/bits/stl_algo.h:66,
                 from /usr/include/c++/5/algorithm:62,
                 from ./kernel/yosys.h:47,
                 from frontends/ast/ast.cc:29:
/usr/include/c++/5/cmath:601:5: note:   'std::isfinite'
     isfinite(_Tp __x)
     ^
Makefile:340: recipe for target 'frontends/ast/ast.o' failed
make[2]: *** [frontends/ast/ast.o] Error 1
make[2]: *** Waiting for unfinished jobs....
make[2]: Leaving directory '/<<PKGBUILDDIR>>'
dh_auto_build: make -j4 all returned exit code 2
debian/rules:33: recipe for target 'override_dh_auto_build-arch' failed
make[1]: *** [override_dh_auto_build-arch] Error 2
make[1]: Leaving directory '/<<PKGBUILDDIR>>'
debian/rules:12: recipe for target 'build-arch' failed
make: *** [build-arch] Error 2
dpkg-buildpackage: error: debian/rules build-arch gave error exit status 2
--------------------------------------------------------------------------------
Build finished at 20160509-1716

Finished
--------

E: Build failure (dpkg-buildpackage died)

+------------------------------------------------------------------------------+
| Cleanup                                                                      |
+------------------------------------------------------------------------------+

Purging /<<BUILDDIR>>
Not cleaning session: cloned chroot in use

+------------------------------------------------------------------------------+
| Summary                                                                      |
+------------------------------------------------------------------------------+

Build Architecture: armhf
Build-Space: 32564
Build-Time: 219
Distribution: stretch-staging
Fail-Stage: build
Host Architecture: armhf
Install-Time: 366
Job: yosys_0.6-5
Machine Architecture: armhf
Package: yosys
Package-Time: 629
Source-Version: 0.6-5
Space: 32564
Status: attempted
Version: 0.6-5
--------------------------------------------------------------------------------
Finished at 20160509-1716
Build needed 00:10:29, 32564k disc space