Raspbian Package Auto-Building

Build log for yosys (0.5.0-1+b3) on armhf

yosys0.5.0-1+b3armhf → 2016-01-25 05:51:51

sbuild (Debian sbuild) 0.65.2 (24 Mar 2015) on bm-wb-01

╔══════════════════════════════════════════════════════════════════════════════╗
║ yosys 0.5.0-1+b3 (armhf)                                   25 Jan 2016 04:27 ║
╚══════════════════════════════════════════════════════════════════════════════╝

Package: yosys
Version: 0.5.0-1+b3
Source Version: 0.5.0-1
Distribution: stretch-staging
Machine Architecture: armhf
Host Architecture: armhf
Build Architecture: armhf

I: NOTICE: Log filtering will replace 'build/yosys-EfQ0id/yosys-0.5.0' with '«PKGBUILDDIR»'
I: NOTICE: Log filtering will replace 'build/yosys-EfQ0id' with '«BUILDDIR»'
I: NOTICE: Log filtering will replace 'var/lib/schroot/mount/stretch-staging-armhf-sbuild-52c68209-aec2-43c4-a466-13b83bdbe751' with '«CHROOT»'

┌──────────────────────────────────────────────────────────────────────────────┐
│ Update chroot                                                                │
└──────────────────────────────────────────────────────────────────────────────┘

Get:1 http://172.17.0.1/private stretch-staging InRelease [11.3 kB]
Get:2 http://172.17.0.1/private stretch-staging/main Sources [8642 kB]
Get:3 http://172.17.0.1/private stretch-staging/main armhf Packages [10.7 MB]
Fetched 19.4 MB in 20s (927 kB/s)
Reading package lists...
W: No sandbox user '_apt' on the system, can not drop privileges

┌──────────────────────────────────────────────────────────────────────────────┐
│ Fetch source files                                                           │
└──────────────────────────────────────────────────────────────────────────────┘


Check APT
─────────

Checking available source versions...

Download source files with APT
──────────────────────────────

Reading package lists...
Building dependency tree...
Reading state information...
NOTICE: 'yosys' packaging is maintained in the 'Git' version control system at:
git://anonscm.debian.org/debian-science/packages/yosys.git
Please use:
git clone git://anonscm.debian.org/debian-science/packages/yosys.git
to retrieve the latest (possibly unreleased) updates to the package.
Need to get 784 kB of source archives.
Get:1 http://172.17.0.1/private stretch-staging/main yosys 0.5.0-1 (dsc) [1979 B]
Get:2 http://172.17.0.1/private stretch-staging/main yosys 0.5.0-1 (tar) [774 kB]
Get:3 http://172.17.0.1/private stretch-staging/main yosys 0.5.0-1 (diff) [7540 B]
Fetched 784 kB in 0s (6153 kB/s)
Download complete and in download only mode

Check architectures
───────────────────


Check dependencies
──────────────────

Merged Build-Depends: build-essential, fakeroot
Filtered Build-Depends: build-essential, fakeroot
dpkg-deb: building package 'sbuild-build-depends-core-dummy' in '/«BUILDDIR»/resolver-cA7WgS/apt_archive/sbuild-build-depends-core-dummy.deb'.
OK
Get:1 file:/«BUILDDIR»/resolver-cA7WgS/apt_archive ./ InRelease
Ign:1 file:/«BUILDDIR»/resolver-cA7WgS/apt_archive ./ InRelease
Get:2 file:/«BUILDDIR»/resolver-cA7WgS/apt_archive ./ Release [2119 B]
Get:2 file:/«BUILDDIR»/resolver-cA7WgS/apt_archive ./ Release [2119 B]
Get:3 file:/«BUILDDIR»/resolver-cA7WgS/apt_archive ./ Release.gpg [299 B]
Get:3 file:/«BUILDDIR»/resolver-cA7WgS/apt_archive ./ Release.gpg [299 B]
Get:4 file:/«BUILDDIR»/resolver-cA7WgS/apt_archive ./ Sources [208 B]
Get:5 file:/«BUILDDIR»/resolver-cA7WgS/apt_archive ./ Packages [526 B]
Reading package lists...
W: No sandbox user '_apt' on the system, can not drop privileges
Reading package lists...

┌──────────────────────────────────────────────────────────────────────────────┐
│ Install core build dependencies (apt-based resolver)                         │
└──────────────────────────────────────────────────────────────────────────────┘

Installing build dependencies
Reading package lists...
Building dependency tree...
Reading state information...
The following NEW packages will be installed:
  sbuild-build-depends-core-dummy
0 upgraded, 1 newly installed, 0 to remove and 2 not upgraded.
Need to get 0 B/768 B of archives.
After this operation, 0 B of additional disk space will be used.
Get:1 file:/«BUILDDIR»/resolver-cA7WgS/apt_archive ./ sbuild-build-depends-core-dummy 0.invalid.0 [768 B]
debconf: delaying package configuration, since apt-utils is not installed
Selecting previously unselected package sbuild-build-depends-core-dummy.
(Reading database ... 
(Reading database ... 5%
(Reading database ... 10%
(Reading database ... 15%
(Reading database ... 20%
(Reading database ... 25%
(Reading database ... 30%
(Reading database ... 35%
(Reading database ... 40%
(Reading database ... 45%
(Reading database ... 50%
(Reading database ... 55%
(Reading database ... 60%
(Reading database ... 65%
(Reading database ... 70%
(Reading database ... 75%
(Reading database ... 80%
(Reading database ... 85%
(Reading database ... 90%
(Reading database ... 95%
(Reading database ... 100%
(Reading database ... 12670 files and directories currently installed.)
Preparing to unpack .../sbuild-build-depends-core-dummy.deb ...
Unpacking sbuild-build-depends-core-dummy (0.invalid.0) ...
Setting up sbuild-build-depends-core-dummy (0.invalid.0) ...
W: No sandbox user '_apt' on the system, can not drop privileges
Merged Build-Depends: libc6-dev | libc-dev, gcc (>= 4:4.9.1), g++ (>= 4:4.9.1), make, dpkg-dev (>= 1.17.11), debhelper (>= 9), tcl-dev, libreadline-dev, bison, flex, gawk, libffi-dev, pkg-config
Filtered Build-Depends: libc6-dev, gcc (>= 4:4.9.1), g++ (>= 4:4.9.1), make, dpkg-dev (>= 1.17.11), debhelper (>= 9), tcl-dev, libreadline-dev, bison, flex, gawk, libffi-dev, pkg-config
dpkg-deb: building package 'sbuild-build-depends-yosys-dummy' in '/«BUILDDIR»/resolver-08Pfdx/apt_archive/sbuild-build-depends-yosys-dummy.deb'.
OK
Get:1 file:/«BUILDDIR»/resolver-08Pfdx/apt_archive ./ InRelease
Ign:1 file:/«BUILDDIR»/resolver-08Pfdx/apt_archive ./ InRelease
Get:2 file:/«BUILDDIR»/resolver-08Pfdx/apt_archive ./ Release [2119 B]
Get:2 file:/«BUILDDIR»/resolver-08Pfdx/apt_archive ./ Release [2119 B]
Get:3 file:/«BUILDDIR»/resolver-08Pfdx/apt_archive ./ Release.gpg [299 B]
Get:3 file:/«BUILDDIR»/resolver-08Pfdx/apt_archive ./ Release.gpg [299 B]
Get:4 file:/«BUILDDIR»/resolver-08Pfdx/apt_archive ./ Sources [349 B]
Get:5 file:/«BUILDDIR»/resolver-08Pfdx/apt_archive ./ Packages [604 B]
Reading package lists...
W: No sandbox user '_apt' on the system, can not drop privileges
Reading package lists...

┌──────────────────────────────────────────────────────────────────────────────┐
│ Install yosys build dependencies (apt-based resolver)                        │
└──────────────────────────────────────────────────────────────────────────────┘

Installing build dependencies
Reading package lists...
Building dependency tree...
Reading state information...
The following additional packages will be installed:
  autotools-dev bison bsdmainutils debhelper dh-strip-nondeterminism file flex
  gawk gettext gettext-base groff-base intltool-debian libarchive-zip-perl
  libbison-dev libcroco3 libffi-dev libffi6 libfile-stripnondeterminism-perl
  libfl-dev libglib2.0-0 libicu55 libmagic1 libpipeline1 libreadline-dev
  libreadline6-dev libsigsegv2 libtcl8.6 libtimedate-perl libtinfo-dev
  libunistring0 libxml2 m4 man-db pkg-config po-debconf tcl tcl-dev tcl8.6
  tcl8.6-dev zlib1g-dev
Suggested packages:
  bison-doc wamerican | wordlist whois vacation dh-make gawk-doc gettext-doc
  autopoint libasprintf-dev libgettextpo-dev groff readline-doc less
  www-browser libmail-box-perl tcl-doc tcl-tclreadline tcl8.6-doc
Recommended packages:
  curl | wget | lynx-cur libglib2.0-data shared-mime-info xdg-user-dirs
  xml-core libmail-sendmail-perl
The following NEW packages will be installed:
  autotools-dev bison bsdmainutils debhelper dh-strip-nondeterminism file flex
  gawk gettext gettext-base groff-base intltool-debian libarchive-zip-perl
  libbison-dev libcroco3 libffi-dev libffi6 libfile-stripnondeterminism-perl
  libfl-dev libglib2.0-0 libicu55 libmagic1 libpipeline1 libreadline-dev
  libreadline6-dev libsigsegv2 libtcl8.6 libtimedate-perl libtinfo-dev
  libunistring0 libxml2 m4 man-db pkg-config po-debconf
  sbuild-build-depends-yosys-dummy tcl tcl-dev tcl8.6 tcl8.6-dev zlib1g-dev
0 upgraded, 41 newly installed, 0 to remove and 2 not upgraded.
Need to get 21.4 MB/21.4 MB of archives.
After this operation, 73.3 MB of additional disk space will be used.
Get:1 file:/«BUILDDIR»/resolver-08Pfdx/apt_archive ./ sbuild-build-depends-yosys-dummy 0.invalid.0 [848 B]
Get:2 http://172.17.0.1/private stretch-staging/main armhf groff-base armhf 1.22.3-5 [1083 kB]
Get:3 http://172.17.0.1/private stretch-staging/main armhf bsdmainutils armhf 9.0.6 [177 kB]
Get:4 http://172.17.0.1/private stretch-staging/main armhf libpipeline1 armhf 1.4.1-2 [23.7 kB]
Get:5 http://172.17.0.1/private stretch-staging/main armhf man-db armhf 2.7.5-1 [975 kB]
Get:6 http://172.17.0.1/private stretch-staging/main armhf libsigsegv2 armhf 2.10-4 [28.2 kB]
Get:7 http://172.17.0.1/private stretch-staging/main armhf m4 armhf 1.4.17-5 [239 kB]
Get:8 http://172.17.0.1/private stretch-staging/main armhf libfl-dev armhf 2.5.39-8+b1 [75.1 kB]
Get:9 http://172.17.0.1/private stretch-staging/main armhf flex armhf 2.5.39-8+b1 [407 kB]
Get:10 http://172.17.0.1/private stretch-staging/main armhf gawk armhf 1:4.1.3+dfsg-0.1 [509 kB]
Get:11 http://172.17.0.1/private stretch-staging/main armhf libunistring0 armhf 0.9.3-5.2 [253 kB]
Get:12 http://172.17.0.1/private stretch-staging/main armhf libmagic1 armhf 1:5.25-2 [250 kB]
Get:13 http://172.17.0.1/private stretch-staging/main armhf file armhf 1:5.25-2 [61.2 kB]
Get:14 http://172.17.0.1/private stretch-staging/main armhf gettext-base armhf 0.19.7-2 [111 kB]
Get:15 http://172.17.0.1/private stretch-staging/main armhf libicu55 armhf 55.1-7 [7380 kB]
Get:16 http://172.17.0.1/private stretch-staging/main armhf libxml2 armhf 2.9.3+dfsg1-1 [800 kB]
Get:17 http://172.17.0.1/private stretch-staging/main armhf autotools-dev all 20150820.1 [71.7 kB]
Get:18 http://172.17.0.1/private stretch-staging/main armhf libbison-dev armhf 2:3.0.4.dfsg-1 [433 kB]
Get:19 http://172.17.0.1/private stretch-staging/main armhf bison armhf 2:3.0.4.dfsg-1 [744 kB]
Get:20 http://172.17.0.1/private stretch-staging/main armhf libffi6 armhf 3.2.1-4 [18.5 kB]
Get:21 http://172.17.0.1/private stretch-staging/main armhf libglib2.0-0 armhf 2.46.2-3 [2482 kB]
Get:22 http://172.17.0.1/private stretch-staging/main armhf libcroco3 armhf 0.6.11-1 [131 kB]
Get:23 http://172.17.0.1/private stretch-staging/main armhf gettext armhf 0.19.7-2 [1400 kB]
Get:24 http://172.17.0.1/private stretch-staging/main armhf intltool-debian all 0.35.0+20060710.4 [26.3 kB]
Get:25 http://172.17.0.1/private stretch-staging/main armhf po-debconf all 1.0.19 [249 kB]
Get:26 http://172.17.0.1/private stretch-staging/main armhf libarchive-zip-perl all 1.56-2 [94.9 kB]
Get:27 http://172.17.0.1/private stretch-staging/main armhf libfile-stripnondeterminism-perl all 0.014-1 [10.6 kB]
Get:28 http://172.17.0.1/private stretch-staging/main armhf libtimedate-perl all 2.3000-2 [42.2 kB]
Get:29 http://172.17.0.1/private stretch-staging/main armhf dh-strip-nondeterminism all 0.014-1 [7472 B]
Get:30 http://172.17.0.1/private stretch-staging/main armhf debhelper all 9.20160115 [827 kB]
Get:31 http://172.17.0.1/private stretch-staging/main armhf libffi-dev armhf 3.2.1-4 [159 kB]
Get:32 http://172.17.0.1/private stretch-staging/main armhf libtinfo-dev armhf 6.0+20151024-2 [65.8 kB]
Get:33 http://172.17.0.1/private stretch-staging/main armhf libreadline6-dev armhf 6.3-8+b3 [105 kB]
Get:34 http://172.17.0.1/private stretch-staging/main armhf libreadline-dev armhf 6.3-8+b3 [958 B]
Get:35 http://172.17.0.1/private stretch-staging/main armhf libtcl8.6 armhf 8.6.4+dfsg-3 [855 kB]
Get:36 http://172.17.0.1/private stretch-staging/main armhf pkg-config armhf 0.29-3 [59.0 kB]
Get:37 http://172.17.0.1/private stretch-staging/main armhf tcl8.6 armhf 8.6.4+dfsg-3 [122 kB]
Get:38 http://172.17.0.1/private stretch-staging/main armhf tcl armhf 8.6.0+9 [5502 B]
Get:39 http://172.17.0.1/private stretch-staging/main armhf zlib1g-dev armhf 1:1.2.8.dfsg-2+b1 [197 kB]
Get:40 http://172.17.0.1/private stretch-staging/main armhf tcl8.6-dev armhf 8.6.4+dfsg-3 [885 kB]
Get:41 http://172.17.0.1/private stretch-staging/main armhf tcl-dev armhf 8.6.0+9 [7986 B]
debconf: delaying package configuration, since apt-utils is not installed
Fetched 21.4 MB in 2s (9899 kB/s)
Selecting previously unselected package groff-base.
(Reading database ... 
(Reading database ... 5%
(Reading database ... 10%
(Reading database ... 15%
(Reading database ... 20%
(Reading database ... 25%
(Reading database ... 30%
(Reading database ... 35%
(Reading database ... 40%
(Reading database ... 45%
(Reading database ... 50%
(Reading database ... 55%
(Reading database ... 60%
(Reading database ... 65%
(Reading database ... 70%
(Reading database ... 75%
(Reading database ... 80%
(Reading database ... 85%
(Reading database ... 90%
(Reading database ... 95%
(Reading database ... 100%
(Reading database ... 12670 files and directories currently installed.)
Preparing to unpack .../groff-base_1.22.3-5_armhf.deb ...
Unpacking groff-base (1.22.3-5) ...
Selecting previously unselected package bsdmainutils.
Preparing to unpack .../bsdmainutils_9.0.6_armhf.deb ...
Unpacking bsdmainutils (9.0.6) ...
Selecting previously unselected package libpipeline1:armhf.
Preparing to unpack .../libpipeline1_1.4.1-2_armhf.deb ...
Unpacking libpipeline1:armhf (1.4.1-2) ...
Selecting previously unselected package man-db.
Preparing to unpack .../man-db_2.7.5-1_armhf.deb ...
Unpacking man-db (2.7.5-1) ...
Selecting previously unselected package libsigsegv2:armhf.
Preparing to unpack .../libsigsegv2_2.10-4_armhf.deb ...
Unpacking libsigsegv2:armhf (2.10-4) ...
Selecting previously unselected package m4.
Preparing to unpack .../archives/m4_1.4.17-5_armhf.deb ...
Unpacking m4 (1.4.17-5) ...
Selecting previously unselected package libfl-dev:armhf.
Preparing to unpack .../libfl-dev_2.5.39-8+b1_armhf.deb ...
Unpacking libfl-dev:armhf (2.5.39-8+b1) ...
Selecting previously unselected package flex.
Preparing to unpack .../flex_2.5.39-8+b1_armhf.deb ...
Unpacking flex (2.5.39-8+b1) ...
Processing triggers for libc-bin (2.21-6) ...
Setting up libsigsegv2:armhf (2.10-4) ...
Processing triggers for libc-bin (2.21-6) ...
Selecting previously unselected package gawk.
(Reading database ... 
(Reading database ... 5%
(Reading database ... 10%
(Reading database ... 15%
(Reading database ... 20%
(Reading database ... 25%
(Reading database ... 30%
(Reading database ... 35%
(Reading database ... 40%
(Reading database ... 45%
(Reading database ... 50%
(Reading database ... 55%
(Reading database ... 60%
(Reading database ... 65%
(Reading database ... 70%
(Reading database ... 75%
(Reading database ... 80%
(Reading database ... 85%
(Reading database ... 90%
(Reading database ... 95%
(Reading database ... 100%
(Reading database ... 13407 files and directories currently installed.)
Preparing to unpack .../gawk_1%3a4.1.3+dfsg-0.1_armhf.deb ...
Unpacking gawk (1:4.1.3+dfsg-0.1) ...
Selecting previously unselected package libunistring0:armhf.
Preparing to unpack .../libunistring0_0.9.3-5.2_armhf.deb ...
Unpacking libunistring0:armhf (0.9.3-5.2) ...
Selecting previously unselected package libmagic1:armhf.
Preparing to unpack .../libmagic1_1%3a5.25-2_armhf.deb ...
Unpacking libmagic1:armhf (1:5.25-2) ...
Selecting previously unselected package file.
Preparing to unpack .../file_1%3a5.25-2_armhf.deb ...
Unpacking file (1:5.25-2) ...
Selecting previously unselected package gettext-base.
Preparing to unpack .../gettext-base_0.19.7-2_armhf.deb ...
Unpacking gettext-base (0.19.7-2) ...
Selecting previously unselected package libicu55:armhf.
Preparing to unpack .../libicu55_55.1-7_armhf.deb ...
Unpacking libicu55:armhf (55.1-7) ...
Selecting previously unselected package libxml2:armhf.
Preparing to unpack .../libxml2_2.9.3+dfsg1-1_armhf.deb ...
Unpacking libxml2:armhf (2.9.3+dfsg1-1) ...
Selecting previously unselected package autotools-dev.
Preparing to unpack .../autotools-dev_20150820.1_all.deb ...
Unpacking autotools-dev (20150820.1) ...
Selecting previously unselected package libbison-dev:armhf.
Preparing to unpack .../libbison-dev_2%3a3.0.4.dfsg-1_armhf.deb ...
Unpacking libbison-dev:armhf (2:3.0.4.dfsg-1) ...
Selecting previously unselected package bison.
Preparing to unpack .../bison_2%3a3.0.4.dfsg-1_armhf.deb ...
Unpacking bison (2:3.0.4.dfsg-1) ...
Selecting previously unselected package libffi6:armhf.
Preparing to unpack .../libffi6_3.2.1-4_armhf.deb ...
Unpacking libffi6:armhf (3.2.1-4) ...
Selecting previously unselected package libglib2.0-0:armhf.
Preparing to unpack .../libglib2.0-0_2.46.2-3_armhf.deb ...
Unpacking libglib2.0-0:armhf (2.46.2-3) ...
Selecting previously unselected package libcroco3:armhf.
Preparing to unpack .../libcroco3_0.6.11-1_armhf.deb ...
Unpacking libcroco3:armhf (0.6.11-1) ...
Selecting previously unselected package gettext.
Preparing to unpack .../gettext_0.19.7-2_armhf.deb ...
Unpacking gettext (0.19.7-2) ...
Selecting previously unselected package intltool-debian.
Preparing to unpack .../intltool-debian_0.35.0+20060710.4_all.deb ...
Unpacking intltool-debian (0.35.0+20060710.4) ...
Selecting previously unselected package po-debconf.
Preparing to unpack .../po-debconf_1.0.19_all.deb ...
Unpacking po-debconf (1.0.19) ...
Selecting previously unselected package libarchive-zip-perl.
Preparing to unpack .../libarchive-zip-perl_1.56-2_all.deb ...
Unpacking libarchive-zip-perl (1.56-2) ...
Selecting previously unselected package libfile-stripnondeterminism-perl.
Preparing to unpack .../libfile-stripnondeterminism-perl_0.014-1_all.deb ...
Unpacking libfile-stripnondeterminism-perl (0.014-1) ...
Selecting previously unselected package libtimedate-perl.
Preparing to unpack .../libtimedate-perl_2.3000-2_all.deb ...
Unpacking libtimedate-perl (2.3000-2) ...
Selecting previously unselected package dh-strip-nondeterminism.
Preparing to unpack .../dh-strip-nondeterminism_0.014-1_all.deb ...
Unpacking dh-strip-nondeterminism (0.014-1) ...
Selecting previously unselected package debhelper.
Preparing to unpack .../debhelper_9.20160115_all.deb ...
Unpacking debhelper (9.20160115) ...
Selecting previously unselected package libffi-dev:armhf.
Preparing to unpack .../libffi-dev_3.2.1-4_armhf.deb ...
Unpacking libffi-dev:armhf (3.2.1-4) ...
Selecting previously unselected package libtinfo-dev:armhf.
Preparing to unpack .../libtinfo-dev_6.0+20151024-2_armhf.deb ...
Unpacking libtinfo-dev:armhf (6.0+20151024-2) ...
Selecting previously unselected package libreadline6-dev:armhf.
Preparing to unpack .../libreadline6-dev_6.3-8+b3_armhf.deb ...
Unpacking libreadline6-dev:armhf (6.3-8+b3) ...
Selecting previously unselected package libreadline-dev:armhf.
Preparing to unpack .../libreadline-dev_6.3-8+b3_armhf.deb ...
Unpacking libreadline-dev:armhf (6.3-8+b3) ...
Selecting previously unselected package libtcl8.6:armhf.
Preparing to unpack .../libtcl8.6_8.6.4+dfsg-3_armhf.deb ...
Unpacking libtcl8.6:armhf (8.6.4+dfsg-3) ...
Selecting previously unselected package pkg-config.
Preparing to unpack .../pkg-config_0.29-3_armhf.deb ...
Unpacking pkg-config (0.29-3) ...
Selecting previously unselected package tcl8.6.
Preparing to unpack .../tcl8.6_8.6.4+dfsg-3_armhf.deb ...
Unpacking tcl8.6 (8.6.4+dfsg-3) ...
Selecting previously unselected package tcl.
Preparing to unpack .../archives/tcl_8.6.0+9_armhf.deb ...
Unpacking tcl (8.6.0+9) ...
Selecting previously unselected package zlib1g-dev:armhf.
Preparing to unpack .../zlib1g-dev_1%3a1.2.8.dfsg-2+b1_armhf.deb ...
Unpacking zlib1g-dev:armhf (1:1.2.8.dfsg-2+b1) ...
Selecting previously unselected package tcl8.6-dev:armhf.
Preparing to unpack .../tcl8.6-dev_8.6.4+dfsg-3_armhf.deb ...
Unpacking tcl8.6-dev:armhf (8.6.4+dfsg-3) ...
Selecting previously unselected package tcl-dev:armhf.
Preparing to unpack .../tcl-dev_8.6.0+9_armhf.deb ...
Unpacking tcl-dev:armhf (8.6.0+9) ...
Selecting previously unselected package sbuild-build-depends-yosys-dummy.
Preparing to unpack .../sbuild-build-depends-yosys-dummy.deb ...
Unpacking sbuild-build-depends-yosys-dummy (0.invalid.0) ...
Processing triggers for libc-bin (2.21-6) ...
Setting up groff-base (1.22.3-5) ...
Setting up bsdmainutils (9.0.6) ...
update-alternatives: using /usr/bin/bsd-write to provide /usr/bin/write (write) in auto mode
update-alternatives: using /usr/bin/bsd-from to provide /usr/bin/from (from) in auto mode
Setting up libpipeline1:armhf (1.4.1-2) ...
Setting up man-db (2.7.5-1) ...
Not building database; man-db/auto-update is not 'true'.
Setting up m4 (1.4.17-5) ...
Setting up libfl-dev:armhf (2.5.39-8+b1) ...
Setting up flex (2.5.39-8+b1) ...
Setting up gawk (1:4.1.3+dfsg-0.1) ...
Setting up libunistring0:armhf (0.9.3-5.2) ...
Setting up libmagic1:armhf (1:5.25-2) ...
Setting up file (1:5.25-2) ...
Setting up gettext-base (0.19.7-2) ...
Setting up libicu55:armhf (55.1-7) ...
Setting up libxml2:armhf (2.9.3+dfsg1-1) ...
Setting up autotools-dev (20150820.1) ...
Setting up libbison-dev:armhf (2:3.0.4.dfsg-1) ...
Setting up bison (2:3.0.4.dfsg-1) ...
update-alternatives: using /usr/bin/bison.yacc to provide /usr/bin/yacc (yacc) in auto mode
Setting up libffi6:armhf (3.2.1-4) ...
Setting up libglib2.0-0:armhf (2.46.2-3) ...
No schema files found: doing nothing.
Setting up libcroco3:armhf (0.6.11-1) ...
Setting up gettext (0.19.7-2) ...
Setting up intltool-debian (0.35.0+20060710.4) ...
Setting up po-debconf (1.0.19) ...
Setting up libarchive-zip-perl (1.56-2) ...
Setting up libfile-stripnondeterminism-perl (0.014-1) ...
Setting up libtimedate-perl (2.3000-2) ...
Setting up libffi-dev:armhf (3.2.1-4) ...
Setting up libtinfo-dev:armhf (6.0+20151024-2) ...
Setting up libreadline6-dev:armhf (6.3-8+b3) ...
Setting up libreadline-dev:armhf (6.3-8+b3) ...
Setting up libtcl8.6:armhf (8.6.4+dfsg-3) ...
Setting up pkg-config (0.29-3) ...
Setting up tcl8.6 (8.6.4+dfsg-3) ...
Setting up tcl (8.6.0+9) ...
Setting up zlib1g-dev:armhf (1:1.2.8.dfsg-2+b1) ...
Setting up tcl8.6-dev:armhf (8.6.4+dfsg-3) ...
Setting up tcl-dev:armhf (8.6.0+9) ...
Setting up debhelper (9.20160115) ...
Setting up sbuild-build-depends-yosys-dummy (0.invalid.0) ...
Setting up dh-strip-nondeterminism (0.014-1) ...
Processing triggers for libc-bin (2.21-6) ...
W: No sandbox user '_apt' on the system, can not drop privileges

┌──────────────────────────────────────────────────────────────────────────────┐
│ Build environment                                                            │
└──────────────────────────────────────────────────────────────────────────────┘

Kernel: Linux 3.19.0-trunk-armmp armhf (armv7l)
Toolchain package versions: binutils_2.25.90.20160101-2 dpkg-dev_1.18.4 g++-5_5.3.1-5+rpi1 gcc-5_5.3.1-5+rpi1 libc6-dev_2.21-6 libstdc++-5-dev_5.3.1-5+rpi1 libstdc++6_5.3.1-5+rpi1 linux-libc-dev_3.18.5-1~exp1+rpi19+stretch
Package versions: adduser_3.113+nmu3 apt_1.2 autotools-dev_20150820.1 base-files_9.5+rpi1 base-passwd_3.5.39 bash_4.3-14 binutils_2.25.90.20160101-2 bison_2:3.0.4.dfsg-1 bsdmainutils_9.0.6 bsdutils_1:2.27.1-1 build-essential_11.7 bzip2_1.0.6-8 coreutils_8.23-4 cpio_2.11+dfsg-4.1 cpp_4:5.3.1-1+rpi1 cpp-5_5.3.1-5+rpi1 dash_0.5.7-4 debconf_1.5.58 debfoster_2.7-2 debhelper_9.20160115 debianutils_4.5.1 dh-strip-nondeterminism_0.014-1 diffutils_1:3.3-3 dmsetup_2:1.02.114-1 dpkg_1.18.4 dpkg-dev_1.18.4 e2fslibs_1.42.13-1 e2fsprogs_1.42.13-1 fakeroot_1.20.2-1 file_1:5.25-2 findutils_4.6.0-2 flex_2.5.39-8+b1 g++_4:5.3.1-1+rpi1 g++-5_5.3.1-5+rpi1 gawk_1:4.1.3+dfsg-0.1 gcc_4:5.3.1-1+rpi1 gcc-4.6-base_4.6.4-5+rpi1 gcc-4.7-base_4.7.3-11+rpi1 gcc-4.8-base_4.8.5-3 gcc-4.9-base_4.9.3-10 gcc-5_5.3.1-5+rpi1 gcc-5-base_5.3.1-5+rpi1 gettext_0.19.7-2 gettext-base_0.19.7-2 gnupg_1.4.20-1 gpgv_1.4.20-1 grep_2.22-1 groff-base_1.22.3-5 gzip_1.6-4 hostname_3.16 init_1.24 init-system-helpers_1.24 initramfs-tools_0.120 initscripts_2.88dsf-59.2 insserv_1.14.0-5 intltool-debian_0.35.0+20060710.4 klibc-utils_2.0.4-6+rpi1 kmod_21-1 libacl1_2.2.52-2 libapparmor1_2.10-2+b3 libapt-pkg5.0_1.2 libarchive-zip-perl_1.56-2 libasan2_5.3.1-5+rpi1 libatomic1_5.3.1-5+rpi1 libattr1_1:2.4.47-2 libaudit-common_1:2.4.5-1 libaudit1_1:2.4.5-1 libbison-dev_2:3.0.4.dfsg-1 libblkid1_2.27.1-1 libbz2-1.0_1.0.6-8 libc-bin_2.21-6 libc-dev-bin_2.21-6 libc6_2.21-6 libc6-dev_2.21-6 libcap2_1:2.24-12 libcap2-bin_1:2.24-12 libcc1-0_5.3.1-5+rpi1 libcomerr2_1.42.13-1 libcroco3_0.6.11-1 libcryptsetup4_2:1.7.0-2 libdb5.3_5.3.28-11 libdbus-1-3_1.10.6-1 libdebconfclient0_0.201 libdevmapper1.02.1_2:1.02.114-1 libdpkg-perl_1.18.4 libdrm2_2.4.66-2 libfakeroot_1.20.2-1 libfdisk1_2.27.1-1 libffi-dev_3.2.1-4 libffi6_3.2.1-4 libfile-stripnondeterminism-perl_0.014-1 libfl-dev_2.5.39-8+b1 libgc1c2_1:7.4.2-7.3 libgcc-5-dev_5.3.1-5+rpi1 libgcc1_1:5.3.1-5+rpi1 libgcrypt20_1.6.4-4 libgdbm3_1.8.3-13.1 libglib2.0-0_2.46.2-3 libgmp10_2:6.1.0+dfsg-2 libgomp1_5.3.1-5+rpi1 libgpg-error0_1.21-1 libicu55_55.1-7 libisl15_0.15-3 libklibc_2.0.4-6+rpi1 libkmod2_21-1 liblz4-1_0.0~r131-1 liblzma5_5.1.1alpha+20120614-2.1 libmagic1_1:5.25-2 libmount1_2.27.1-1 libmpc3_1.0.3-1 libmpfr4_3.1.3-2 libncurses5_6.0+20151024-2 libncursesw5_6.0+20151024-2 libpam-modules_1.1.8-3.2 libpam-modules-bin_1.1.8-3.2 libpam-runtime_1.1.8-3.2 libpam0g_1.1.8-3.2 libpcre3_2:8.38-1 libperl5.22_5.22.1-4 libpipeline1_1.4.1-2 libpng12-0_1.2.54-1 libprocps5_2:3.3.11-3 libreadline-dev_6.3-8+b3 libreadline6_6.3-8+b3 libreadline6-dev_6.3-8+b3 libseccomp2_2.2.3-2 libselinux1_2.4-3 libsemanage-common_2.4-3 libsemanage1_2.4-3 libsepol1_2.4-2 libsigsegv2_2.10-4 libsmartcols1_2.27.1-1 libss2_1.42.13-1 libstdc++-5-dev_5.3.1-5+rpi1 libstdc++6_5.3.1-5+rpi1 libsystemd0_228-4 libtcl8.6_8.6.4+dfsg-3 libtimedate-perl_2.3000-2 libtinfo-dev_6.0+20151024-2 libtinfo5_6.0+20151024-2 libubsan0_5.3.1-5+rpi1 libudev1_228-4 libunistring0_0.9.3-5.2 libusb-0.1-4_2:0.1.12-28 libustr-1.0-1_1.0.4-5 libuuid1_2.27.1-1 libxml2_2.9.3+dfsg1-1 linux-libc-dev_3.18.5-1~exp1+rpi19+stretch login_1:4.2-3.1 lsb-base_4.1+Debian13+rpi1+nmu1 m4_1.4.17-5 make_4.0-8.2 makedev_2.3.1-93 man-db_2.7.5-1 manpages_4.04-0.1 mawk_1.3.3-17 mount_2.27.1-1 multiarch-support_2.21-6 nano_2.4.3-1 ncurses-base_6.0+20151024-2 ncurses-bin_6.0+20151024-2 passwd_1:4.2-3.1 patch_2.7.5-1 perl_5.22.1-4 perl-base_5.22.1-4 perl-modules-5.22_5.22.1-4 pkg-config_0.29-3 po-debconf_1.0.19 procps_2:3.3.11-3 raspbian-archive-keyring_20120528.2 readline-common_6.3-8 sbuild-build-depends-core-dummy_0.invalid.0 sbuild-build-depends-yosys-dummy_0.invalid.0 sed_4.2.2-6.1 sensible-utils_0.0.9 startpar_0.59-3 systemd_228-4 systemd-sysv_228-4 sysv-rc_2.88dsf-59.2 sysvinit-utils_2.88dsf-59.2 tar_1.28-2.1 tcl_8.6.0+9 tcl-dev_8.6.0+9 tcl8.6_8.6.4+dfsg-3 tcl8.6-dev_8.6.4+dfsg-3 tzdata_2015g-1 udev_228-4 util-linux_2.27.1-1 xz-utils_5.1.1alpha+20120614-2.1 zlib1g_1:1.2.8.dfsg-2+b1 zlib1g-dev_1:1.2.8.dfsg-2+b1

┌──────────────────────────────────────────────────────────────────────────────┐
│ Build                                                                        │
└──────────────────────────────────────────────────────────────────────────────┘


Unpack source
─────────────

gpgv: keyblock resource `/sbuild-nonexistent/.gnupg/trustedkeys.gpg': file open error
gpgv: Signature made Tue Feb 10 21:43:48 2015 UTC using RSA key ID D1C646D1
gpgv: Can't check signature: public key not found
dpkg-source: warning: failed to verify signature on ./yosys_0.5.0-1.dsc
dpkg-source: info: extracting yosys in yosys-0.5.0
dpkg-source: info: unpacking yosys_0.5.0.orig.tar.gz
dpkg-source: info: unpacking yosys_0.5.0-1.debian.tar.xz
dpkg-source: info: applying 01_gitrevision.patch
dpkg-source: info: applying 02_removeabc.patch
dpkg-source: info: applying 03_notruntests.patch
dpkg-source: info: applying 04_installpath.patch
dpkg-source: info: applying 05_abc_executable.patch
dpkg-source: info: applying 06_cflags_ldflags.patch

Check disc space
────────────────

Sufficient free space for build

Hack binNMU version
───────────────────

Created changelog entry for binNMU version 0.5.0-1+b3

User Environment
────────────────

DEB_BUILD_OPTIONS=parallel=4
HOME=/sbuild-nonexistent
LOGNAME=buildd
PATH=/usr/local/sbin:/usr/local/bin:/usr/sbin:/usr/bin:/sbin:/bin:/usr/games
SCHROOT_ALIAS_NAME=stretch-staging-armhf-sbuild
SCHROOT_CHROOT_NAME=stretch-staging-armhf-sbuild
SCHROOT_COMMAND=env
SCHROOT_GID=109
SCHROOT_GROUP=buildd
SCHROOT_SESSION_ID=stretch-staging-armhf-sbuild-52c68209-aec2-43c4-a466-13b83bdbe751
SCHROOT_UID=104
SCHROOT_USER=buildd
SHELL=/bin/sh
TERM=linux
USER=buildd

dpkg-buildpackage
─────────────────

dpkg-buildpackage: source package yosys
dpkg-buildpackage: source version 0.5.0-1+b3
dpkg-buildpackage: source distribution stretch-staging
 dpkg-source --before-build yosys-0.5.0
dpkg-buildpackage: host architecture armhf
 fakeroot debian/rules clean
dh clean 
   dh_testdir
   dh_auto_clean
	make -j1 clean
make[1]: Entering directory '/«PKGBUILDDIR»'
rm -rf share
cd manual && bash clean.sh
find ./ -name '*.aux' | xargs -r rm -f
find ./ -name '*.bbl' | xargs -r rm -f
find ./ -name '*.blg' | xargs -r rm -f
find ./ -name '*.idx' | xargs -r rm -f
find ./ -name '*.log' | xargs -r rm -f
find ./ -name '*.out' | xargs -r rm -f
find ./ -name '*.pdf' | xargs -r rm -f
find ./ -name '*.toc' | xargs -r rm -f
find ./ -name '*.snm' | xargs -r rm -f
find ./ -name '*.nav' | xargs -r rm -f
find ./ -name '*.vrb' | xargs -r rm -f
find ./ -name '*.ok' | xargs -r rm -f
find ./CHAPTER_Prog/ -name 'stubnets.so' | xargs -r rm -f
find ./CHAPTER_Prog/ -name 'stubnets.d' | xargs -r rm -f
find ./CHAPTER_Prog/ -name '*.log' | xargs -r rm -f
find ./PRESENTATION_ExAdv/ -name '*.dot' | xargs -r rm -f
find ./PRESENTATION_ExOth/ -name '*.dot' | xargs -r rm -f
find ./PRESENTATION_ExSyn/ -name '*.dot' | xargs -r rm -f
find ./PRESENTATION_Intro/ -name 'counter_00.dot' | xargs -r rm -f
find ./PRESENTATION_Intro/ -name 'counter_01.dot' | xargs -r rm -f
find ./PRESENTATION_Intro/ -name 'counter_02.dot' | xargs -r rm -f
find ./PRESENTATION_Intro/ -name 'counter_03.dot' | xargs -r rm -f
find ./PRESENTATION_Prog/ -name 'my_cmd.so' | xargs -r rm -f
find ./PRESENTATION_Prog/ -name 'my_cmd.d' | xargs -r rm -f
rm -f kernel/version_c3c9fbf.o kernel/driver.o kernel/register.o kernel/rtlil.o kernel/log.o kernel/calc.o kernel/yosys.o libs/bigint/BigIntegerAlgorithms.o libs/bigint/BigInteger.o libs/bigint/BigIntegerUtils.o libs/bigint/BigUnsigned.o libs/bigint/BigUnsignedInABase.o libs/sha1/sha1.o libs/subcircuit/subcircuit.o libs/ezsat/ezsat.o libs/ezsat/ezminisat.o libs/minisat/Options.o libs/minisat/SimpSolver.o libs/minisat/Solver.o libs/minisat/System.o frontends/vhdl2verilog/vhdl2verilog.o frontends/ilang/ilang_parser.tab.o frontends/ilang/ilang_lexer.o frontends/ilang/ilang_frontend.o frontends/verilog/verilog_parser.tab.o frontends/verilog/verilog_lexer.o frontends/verilog/preproc.o frontends/verilog/verilog_frontend.o frontends/verilog/const2ast.o frontends/ast/ast.o frontends/ast/simplify.o frontends/ast/genrtlil.o frontends/ast/dpicall.o frontends/verific/verific.o frontends/liberty/liberty.o passes/abc/abc.o passes/abc/blifparse.o passes/tests/test_autotb.o passes/tests/test_cell.o passes/tests/test_abcloop.o passes/sat/sat.o passes/sat/freduce.o passes/sat/eval.o passes/sat/miter.o passes/sat/expose.o passes/cmds/add.o passes/cmds/delete.o passes/cmds/design.o passes/cmds/select.o passes/cmds/show.o passes/cmds/rename.o passes/cmds/connect.o passes/cmds/scatter.o passes/cmds/setundef.o passes/cmds/splitnets.o passes/cmds/stat.o passes/cmds/setattr.o passes/cmds/copy.o passes/cmds/splice.o passes/cmds/scc.o passes/cmds/logcmd.o passes/cmds/tee.o passes/cmds/write_file.o passes/cmds/connwrappers.o passes/cmds/cover.o passes/cmds/trace.o passes/cmds/plugin.o passes/memory/memory.o passes/memory/memory_dff.o passes/memory/memory_share.o passes/memory/memory_collect.o passes/memory/memory_unpack.o passes/memory/memory_bram.o passes/memory/memory_map.o passes/techmap/techmap.o passes/techmap/simplemap.o passes/techmap/dfflibmap.o passes/techmap/maccmap.o passes/techmap/libparse.o passes/techmap/iopadmap.o passes/techmap/hilomap.o passes/techmap/extract.o passes/techmap/alumacc.o passes/techmap/dff2dffe.o passes/opt/opt.o passes/opt/opt_share.o passes/opt/opt_muxtree.o passes/opt/opt_reduce.o passes/opt/opt_rmdff.o passes/opt/opt_clean.o  passes/opt/opt_const.o  passes/opt/share.o passes/opt/wreduce.o passes/hierarchy/hierarchy.o passes/hierarchy/submod.o passes/equiv/equiv_make.o passes/equiv/equiv_miter.o passes/equiv/equiv_simple.o passes/equiv/equiv_status.o passes/equiv/equiv_add.o passes/equiv/equiv_remove.o passes/equiv/equiv_induct.o passes/fsm/fsm.o passes/fsm/fsm_detect.o passes/fsm/fsm_extract.o passes/fsm/fsm_opt.o passes/fsm/fsm_expand.o passes/fsm/fsm_recode.o passes/fsm/fsm_info.o passes/fsm/fsm_export.o passes/fsm/fsm_map.o passes/proc/proc.o passes/proc/proc_clean.o passes/proc/proc_rmdead.o passes/proc/proc_init.o passes/proc/proc_arst.o passes/proc/proc_mux.o passes/proc/proc_dff.o backends/edif/edif.o backends/smt2/smt2.o backends/btor/btor.o backends/intersynth/intersynth.o backends/ilang/ilang_backend.o backends/verilog/verilog_backend.o backends/blif/blif.o backends/spice/spice.o techlibs/xilinx/synth_xilinx.o techlibs/common/synth.o  frontends/ilang/ilang_parser.tab.cc frontends/ilang/ilang_parser.tab.h frontends/ilang/ilang_parser.output frontends/ilang/ilang_lexer.cc frontends/verilog/verilog_parser.tab.cc frontends/verilog/verilog_parser.tab.h frontends/verilog/verilog_parser.output frontends/verilog/verilog_lexer.cc passes/techmap/techmap.inc yosys yosys-config yosys-filterlib  share/include/kernel/yosys.h share/include/kernel/hashlib.h share/include/kernel/log.h share/include/kernel/rtlil.h share/include/kernel/register.h share/include/kernel/celltypes.h share/include/kernel/consteval.h share/include/kernel/sigtools.h share/include/kernel/modtools.h share/include/kernel/macc.h share/include/kernel/utils.h share/include/kernel/satgen.h share/include/libs/ezsat/ezsat.h share/include/libs/ezsat/ezminisat.h share/include/libs/sha1/sha1.h share/include/passes/fsm/fsmdata.h share/include/backends/ilang/ilang_backend.h share/xilinx/cells_map.v share/xilinx/cells_sim.v share/xilinx/brams.txt share/xilinx/brams_map.v share/xilinx/arith_map.v techlibs/common/blackbox.v share/simlib.v share/simcells.v share/techmap.v share/blackbox.v share/pmux2mux.v share/adff2dff.v share/cells.lib  passes/techmap/filterlib.o
rm -f kernel/version_*.o kernel/version_*.cc abc/abc-[0-9a-f]*
rm -f libs/*/*.d frontends/*/*.d passes/*/*.d backends/*/*.d kernel/*.d techlibs/*/*.d
make[1]: Leaving directory '/«PKGBUILDDIR»'
   dh_clean
 debian/rules build-arch
dh build-arch 
   dh_testdir -a
   dh_update_autotools_config -a
   debian/rules override_dh_auto_configure
make[1]: Entering directory '/«PKGBUILDDIR»'
make config-gcc && make
make[2]: Entering directory '/«PKGBUILDDIR»'
rm -rf share
cd manual && bash clean.sh
find ./ -name '*.aux' | xargs -r rm -f
find ./ -name '*.bbl' | xargs -r rm -f
find ./ -name '*.blg' | xargs -r rm -f
find ./ -name '*.idx' | xargs -r rm -f
find ./ -name '*.log' | xargs -r rm -f
find ./ -name '*.out' | xargs -r rm -f
find ./ -name '*.pdf' | xargs -r rm -f
find ./ -name '*.toc' | xargs -r rm -f
find ./ -name '*.snm' | xargs -r rm -f
find ./ -name '*.nav' | xargs -r rm -f
find ./ -name '*.vrb' | xargs -r rm -f
find ./ -name '*.ok' | xargs -r rm -f
find ./CHAPTER_Prog/ -name 'stubnets.so' | xargs -r rm -f
find ./CHAPTER_Prog/ -name 'stubnets.d' | xargs -r rm -f
find ./CHAPTER_Prog/ -name '*.log' | xargs -r rm -f
find ./PRESENTATION_ExAdv/ -name '*.dot' | xargs -r rm -f
find ./PRESENTATION_ExOth/ -name '*.dot' | xargs -r rm -f
find ./PRESENTATION_ExSyn/ -name '*.dot' | xargs -r rm -f
find ./PRESENTATION_Intro/ -name 'counter_00.dot' | xargs -r rm -f
find ./PRESENTATION_Intro/ -name 'counter_01.dot' | xargs -r rm -f
find ./PRESENTATION_Intro/ -name 'counter_02.dot' | xargs -r rm -f
find ./PRESENTATION_Intro/ -name 'counter_03.dot' | xargs -r rm -f
find ./PRESENTATION_Prog/ -name 'my_cmd.so' | xargs -r rm -f
find ./PRESENTATION_Prog/ -name 'my_cmd.d' | xargs -r rm -f
rm -f kernel/version_c3c9fbf.o kernel/driver.o kernel/register.o kernel/rtlil.o kernel/log.o kernel/calc.o kernel/yosys.o libs/bigint/BigIntegerAlgorithms.o libs/bigint/BigInteger.o libs/bigint/BigIntegerUtils.o libs/bigint/BigUnsigned.o libs/bigint/BigUnsignedInABase.o libs/sha1/sha1.o libs/subcircuit/subcircuit.o libs/ezsat/ezsat.o libs/ezsat/ezminisat.o libs/minisat/Options.o libs/minisat/SimpSolver.o libs/minisat/Solver.o libs/minisat/System.o frontends/vhdl2verilog/vhdl2verilog.o frontends/ilang/ilang_parser.tab.o frontends/ilang/ilang_lexer.o frontends/ilang/ilang_frontend.o frontends/verilog/verilog_parser.tab.o frontends/verilog/verilog_lexer.o frontends/verilog/preproc.o frontends/verilog/verilog_frontend.o frontends/verilog/const2ast.o frontends/ast/ast.o frontends/ast/simplify.o frontends/ast/genrtlil.o frontends/ast/dpicall.o frontends/verific/verific.o frontends/liberty/liberty.o passes/abc/abc.o passes/abc/blifparse.o passes/tests/test_autotb.o passes/tests/test_cell.o passes/tests/test_abcloop.o passes/sat/sat.o passes/sat/freduce.o passes/sat/eval.o passes/sat/miter.o passes/sat/expose.o passes/cmds/add.o passes/cmds/delete.o passes/cmds/design.o passes/cmds/select.o passes/cmds/show.o passes/cmds/rename.o passes/cmds/connect.o passes/cmds/scatter.o passes/cmds/setundef.o passes/cmds/splitnets.o passes/cmds/stat.o passes/cmds/setattr.o passes/cmds/copy.o passes/cmds/splice.o passes/cmds/scc.o passes/cmds/logcmd.o passes/cmds/tee.o passes/cmds/write_file.o passes/cmds/connwrappers.o passes/cmds/cover.o passes/cmds/trace.o passes/cmds/plugin.o passes/memory/memory.o passes/memory/memory_dff.o passes/memory/memory_share.o passes/memory/memory_collect.o passes/memory/memory_unpack.o passes/memory/memory_bram.o passes/memory/memory_map.o passes/techmap/techmap.o passes/techmap/simplemap.o passes/techmap/dfflibmap.o passes/techmap/maccmap.o passes/techmap/libparse.o passes/techmap/iopadmap.o passes/techmap/hilomap.o passes/techmap/extract.o passes/techmap/alumacc.o passes/techmap/dff2dffe.o passes/opt/opt.o passes/opt/opt_share.o passes/opt/opt_muxtree.o passes/opt/opt_reduce.o passes/opt/opt_rmdff.o passes/opt/opt_clean.o  passes/opt/opt_const.o  passes/opt/share.o passes/opt/wreduce.o passes/hierarchy/hierarchy.o passes/hierarchy/submod.o passes/equiv/equiv_make.o passes/equiv/equiv_miter.o passes/equiv/equiv_simple.o passes/equiv/equiv_status.o passes/equiv/equiv_add.o passes/equiv/equiv_remove.o passes/equiv/equiv_induct.o passes/fsm/fsm.o passes/fsm/fsm_detect.o passes/fsm/fsm_extract.o passes/fsm/fsm_opt.o passes/fsm/fsm_expand.o passes/fsm/fsm_recode.o passes/fsm/fsm_info.o passes/fsm/fsm_export.o passes/fsm/fsm_map.o passes/proc/proc.o passes/proc/proc_clean.o passes/proc/proc_rmdead.o passes/proc/proc_init.o passes/proc/proc_arst.o passes/proc/proc_mux.o passes/proc/proc_dff.o backends/edif/edif.o backends/smt2/smt2.o backends/btor/btor.o backends/intersynth/intersynth.o backends/ilang/ilang_backend.o backends/verilog/verilog_backend.o backends/blif/blif.o backends/spice/spice.o techlibs/xilinx/synth_xilinx.o techlibs/common/synth.o  frontends/ilang/ilang_parser.tab.cc frontends/ilang/ilang_parser.tab.h frontends/ilang/ilang_parser.output frontends/ilang/ilang_lexer.cc frontends/verilog/verilog_parser.tab.cc frontends/verilog/verilog_parser.tab.h frontends/verilog/verilog_parser.output frontends/verilog/verilog_lexer.cc passes/techmap/techmap.inc yosys yosys-config yosys-filterlib  share/include/kernel/yosys.h share/include/kernel/hashlib.h share/include/kernel/log.h share/include/kernel/rtlil.h share/include/kernel/register.h share/include/kernel/celltypes.h share/include/kernel/consteval.h share/include/kernel/sigtools.h share/include/kernel/modtools.h share/include/kernel/macc.h share/include/kernel/utils.h share/include/kernel/satgen.h share/include/libs/ezsat/ezsat.h share/include/libs/ezsat/ezminisat.h share/include/libs/sha1/sha1.h share/include/passes/fsm/fsmdata.h share/include/backends/ilang/ilang_backend.h share/xilinx/cells_map.v share/xilinx/cells_sim.v share/xilinx/brams.txt share/xilinx/brams_map.v share/xilinx/arith_map.v techlibs/common/blackbox.v share/simlib.v share/simcells.v share/techmap.v share/blackbox.v share/pmux2mux.v share/adff2dff.v share/cells.lib  passes/techmap/filterlib.o
rm -f kernel/version_*.o kernel/version_*.cc abc/abc-[0-9a-f]*
rm -f libs/*/*.d frontends/*/*.d passes/*/*.d backends/*/*.d kernel/*.d techlibs/*/*.d
echo 'CONFIG := gcc' > Makefile.conf
make[2]: Leaving directory '/«PKGBUILDDIR»'
make[2]: Entering directory '/«PKGBUILDDIR»'
[Makefile.conf] CONFIG := gcc
[  0%] Building kernel/version_c3c9fbf.cc
[  0%] Building kernel/version_c3c9fbf.o
[  1%] Building kernel/driver.o
[  2%] Building kernel/register.o
[  2%] Building kernel/rtlil.o
[  3%] Building kernel/log.o
kernel/log.cc: In function 'void Yosys::log_backtrace(const char*, int)':
kernel/log.cc:273:40: warning: unsupported argument to '__builtin_return_address'
  if ((p = __builtin_extract_return_addr(__builtin_return_address(1))) && dladdr(p, &dli)) {
                                        ^
kernel/log.cc:282:40: warning: unsupported argument to '__builtin_return_address'
  if ((p = __builtin_extract_return_addr(__builtin_return_address(2))) && dladdr(p, &dli)) {
                                        ^
kernel/log.cc:291:40: warning: unsupported argument to '__builtin_return_address'
  if ((p = __builtin_extract_return_addr(__builtin_return_address(3))) && dladdr(p, &dli)) {
                                        ^
kernel/log.cc:300:40: warning: unsupported argument to '__builtin_return_address'
  if ((p = __builtin_extract_return_addr(__builtin_return_address(4))) && dladdr(p, &dli)) {
                                        ^
kernel/log.cc:309:40: warning: unsupported argument to '__builtin_return_address'
  if ((p = __builtin_extract_return_addr(__builtin_return_address(5))) && dladdr(p, &dli)) {
                                        ^
kernel/log.cc:318:40: warning: unsupported argument to '__builtin_return_address'
  if ((p = __builtin_extract_return_addr(__builtin_return_address(6))) && dladdr(p, &dli)) {
                                        ^
kernel/log.cc:327:40: warning: unsupported argument to '__builtin_return_address'
  if ((p = __builtin_extract_return_addr(__builtin_return_address(7))) && dladdr(p, &dli)) {
                                        ^
kernel/log.cc:336:40: warning: unsupported argument to '__builtin_return_address'
  if ((p = __builtin_extract_return_addr(__builtin_return_address(8))) && dladdr(p, &dli)) {
                                        ^
[  4%] Building kernel/calc.o
[  4%] Building kernel/yosys.o
[  5%] Building libs/bigint/BigIntegerAlgorithms.o
[  6%] Building libs/bigint/BigInteger.o
[  6%] Building libs/bigint/BigIntegerUtils.o
[  7%] Building libs/bigint/BigUnsigned.o
[  8%] Building libs/bigint/BigUnsignedInABase.o
[  9%] Building libs/sha1/sha1.o
[  9%] Building libs/subcircuit/subcircuit.o
[ 10%] Building libs/ezsat/ezsat.o
[ 11%] Building libs/ezsat/ezminisat.o
[ 11%] Building libs/minisat/Options.o
[ 12%] Building libs/minisat/SimpSolver.o
[ 13%] Building libs/minisat/Solver.o
[ 13%] Building libs/minisat/System.o
[ 14%] Building frontends/vhdl2verilog/vhdl2verilog.o
[ 14%] Building frontends/ilang/ilang_parser.tab.cc
[ 15%] Building frontends/ilang/ilang_parser.tab.o
[ 15%] Building frontends/ilang/ilang_lexer.cc
[ 16%] Building frontends/ilang/ilang_lexer.o
[ 16%] Building frontends/ilang/ilang_frontend.o
[ 16%] Building frontends/verilog/verilog_parser.tab.cc
[ 17%] Building frontends/verilog/verilog_parser.tab.o
[ 17%] Building frontends/verilog/verilog_lexer.cc
[ 18%] Building frontends/verilog/verilog_lexer.o
[ 18%] Building frontends/verilog/preproc.o
[ 19%] Building frontends/verilog/verilog_frontend.o
[ 20%] Building frontends/verilog/const2ast.o
[ 20%] Building frontends/ast/ast.o
[ 21%] Building frontends/ast/simplify.o
[ 22%] Building frontends/ast/genrtlil.o
[ 23%] Building frontends/ast/dpicall.o
[ 23%] Building frontends/verific/verific.o
[ 24%] Building frontends/liberty/liberty.o
[ 25%] Building passes/abc/abc.o
[ 25%] Building passes/abc/blifparse.o
[ 26%] Building passes/tests/test_autotb.o
[ 27%] Building passes/tests/test_cell.o
[ 27%] Building passes/tests/test_abcloop.o
[ 28%] Building passes/sat/sat.o
[ 29%] Building passes/sat/freduce.o
[ 30%] Building passes/sat/eval.o
[ 30%] Building passes/sat/miter.o
[ 31%] Building passes/sat/expose.o
[ 32%] Building passes/cmds/add.o
[ 32%] Building passes/cmds/delete.o
[ 33%] Building passes/cmds/design.o
[ 34%] Building passes/cmds/select.o
[ 34%] Building passes/cmds/show.o
[ 35%] Building passes/cmds/rename.o
[ 36%] Building passes/cmds/connect.o
[ 36%] Building passes/cmds/scatter.o
[ 37%] Building passes/cmds/setundef.o
[ 38%] Building passes/cmds/splitnets.o
[ 39%] Building passes/cmds/stat.o
[ 39%] Building passes/cmds/setattr.o
[ 40%] Building passes/cmds/copy.o
[ 41%] Building passes/cmds/splice.o
[ 41%] Building passes/cmds/scc.o
[ 42%] Building passes/cmds/logcmd.o
[ 43%] Building passes/cmds/tee.o
[ 43%] Building passes/cmds/write_file.o
[ 44%] Building passes/cmds/connwrappers.o
[ 45%] Building passes/cmds/cover.o
[ 46%] Building passes/cmds/trace.o
[ 46%] Building passes/cmds/plugin.o
[ 47%] Building passes/memory/memory.o
[ 48%] Building passes/memory/memory_dff.o
[ 48%] Building passes/memory/memory_share.o
[ 49%] Building passes/memory/memory_collect.o
[ 50%] Building passes/memory/memory_unpack.o
[ 50%] Building passes/memory/memory_bram.o
[ 51%] Building passes/memory/memory_map.o
[ 51%] Building passes/techmap/techmap.inc
[ 52%] Building passes/techmap/techmap.o
[ 53%] Building passes/techmap/simplemap.o
[ 53%] Building passes/techmap/dfflibmap.o
[ 54%] Building passes/techmap/maccmap.o
[ 55%] Building passes/techmap/libparse.o
[ 55%] Building passes/techmap/iopadmap.o
[ 56%] Building passes/techmap/hilomap.o
[ 57%] Building passes/techmap/extract.o
[ 57%] Building passes/techmap/alumacc.o
[ 58%] Building passes/techmap/dff2dffe.o
[ 59%] Building passes/opt/opt.o
[ 60%] Building passes/opt/opt_share.o
[ 60%] Building passes/opt/opt_muxtree.o
[ 61%] Building passes/opt/opt_reduce.o
[ 62%] Building passes/opt/opt_rmdff.o
[ 62%] Building passes/opt/opt_clean.o
[ 63%] Building passes/opt/opt_const.o
[ 64%] Building passes/opt/share.o
[ 64%] Building passes/opt/wreduce.o
[ 65%] Building passes/hierarchy/hierarchy.o
[ 66%] Building passes/hierarchy/submod.o
[ 66%] Building passes/equiv/equiv_make.o
[ 67%] Building passes/equiv/equiv_miter.o
[ 68%] Building passes/equiv/equiv_simple.o
[ 69%] Building passes/equiv/equiv_status.o
[ 69%] Building passes/equiv/equiv_add.o
[ 70%] Building passes/equiv/equiv_remove.o
[ 71%] Building passes/equiv/equiv_induct.o
[ 71%] Building passes/fsm/fsm.o
[ 72%] Building passes/fsm/fsm_detect.o
[ 73%] Building passes/fsm/fsm_extract.o
[ 73%] Building passes/fsm/fsm_opt.o
[ 74%] Building passes/fsm/fsm_expand.o
[ 75%] Building passes/fsm/fsm_recode.o
[ 76%] Building passes/fsm/fsm_info.o
[ 76%] Building passes/fsm/fsm_export.o
[ 77%] Building passes/fsm/fsm_map.o
[ 78%] Building passes/proc/proc.o
[ 78%] Building passes/proc/proc_clean.o
[ 79%] Building passes/proc/proc_rmdead.o
[ 80%] Building passes/proc/proc_init.o
[ 80%] Building passes/proc/proc_arst.o
[ 81%] Building passes/proc/proc_mux.o
[ 82%] Building passes/proc/proc_dff.o
[ 83%] Building backends/edif/edif.o
[ 83%] Building backends/smt2/smt2.o
[ 84%] Building backends/btor/btor.o
[ 85%] Building backends/intersynth/intersynth.o
[ 85%] Building backends/ilang/ilang_backend.o
[ 86%] Building backends/verilog/verilog_backend.o
[ 87%] Building backends/blif/blif.o
[ 87%] Building backends/spice/spice.o
[ 88%] Building techlibs/xilinx/synth_xilinx.o
[ 89%] Building techlibs/common/synth.o
[100%] Building yosys
[100%] Building yosys-config
[100%] Building passes/techmap/filterlib.o
[100%] Building yosys-filterlib
[100%] Building share/include/kernel/yosys.h
[100%] Building share/include/kernel/hashlib.h
[100%] Building share/include/kernel/log.h
[100%] Building share/include/kernel/rtlil.h
[100%] Building share/include/kernel/register.h
[100%] Building share/include/kernel/celltypes.h
[100%] Building share/include/kernel/consteval.h
[100%] Building share/include/kernel/sigtools.h
[100%] Building share/include/kernel/modtools.h
[100%] Building share/include/kernel/macc.h
[100%] Building share/include/kernel/utils.h
[100%] Building share/include/kernel/satgen.h
[100%] Building share/include/libs/ezsat/ezsat.h
[100%] Building share/include/libs/ezsat/ezminisat.h
[100%] Building share/include/libs/sha1/sha1.h
[100%] Building share/include/passes/fsm/fsmdata.h
[100%] Building share/include/backends/ilang/ilang_backend.h
[100%] Building share/xilinx/cells_map.v
[100%] Building share/xilinx/cells_sim.v
[100%] Building share/xilinx/brams.txt
[100%] Building share/xilinx/brams_map.v
[100%] Building share/xilinx/arith_map.v
[100%] Building techlibs/common/blackbox.v
[100%] Building share/simlib.v
[100%] Building share/simcells.v
[100%] Building share/techmap.v
[100%] Building share/blackbox.v
[100%] Building share/pmux2mux.v
[100%] Building share/adff2dff.v
[100%] Building share/cells.lib

  Build successful.

make[2]: Leaving directory '/«PKGBUILDDIR»'
make[1]: Leaving directory '/«PKGBUILDDIR»'
   dh_auto_build -a
	make -j1
make[1]: Entering directory '/«PKGBUILDDIR»'
[Makefile.conf] CONFIG := gcc

  Build successful.

make[1]: Leaving directory '/«PKGBUILDDIR»'
   dh_auto_test -a
 fakeroot debian/rules binary-arch
dh binary-arch 
   dh_testroot -a
   dh_prep -a
   dh_auto_install -a
	make -j1 install DESTDIR=/«PKGBUILDDIR»/debian/yosys AM_UPDATE_INFO_DIR=no
make[1]: Entering directory '/«PKGBUILDDIR»'
[Makefile.conf] CONFIG := gcc
mkdir -p /«PKGBUILDDIR»/debian/yosys/usr/bin
install yosys yosys-config yosys-filterlib /«PKGBUILDDIR»/debian/yosys/usr/bin/
mkdir -p /«PKGBUILDDIR»/debian/yosys/usr/share/yosys
cp -r share/. /«PKGBUILDDIR»/debian/yosys/usr/share/yosys/.
make[1]: Leaving directory '/«PKGBUILDDIR»'
   dh_installdocs -a
   dh_installchangelogs -a
   dh_installman -a
   dh_perl -a
   dh_link -a
   dh_strip_nondeterminism -a
   dh_compress -a
   dh_fixperms -a
   dh_strip -a
   dh_makeshlibs -a
   dh_shlibdeps -a
dpkg-shlibdeps: warning: debian/yosys/usr/bin/yosys-filterlib contains an unresolvable reference to symbol __aeabi_atexit@CXXABI_ARM_1.3.3: it's probably a plugin
dpkg-shlibdeps: warning: debian/yosys/usr/bin/yosys contains an unresolvable reference to symbol __aeabi_atexit@CXXABI_ARM_1.3.3: it's probably a plugin
   dh_installdeb -a
   dh_gencontrol -a
dpkg-gencontrol: warning: File::FcntlLock not available; using flock which is not NFS-safe
dpkg-gencontrol: warning: File::FcntlLock not available; using flock which is not NFS-safe
   dh_md5sums -a
   dh_builddeb -a
dpkg-deb: building package 'yosys-dbgsym' in '../yosys-dbgsym_0.5.0-1+b3_armhf.deb'.
dpkg-deb: building package 'yosys' in '../yosys_0.5.0-1+b3_armhf.deb'.
 dpkg-genchanges -B -mRaspbian wandboard test autobuilder <root@raspbian.org> >../yosys_0.5.0-1+b3_armhf.changes
dpkg-genchanges: warning: package yosys-dbgsym listed in files list but not in control info
dpkg-genchanges: binary-only arch-specific upload (source code and arch-indep packages not included)
 dpkg-source --after-build yosys-0.5.0
dpkg-buildpackage: binary-only upload (no source included)
────────────────────────────────────────────────────────────────────────────────
Build finished at 20160125-0551

Finished
────────

I: Built successfully

┌──────────────────────────────────────────────────────────────────────────────┐
│ Post Build Chroot                                                            │
└──────────────────────────────────────────────────────────────────────────────┘


┌──────────────────────────────────────────────────────────────────────────────┐
│ Changes                                                                      │
└──────────────────────────────────────────────────────────────────────────────┘


yosys_0.5.0-1+b3_armhf.changes:
───────────────────────────────

Format: 1.8
Date: Mon, 09 Feb 2015 20:37:38 +0100
Source: yosys (0.5.0-1)
Binary: yosys
Binary-Only: yes
Architecture: armhf
Version: 0.5.0-1+b3
Distribution: stretch-staging
Urgency: low
Maintainer: Raspbian wandboard test autobuilder <root@raspbian.org>
Changed-By: Raspbian wandboard test autobuilder <root@raspbian.org>
Description:
 yosys      - Framework for Verilog RTL synthesis
Changes:
 yosys (0.5.0-1+b3) stretch-staging; urgency=low, binary-only=yes
 .
   * Binary-only non-maintainer upload for armhf; no source changes.
   * rebuild due to debcheck failure
Checksums-Sha1:
 ef7ba23df27a2aeb3b9d50cc98bb1b2c2caa3303 26326580 yosys-dbgsym_0.5.0-1+b3_armhf.deb
 e8f591a3713ea2a81c08b72422215189e0394270 1107750 yosys_0.5.0-1+b3_armhf.deb
Checksums-Sha256:
 6505cfed3bfde5fd054a7fa34e22e3fac4e9c3757bfd9a6343c569aa6b380332 26326580 yosys-dbgsym_0.5.0-1+b3_armhf.deb
 9af56e93ffb7aae856ebf2c017383e23b13626f80654833ae554a4aafc035d0b 1107750 yosys_0.5.0-1+b3_armhf.deb
Files:
 2a876f597b82152110ffb37a74e33c34 26326580 debug extra yosys-dbgsym_0.5.0-1+b3_armhf.deb
 bd7d95645578206a70d957991376f02a 1107750 electronics optional yosys_0.5.0-1+b3_armhf.deb

┌──────────────────────────────────────────────────────────────────────────────┐
│ Package contents                                                             │
└──────────────────────────────────────────────────────────────────────────────┘


yosys-dbgsym_0.5.0-1+b3_armhf.deb
─────────────────────────────────

 new debian package, version 2.0.
 size 26326580 bytes: control archive=548 bytes.
     464 bytes,    13 lines      control              
     212 bytes,     2 lines      md5sums              
 Package: yosys-dbgsym
 Source: yosys (0.5.0-1)
 Version: 0.5.0-1+b3
 Architecture: armhf
 Maintainer: Debian Science Maintainers <debian-science-maintainers@lists.alioth.debian.org>
 Installed-Size: 26721
 Depends: yosys (= 0.5.0-1+b3)
 Section: debug
 Priority: extra
 Homepage: http://www.clifford.at/yosys
 Description: Debug symbols for yosys
 Auto-Built-Package: debug-symbols
 Build-Ids: 0854731ce00f976ba5d2e8f8dbc22f5d3ccc02dc ea9ec7c684ef6a8eac21ae51601c132f5681fd4c

drwxr-xr-x root/root         0 2016-01-25 05:50 ./
drwxr-xr-x root/root         0 2016-01-25 05:49 ./usr/
drwxr-xr-x root/root         0 2016-01-25 05:49 ./usr/lib/
drwxr-xr-x root/root         0 2016-01-25 05:49 ./usr/lib/debug/
drwxr-xr-x root/root         0 2016-01-25 05:49 ./usr/lib/debug/.build-id/
drwxr-xr-x root/root         0 2016-01-25 05:49 ./usr/lib/debug/.build-id/08/
-rw-r--r-- root/root  27253244 2016-01-25 05:49 ./usr/lib/debug/.build-id/08/54731ce00f976ba5d2e8f8dbc22f5d3ccc02dc.debug
drwxr-xr-x root/root         0 2016-01-25 05:49 ./usr/lib/debug/.build-id/ea/
-rw-r--r-- root/root     96624 2016-01-25 05:49 ./usr/lib/debug/.build-id/ea/9ec7c684ef6a8eac21ae51601c132f5681fd4c.debug
drwxr-xr-x root/root         0 2016-01-25 05:49 ./usr/share/
drwxr-xr-x root/root         0 2016-01-25 05:49 ./usr/share/doc/
lrwxrwxrwx root/root         0 2016-01-25 05:49 ./usr/share/doc/yosys-dbgsym -> yosys


yosys_0.5.0-1+b3_armhf.deb
──────────────────────────

 new debian package, version 2.0.
 size 1107750 bytes: control archive=1897 bytes.
     864 bytes,    18 lines      control              
    2847 bytes,    41 lines      md5sums              
 Package: yosys
 Source: yosys (0.5.0-1)
 Version: 0.5.0-1+b3
 Architecture: armhf
 Maintainer: Debian Science Maintainers <debian-science-maintainers@lists.alioth.debian.org>
 Installed-Size: 3723
 Depends: libc6 (>= 2.11), libffi6 (>= 3.0.4), libgcc1 (>= 1:3.5), libreadline6 (>= 6.0), libstdc++6 (>= 5.2), libtcl8.6 (>= 8.6.0), berkeley-abc (>= 1.01), xdot
 Section: electronics
 Priority: optional
 Homepage: http://www.clifford.at/yosys
 Description: Framework for Verilog RTL synthesis
  This is a framework for Verilog RTL synthesis. It currently has extensive
  Verilog-2005 support and provides a basic set of synthesis algorithms for
  various application domains.
  .
  Yosys can be adapted to perform any synthesis job by combining the existing
  passes (algorithms) using synthesis scripts and adding additional passes as
  needed by extending the yosys C++ code base.

drwxr-xr-x root/root         0 2016-01-25 05:49 ./
drwxr-xr-x root/root         0 2016-01-25 05:49 ./usr/
drwxr-xr-x root/root         0 2016-01-25 05:49 ./usr/bin/
-rwxr-xr-x root/root   3403444 2016-01-25 05:49 ./usr/bin/yosys
lrwxrwxrwx root/root         0 2016-01-25 05:49 ./usr/bin/yosys-abc -> berkeley-abc
-rwxr-xr-x root/root      3358 2016-01-25 05:49 ./usr/bin/yosys-config
-rwxr-xr-x root/root     30480 2016-01-25 05:49 ./usr/bin/yosys-filterlib
drwxr-xr-x root/root         0 2016-01-25 05:49 ./usr/share/
drwxr-xr-x root/root         0 2016-01-25 05:49 ./usr/share/doc/
drwxr-xr-x root/root         0 2016-01-25 05:49 ./usr/share/doc/yosys/
-rw-r--r-- root/root      5071 2015-02-09 11:49 ./usr/share/doc/yosys/README.gz
-rw-r--r-- root/root       220 2016-01-25 04:31 ./usr/share/doc/yosys/changelog.Debian.armhf.gz
-rw-r--r-- root/root       456 2016-01-25 04:31 ./usr/share/doc/yosys/changelog.Debian.gz
-rw-r--r-- root/root      4504 2015-02-09 11:49 ./usr/share/doc/yosys/changelog.gz
-rw-r--r-- root/root      5875 2015-02-10 21:31 ./usr/share/doc/yosys/copyright
drwxr-xr-x root/root         0 2016-01-25 05:49 ./usr/share/man/
drwxr-xr-x root/root         0 2016-01-25 05:49 ./usr/share/man/man1/
-rw-r--r-- root/root       560 2016-01-25 05:49 ./usr/share/man/man1/yosys-abc.1.gz
-rw-r--r-- root/root       898 2016-01-25 05:49 ./usr/share/man/man1/yosys-config.1.gz
-rw-r--r-- root/root       963 2016-01-25 05:49 ./usr/share/man/man1/yosys-filterlib.1.gz
-rw-r--r-- root/root      1185 2016-01-25 05:49 ./usr/share/man/man1/yosys.1.gz
drwxr-xr-x root/root         0 2016-01-25 05:49 ./usr/share/yosys/
-rw-r--r-- root/root       520 2016-01-25 05:49 ./usr/share/yosys/adff2dff.v
-rw-r--r-- root/root     15105 2016-01-25 05:49 ./usr/share/yosys/blackbox.v
-rw-r--r-- root/root      2432 2016-01-25 05:49 ./usr/share/yosys/cells.lib
drwxr-xr-x root/root         0 2016-01-25 05:49 ./usr/share/yosys/include/
drwxr-xr-x root/root         0 2016-01-25 05:49 ./usr/share/yosys/include/backends/
drwxr-xr-x root/root         0 2016-01-25 05:49 ./usr/share/yosys/include/backends/ilang/
-rw-r--r-- root/root      2449 2016-01-25 05:49 ./usr/share/yosys/include/backends/ilang/ilang_backend.h
drwxr-xr-x root/root         0 2016-01-25 05:49 ./usr/share/yosys/include/kernel/
-rw-r--r-- root/root     12056 2016-01-25 05:49 ./usr/share/yosys/include/kernel/celltypes.h
-rw-r--r-- root/root      9811 2016-01-25 05:49 ./usr/share/yosys/include/kernel/consteval.h
-rw-r--r-- root/root     20396 2016-01-25 05:49 ./usr/share/yosys/include/kernel/hashlib.h
-rw-r--r-- root/root      8861 2016-01-25 05:49 ./usr/share/yosys/include/kernel/log.h
-rw-r--r-- root/root      7025 2016-01-25 05:49 ./usr/share/yosys/include/kernel/macc.h
-rw-r--r-- root/root     14008 2016-01-25 05:49 ./usr/share/yosys/include/kernel/modtools.h
-rw-r--r-- root/root      4547 2016-01-25 05:49 ./usr/share/yosys/include/kernel/register.h
-rw-r--r-- root/root     52783 2016-01-25 05:49 ./usr/share/yosys/include/kernel/rtlil.h
-rw-r--r-- root/root     47267 2016-01-25 05:49 ./usr/share/yosys/include/kernel/satgen.h
-rw-r--r-- root/root      8657 2016-01-25 05:49 ./usr/share/yosys/include/kernel/sigtools.h
-rw-r--r-- root/root      5036 2016-01-25 05:49 ./usr/share/yosys/include/kernel/utils.h
-rw-r--r-- root/root      8084 2016-01-25 05:49 ./usr/share/yosys/include/kernel/yosys.h
drwxr-xr-x root/root         0 2016-01-25 05:49 ./usr/share/yosys/include/libs/
drwxr-xr-x root/root         0 2016-01-25 05:49 ./usr/share/yosys/include/libs/ezsat/
-rw-r--r-- root/root      2098 2016-01-25 05:49 ./usr/share/yosys/include/libs/ezsat/ezminisat.h
-rw-r--r-- root/root     14526 2016-01-25 05:49 ./usr/share/yosys/include/libs/ezsat/ezsat.h
drwxr-xr-x root/root         0 2016-01-25 05:49 ./usr/share/yosys/include/libs/sha1/
-rw-r--r-- root/root      1370 2016-01-25 05:49 ./usr/share/yosys/include/libs/sha1/sha1.h
drwxr-xr-x root/root         0 2016-01-25 05:49 ./usr/share/yosys/include/passes/
drwxr-xr-x root/root         0 2016-01-25 05:49 ./usr/share/yosys/include/passes/fsm/
-rw-r--r-- root/root      6746 2016-01-25 05:49 ./usr/share/yosys/include/passes/fsm/fsmdata.h
-rw-r--r-- root/root       342 2016-01-25 05:49 ./usr/share/yosys/pmux2mux.v
-rw-r--r-- root/root      8144 2016-01-25 05:49 ./usr/share/yosys/simcells.v
-rw-r--r-- root/root     31593 2016-01-25 05:49 ./usr/share/yosys/simlib.v
-rw-r--r-- root/root     12066 2016-01-25 05:49 ./usr/share/yosys/techmap.v
drwxr-xr-x root/root         0 2016-01-25 05:49 ./usr/share/yosys/xilinx/
-rw-r--r-- root/root      2331 2016-01-25 05:49 ./usr/share/yosys/xilinx/arith_map.v
-rw-r--r-- root/root      1639 2016-01-25 05:49 ./usr/share/yosys/xilinx/brams.txt
-rw-r--r-- root/root      6070 2016-01-25 05:49 ./usr/share/yosys/xilinx/brams_map.v
-rw-r--r-- root/root      4580 2016-01-25 05:49 ./usr/share/yosys/xilinx/cells_map.v
-rw-r--r-- root/root      5265 2016-01-25 05:49 ./usr/share/yosys/xilinx/cells_sim.v


┌──────────────────────────────────────────────────────────────────────────────┐
│ Post Build                                                                   │
└──────────────────────────────────────────────────────────────────────────────┘


┌──────────────────────────────────────────────────────────────────────────────┐
│ Cleanup                                                                      │
└──────────────────────────────────────────────────────────────────────────────┘

Purging /«BUILDDIR»
Not cleaning session: cloned chroot in use

┌──────────────────────────────────────────────────────────────────────────────┐
│ Summary                                                                      │
└──────────────────────────────────────────────────────────────────────────────┘

Build Architecture: armhf
Build-Space: 341736
Build-Time: 4772
Distribution: stretch-staging
Host Architecture: armhf
Install-Time: 208
Job: yosys_0.5.0-1
Machine Architecture: armhf
Package: yosys
Package-Time: 5022
Source-Version: 0.5.0-1
Space: 341736
Status: successful
Version: 0.5.0-1+b3
────────────────────────────────────────────────────────────────────────────────
Finished at 20160125-0551
Build needed 01:23:42, 341736k disc space