Raspbian Package Auto-Building

Build log for yosys (0.23-6) on armhf

yosys0.23-6armhf → 2022-12-09 14:07:53

sbuild (Debian sbuild) 0.71.0 (24 Aug 2016) on bm-wb-03

+==============================================================================+
| yosys 0.23-6 (armhf)                         Fri, 09 Dec 2022 10:40:37 +0000 |
+==============================================================================+

Package: yosys
Version: 0.23-6
Source Version: 0.23-6
Distribution: bookworm-staging
Machine Architecture: armhf
Host Architecture: armhf
Build Architecture: armhf

I: NOTICE: Log filtering will replace 'var/lib/schroot/mount/bookworm-staging-armhf-sbuild-d32ab59d-0ed0-4b63-bda7-b8f61d965a88' with '<<CHROOT>>'

+------------------------------------------------------------------------------+
| Update chroot                                                                |
+------------------------------------------------------------------------------+

Get:1 http://172.17.4.1/private bookworm-staging InRelease [11.3 kB]
Get:2 http://172.17.4.1/private bookworm-staging/main Sources [13.5 MB]
Get:3 http://172.17.4.1/private bookworm-staging/main armhf Packages [14.3 MB]
Fetched 27.8 MB in 29s (965 kB/s)
Reading package lists...
W: No sandbox user '_apt' on the system, can not drop privileges
W: http://172.17.4.1/private/dists/bookworm-staging/InRelease: Key is stored in legacy trusted.gpg keyring (/etc/apt/trusted.gpg), see the DEPRECATION section in apt-key(8) for details.

+------------------------------------------------------------------------------+
| Fetch source files                                                           |
+------------------------------------------------------------------------------+


Check APT
---------

Checking available source versions...

Download source files with APT
------------------------------

Reading package lists...
NOTICE: 'yosys' packaging is maintained in the 'Git' version control system at:
https://salsa.debian.org/science-team/yosys.git
Please use:
git clone https://salsa.debian.org/science-team/yosys.git
to retrieve the latest (possibly unreleased) updates to the package.
Need to get 2390 kB of source archives.
Get:1 http://172.17.4.1/private bookworm-staging/main yosys 0.23-6 (dsc) [2520 B]
Get:2 http://172.17.4.1/private bookworm-staging/main yosys 0.23-6 (tar) [2369 kB]
Get:3 http://172.17.4.1/private bookworm-staging/main yosys 0.23-6 (diff) [18.0 kB]
Fetched 2390 kB in 0s (7302 kB/s)
Download complete and in download only mode
I: NOTICE: Log filtering will replace 'build/yosys-a3jd9e/yosys-0.23' with '<<PKGBUILDDIR>>'
I: NOTICE: Log filtering will replace 'build/yosys-a3jd9e' with '<<BUILDDIR>>'

+------------------------------------------------------------------------------+
| Install build-essential                                                      |
+------------------------------------------------------------------------------+


Setup apt archive
-----------------

Merged Build-Depends: build-essential, fakeroot
Filtered Build-Depends: build-essential, fakeroot
dpkg-deb: building package 'sbuild-build-depends-core-dummy' in '/<<BUILDDIR>>/resolver-KqBaXh/apt_archive/sbuild-build-depends-core-dummy.deb'.
dpkg-scanpackages: warning: Packages in archive but missing from override file:
dpkg-scanpackages: warning:   sbuild-build-depends-core-dummy
dpkg-scanpackages: info: Wrote 1 entries to output Packages file.
gpg: keybox '/<<BUILDDIR>>/resolver-KqBaXh/gpg/pubring.kbx' created
gpg: /<<BUILDDIR>>/resolver-KqBaXh/gpg/trustdb.gpg: trustdb created
gpg: key 35506D9A48F77B2E: public key "Sbuild Signer (Sbuild Build Dependency Archive Key) <buildd-tools-devel@lists.alioth.debian.org>" imported
gpg: Total number processed: 1
gpg:               imported: 1
gpg: key 35506D9A48F77B2E: "Sbuild Signer (Sbuild Build Dependency Archive Key) <buildd-tools-devel@lists.alioth.debian.org>" not changed
gpg: key 35506D9A48F77B2E: secret key imported
gpg: Total number processed: 1
gpg:              unchanged: 1
gpg:       secret keys read: 1
gpg:   secret keys imported: 1
gpg: using "Sbuild Signer" as default secret key for signing
Ign:1 copy:/<<BUILDDIR>>/resolver-KqBaXh/apt_archive ./ InRelease
Get:2 copy:/<<BUILDDIR>>/resolver-KqBaXh/apt_archive ./ Release [957 B]
Get:3 copy:/<<BUILDDIR>>/resolver-KqBaXh/apt_archive ./ Release.gpg [370 B]
Get:4 copy:/<<BUILDDIR>>/resolver-KqBaXh/apt_archive ./ Sources [349 B]
Get:5 copy:/<<BUILDDIR>>/resolver-KqBaXh/apt_archive ./ Packages [434 B]
Fetched 2110 B in 1s (2577 B/s)
Reading package lists...
W: No sandbox user '_apt' on the system, can not drop privileges
Reading package lists...

Install core build dependencies (apt-based resolver)
----------------------------------------------------

Installing build dependencies
Reading package lists...
Building dependency tree...
Reading state information...
The following packages were automatically installed and are no longer required:
  krb5-locales libldap-common libpam-cap libperl5.34 netbase perl-modules-5.34
  sensible-utils
Use 'apt autoremove' to remove them.
The following NEW packages will be installed:
  sbuild-build-depends-core-dummy
0 upgraded, 1 newly installed, 0 to remove and 85 not upgraded.
Need to get 852 B of archives.
After this operation, 0 B of additional disk space will be used.
Get:1 copy:/<<BUILDDIR>>/resolver-KqBaXh/apt_archive ./ sbuild-build-depends-core-dummy 0.invalid.0 [852 B]
debconf: delaying package configuration, since apt-utils is not installed
Fetched 852 B in 0s (23.1 kB/s)
Selecting previously unselected package sbuild-build-depends-core-dummy.
(Reading database ... 14830 files and directories currently installed.)
Preparing to unpack .../sbuild-build-depends-core-dummy_0.invalid.0_armhf.deb ...
Unpacking sbuild-build-depends-core-dummy (0.invalid.0) ...
Setting up sbuild-build-depends-core-dummy (0.invalid.0) ...
W: No sandbox user '_apt' on the system, can not drop privileges

+------------------------------------------------------------------------------+
| Check architectures                                                          |
+------------------------------------------------------------------------------+

Arch check ok (armhf included in any all)

+------------------------------------------------------------------------------+
| Install package build dependencies                                           |
+------------------------------------------------------------------------------+


Setup apt archive
-----------------

Merged Build-Depends: debhelper-compat (= 13), dh-python, tcl-dev, libreadline-dev, bison, flex, gawk, libffi-dev, pkg-config, txt2man, iverilog (>= 10.1), python3, berkeley-abc (>= 1.01+20221019git70cb339+dfsg-3)
Filtered Build-Depends: debhelper-compat (= 13), dh-python, tcl-dev, libreadline-dev, bison, flex, gawk, libffi-dev, pkg-config, txt2man, iverilog (>= 10.1), python3, berkeley-abc (>= 1.01+20221019git70cb339+dfsg-3)
dpkg-deb: building package 'sbuild-build-depends-yosys-dummy' in '/<<BUILDDIR>>/resolver-KqBaXh/apt_archive/sbuild-build-depends-yosys-dummy.deb'.
dpkg-scanpackages: warning: Packages in archive but missing from override file:
dpkg-scanpackages: warning:   sbuild-build-depends-core-dummy sbuild-build-depends-yosys-dummy
dpkg-scanpackages: info: Wrote 2 entries to output Packages file.
gpg: using "Sbuild Signer" as default secret key for signing
Ign:1 copy:/<<BUILDDIR>>/resolver-KqBaXh/apt_archive ./ InRelease
Get:2 copy:/<<BUILDDIR>>/resolver-KqBaXh/apt_archive ./ Release [963 B]
Get:3 copy:/<<BUILDDIR>>/resolver-KqBaXh/apt_archive ./ Release.gpg [370 B]
Get:4 copy:/<<BUILDDIR>>/resolver-KqBaXh/apt_archive ./ Sources [595 B]
Get:5 copy:/<<BUILDDIR>>/resolver-KqBaXh/apt_archive ./ Packages [680 B]
Fetched 2608 B in 1s (3401 B/s)
Reading package lists...
W: No sandbox user '_apt' on the system, can not drop privileges
Reading package lists...

Install yosys build dependencies (apt-based resolver)
-----------------------------------------------------

Installing build dependencies
Reading package lists...
Building dependency tree...
Reading state information...
The following packages were automatically installed and are no longer required:
  krb5-locales libldap-common libpam-cap libperl5.34 netbase perl-modules-5.34
Use 'apt autoremove' to remove them.
The following additional packages will be installed:
  autoconf automake autopoint autotools-dev berkeley-abc bison bsdextrautils
  bsdutils debhelper dh-autoreconf dh-python dh-strip-nondeterminism dwz file
  flex gawk gettext gettext-base groff-base intltool-debian iverilog
  libarchive-zip-perl libblkid1 libdebhelper-perl libelf1 libexpat1 libffi-dev
  libffi8 libfile-stripnondeterminism-perl libicu72 libmagic-mgc libmagic1
  libmount1 libmpdec3 libncurses-dev libncurses6 libpipeline1 libpkgconf3
  libpython3-stdlib libpython3.10-minimal libpython3.10-stdlib libreadline-dev
  libreadline8 libsigsegv2 libsmartcols1 libsub-override-perl libtcl8.6
  libtool libuchardet0 libuuid1 libxml2 m4 man-db media-types mount pkg-config
  pkgconf pkgconf-bin po-debconf python3 python3-distutils python3-lib2to3
  python3-minimal python3.10 python3.10-minimal readline-common tcl tcl-dev
  tcl8.6 tcl8.6-dev txt2man util-linux util-linux-extra zlib1g-dev
Suggested packages:
  autoconf-archive gnu-standards autoconf-doc bison-doc dh-make flit
  python3-build python3-tomli python3-installer flex-doc gawk-doc gettext-doc
  libasprintf-dev libgettextpo-dev groff gtkwave cryptsetup-bin ncurses-doc
  readline-doc libtool-doc gfortran | fortran95-compiler gcj-jdk m4-doc
  apparmor less www-browser nfs-common libmail-box-perl python3-doc python3-tk
  python3-venv python3.10-venv python3.10-doc binfmt-support tcl-doc
  tcl-tclreadline tcl8.6-doc ghostscript dosfstools kbd util-linux-locales
Recommended packages:
  libfl-dev curl | wget | lynx libarchive-cpio-perl libgpm2 libltdl-dev
  uuid-runtime libmail-sendmail-perl
The following NEW packages will be installed:
  autoconf automake autopoint autotools-dev berkeley-abc bison bsdextrautils
  debhelper dh-autoreconf dh-python dh-strip-nondeterminism dwz file flex gawk
  gettext gettext-base groff-base intltool-debian iverilog libarchive-zip-perl
  libdebhelper-perl libelf1 libexpat1 libffi-dev
  libfile-stripnondeterminism-perl libicu72 libmagic-mgc libmagic1 libmpdec3
  libncurses-dev libncurses6 libpipeline1 libpkgconf3 libpython3-stdlib
  libpython3.10-minimal libpython3.10-stdlib libreadline-dev libsigsegv2
  libsub-override-perl libtcl8.6 libtool libuchardet0 libxml2 m4 man-db
  media-types pkg-config pkgconf pkgconf-bin po-debconf python3
  python3-distutils python3-lib2to3 python3-minimal python3.10
  python3.10-minimal sbuild-build-depends-yosys-dummy tcl tcl-dev tcl8.6
  tcl8.6-dev txt2man zlib1g-dev
The following packages will be upgraded:
  bsdutils libblkid1 libffi8 libmount1 libreadline8 libsmartcols1 libuuid1
  mount readline-common util-linux util-linux-extra
11 upgraded, 64 newly installed, 0 to remove and 74 not upgraded.
Need to get 35.8 MB of archives.
After this operation, 131 MB of additional disk space will be used.
Get:1 copy:/<<BUILDDIR>>/resolver-KqBaXh/apt_archive ./ sbuild-build-depends-yosys-dummy 0.invalid.0 [968 B]
Get:2 http://172.17.4.1/private bookworm-staging/main armhf bsdutils armhf 1:2.38.1-4 [83.9 kB]
Get:3 http://172.17.4.1/private bookworm-staging/main armhf libsmartcols1 armhf 2.38.1-4 [91.6 kB]
Get:4 http://172.17.4.1/private bookworm-staging/main armhf util-linux-extra armhf 2.38.1-4 [98.1 kB]
Get:5 http://172.17.4.1/private bookworm-staging/main armhf util-linux armhf 2.38.1-4 [1062 kB]
Get:6 http://172.17.4.1/private bookworm-staging/main armhf mount armhf 2.38.1-4 [126 kB]
Get:7 http://172.17.4.1/private bookworm-staging/main armhf m4 armhf 1.4.19-1 [260 kB]
Get:8 http://172.17.4.1/private bookworm-staging/main armhf flex armhf 2.6.4-8.1 [411 kB]
Get:9 http://172.17.4.1/private bookworm-staging/main armhf libsigsegv2 armhf 2.14-1 [36.6 kB]
Get:10 http://172.17.4.1/private bookworm-staging/main armhf gawk armhf 1:5.1.0-1 [533 kB]
Get:11 http://172.17.4.1/private bookworm-staging/main armhf libpython3.10-minimal armhf 3.10.8-3 [769 kB]
Get:12 http://172.17.4.1/private bookworm-staging/main armhf libexpat1 armhf 2.5.0-1 [77.2 kB]
Get:13 http://172.17.4.1/private bookworm-staging/main armhf python3.10-minimal armhf 3.10.8-3 [1478 kB]
Get:14 http://172.17.4.1/private bookworm-staging/main armhf python3-minimal armhf 3.10.6-1 [38.7 kB]
Get:15 http://172.17.4.1/private bookworm-staging/main armhf media-types all 8.0.0 [33.4 kB]
Get:16 http://172.17.4.1/private bookworm-staging/main armhf libffi8 armhf 3.4.4-1 [19.4 kB]
Get:17 http://172.17.4.1/private bookworm-staging/main armhf libmpdec3 armhf 2.5.1-2+rpi1 [73.5 kB]
Get:18 http://172.17.4.1/private bookworm-staging/main armhf readline-common all 8.2-1.2 [68.5 kB]
Get:19 http://172.17.4.1/private bookworm-staging/main armhf libreadline8 armhf 8.2-1.2 [142 kB]
Get:20 http://172.17.4.1/private bookworm-staging/main armhf libuuid1 armhf 2.38.1-4 [27.1 kB]
Get:21 http://172.17.4.1/private bookworm-staging/main armhf libpython3.10-stdlib armhf 3.10.8-3 [1597 kB]
Get:22 http://172.17.4.1/private bookworm-staging/main armhf python3.10 armhf 3.10.8-3 [506 kB]
Get:23 http://172.17.4.1/private bookworm-staging/main armhf libpython3-stdlib armhf 3.10.6-1 [21.7 kB]
Get:24 http://172.17.4.1/private bookworm-staging/main armhf python3 armhf 3.10.6-1 [38.2 kB]
Get:25 http://172.17.4.1/private bookworm-staging/main armhf libblkid1 armhf 2.38.1-4 [131 kB]
Get:26 http://172.17.4.1/private bookworm-staging/main armhf libmount1 armhf 2.38.1-4 [144 kB]
Get:27 http://172.17.4.1/private bookworm-staging/main armhf libuchardet0 armhf 0.0.7-1 [65.0 kB]
Get:28 http://172.17.4.1/private bookworm-staging/main armhf groff-base armhf 1.22.4-9 [774 kB]
Get:29 http://172.17.4.1/private bookworm-staging/main armhf bsdextrautils armhf 2.38.1-4 [78.8 kB]
Get:30 http://172.17.4.1/private bookworm-staging/main armhf libpipeline1 armhf 1.5.7-1 [33.4 kB]
Get:31 http://172.17.4.1/private bookworm-staging/main armhf man-db armhf 2.11.1-1 [1341 kB]
Get:32 http://172.17.4.1/private bookworm-staging/main armhf libmagic-mgc armhf 1:5.41-4 [295 kB]
Get:33 http://172.17.4.1/private bookworm-staging/main armhf libmagic1 armhf 1:5.41-4 [120 kB]
Get:34 http://172.17.4.1/private bookworm-staging/main armhf file armhf 1:5.41-4 [65.8 kB]
Get:35 http://172.17.4.1/private bookworm-staging/main armhf gettext-base armhf 0.21-10 [156 kB]
Get:36 http://172.17.4.1/private bookworm-staging/main armhf autoconf all 2.71-2 [343 kB]
Get:37 http://172.17.4.1/private bookworm-staging/main armhf autotools-dev all 20220109.1 [51.6 kB]
Get:38 http://172.17.4.1/private bookworm-staging/main armhf automake all 1:1.16.5-1.3 [823 kB]
Get:39 http://172.17.4.1/private bookworm-staging/main armhf autopoint all 0.21-10 [495 kB]
Get:40 http://172.17.4.1/private bookworm-staging/main armhf berkeley-abc armhf 1.01+20221019git70cb339+dfsg-3 [4419 kB]
Get:41 http://172.17.4.1/private bookworm-staging/main armhf bison armhf 2:3.8.2+dfsg-1 [1114 kB]
Get:42 http://172.17.4.1/private bookworm-staging/main armhf libdebhelper-perl all 13.11.1 [80.8 kB]
Get:43 http://172.17.4.1/private bookworm-staging/main armhf libtool all 2.4.7-5 [517 kB]
Get:44 http://172.17.4.1/private bookworm-staging/main armhf dh-autoreconf all 20 [17.1 kB]
Get:45 http://172.17.4.1/private bookworm-staging/main armhf libarchive-zip-perl all 1.68-1 [104 kB]
Get:46 http://172.17.4.1/private bookworm-staging/main armhf libsub-override-perl all 0.09-4 [9304 B]
Get:47 http://172.17.4.1/private bookworm-staging/main armhf libfile-stripnondeterminism-perl all 1.13.0-2 [19.4 kB]
Get:48 http://172.17.4.1/private bookworm-staging/main armhf dh-strip-nondeterminism all 1.13.0-2 [8556 B]
Get:49 http://172.17.4.1/private bookworm-staging/main armhf libelf1 armhf 0.187-2+rpi2 [177 kB]
Get:50 http://172.17.4.1/private bookworm-staging/main armhf dwz armhf 0.14+20220924-2 [93.1 kB]
Get:51 http://172.17.4.1/private bookworm-staging/main armhf libicu72 armhf 72.1-3 [9009 kB]
Get:52 http://172.17.4.1/private bookworm-staging/main armhf libxml2 armhf 2.9.14+dfsg-1.1 [570 kB]
Get:53 http://172.17.4.1/private bookworm-staging/main armhf gettext armhf 0.21-10 [1203 kB]
Get:54 http://172.17.4.1/private bookworm-staging/main armhf intltool-debian all 0.35.0+20060710.6 [22.9 kB]
Get:55 http://172.17.4.1/private bookworm-staging/main armhf po-debconf all 1.0.21+nmu1 [248 kB]
Get:56 http://172.17.4.1/private bookworm-staging/main armhf debhelper all 13.11.1 [941 kB]
Get:57 http://172.17.4.1/private bookworm-staging/main armhf python3-lib2to3 all 3.10.8-1 [77.3 kB]
Get:58 http://172.17.4.1/private bookworm-staging/main armhf python3-distutils all 3.10.8-1 [139 kB]
Get:59 http://172.17.4.1/private bookworm-staging/main armhf dh-python all 5.20220819+rpi1 [114 kB]
Get:60 http://172.17.4.1/private bookworm-staging/main armhf iverilog armhf 11.0-1.1 [1608 kB]
Get:61 http://172.17.4.1/private bookworm-staging/main armhf libffi-dev armhf 3.4.4-1 [60.4 kB]
Get:62 http://172.17.4.1/private bookworm-staging/main armhf libncurses6 armhf 6.3+20220423-2 [79.6 kB]
Get:63 http://172.17.4.1/private bookworm-staging/main armhf libncurses-dev armhf 6.3+20220423-2 [289 kB]
Get:64 http://172.17.4.1/private bookworm-staging/main armhf libpkgconf3 armhf 1.8.0-11 [30.8 kB]
Get:65 http://172.17.4.1/private bookworm-staging/main armhf libreadline-dev armhf 8.2-1.2 [122 kB]
Get:66 http://172.17.4.1/private bookworm-staging/main armhf libtcl8.6 armhf 8.6.13+dfsg-1 [906 kB]
Get:67 http://172.17.4.1/private bookworm-staging/main armhf pkgconf-bin armhf 1.8.0-11 [27.6 kB]
Get:68 http://172.17.4.1/private bookworm-staging/main armhf pkgconf armhf 1.8.0-11 [25.6 kB]
Get:69 http://172.17.4.1/private bookworm-staging/main armhf pkg-config armhf 1.8.0-11 [13.4 kB]
Get:70 http://172.17.4.1/private bookworm-staging/main armhf tcl8.6 armhf 8.6.13+dfsg-1 [120 kB]
Get:71 http://172.17.4.1/private bookworm-staging/main armhf tcl armhf 8.6.11+1+b2 [6072 B]
Get:72 http://172.17.4.1/private bookworm-staging/main armhf zlib1g-dev armhf 1:1.2.11.dfsg-4.1 [183 kB]
Get:73 http://172.17.4.1/private bookworm-staging/main armhf tcl8.6-dev armhf 8.6.13+dfsg-1 [919 kB]
Get:74 http://172.17.4.1/private bookworm-staging/main armhf tcl-dev armhf 8.6.11+1+b2 [8632 B]
Get:75 http://172.17.4.1/private bookworm-staging/main armhf txt2man all 1.7.1-4 [35.4 kB]
debconf: delaying package configuration, since apt-utils is not installed
Fetched 35.8 MB in 3s (10.9 MB/s)
(Reading database ... 14830 files and directories currently installed.)
Preparing to unpack .../bsdutils_1%3a2.38.1-4_armhf.deb ...
Unpacking bsdutils (1:2.38.1-4) over (1:2.38.1-1.1) ...
Setting up bsdutils (1:2.38.1-4) ...
(Reading database ... 14830 files and directories currently installed.)
Preparing to unpack .../libsmartcols1_2.38.1-4_armhf.deb ...
Unpacking libsmartcols1:armhf (2.38.1-4) over (2.38.1-1.1) ...
Setting up libsmartcols1:armhf (2.38.1-4) ...
(Reading database ... 14830 files and directories currently installed.)
Preparing to unpack .../util-linux-extra_2.38.1-4_armhf.deb ...
Unpacking util-linux-extra (2.38.1-4) over (2.38.1-1.1) ...
Setting up util-linux-extra (2.38.1-4) ...
(Reading database ... 14830 files and directories currently installed.)
Preparing to unpack .../util-linux_2.38.1-4_armhf.deb ...
Unpacking util-linux (2.38.1-4) over (2.38.1-1.1) ...
Setting up util-linux (2.38.1-4) ...
(Reading database ... 14829 files and directories currently installed.)
Preparing to unpack .../mount_2.38.1-4_armhf.deb ...
Unpacking mount (2.38.1-4) over (2.38.1-1.1) ...
Selecting previously unselected package m4.
Preparing to unpack .../archives/m4_1.4.19-1_armhf.deb ...
Unpacking m4 (1.4.19-1) ...
Selecting previously unselected package flex.
Preparing to unpack .../flex_2.6.4-8.1_armhf.deb ...
Unpacking flex (2.6.4-8.1) ...
Selecting previously unselected package libsigsegv2:armhf.
Preparing to unpack .../libsigsegv2_2.14-1_armhf.deb ...
Unpacking libsigsegv2:armhf (2.14-1) ...
Setting up libsigsegv2:armhf (2.14-1) ...
Selecting previously unselected package gawk.
(Reading database ... 15019 files and directories currently installed.)
Preparing to unpack .../gawk_1%3a5.1.0-1_armhf.deb ...
Unpacking gawk (1:5.1.0-1) ...
Selecting previously unselected package libpython3.10-minimal:armhf.
Preparing to unpack .../libpython3.10-minimal_3.10.8-3_armhf.deb ...
Unpacking libpython3.10-minimal:armhf (3.10.8-3) ...
Selecting previously unselected package libexpat1:armhf.
Preparing to unpack .../libexpat1_2.5.0-1_armhf.deb ...
Unpacking libexpat1:armhf (2.5.0-1) ...
Selecting previously unselected package python3.10-minimal.
Preparing to unpack .../python3.10-minimal_3.10.8-3_armhf.deb ...
Unpacking python3.10-minimal (3.10.8-3) ...
Setting up libpython3.10-minimal:armhf (3.10.8-3) ...
Setting up libexpat1:armhf (2.5.0-1) ...
Setting up python3.10-minimal (3.10.8-3) ...
Selecting previously unselected package python3-minimal.
(Reading database ... 15499 files and directories currently installed.)
Preparing to unpack .../python3-minimal_3.10.6-1_armhf.deb ...
Unpacking python3-minimal (3.10.6-1) ...
Selecting previously unselected package media-types.
Preparing to unpack .../media-types_8.0.0_all.deb ...
Unpacking media-types (8.0.0) ...
Preparing to unpack .../libffi8_3.4.4-1_armhf.deb ...
Unpacking libffi8:armhf (3.4.4-1) over (3.4.2-4) ...
Setting up libffi8:armhf (3.4.4-1) ...
Selecting previously unselected package libmpdec3:armhf.
(Reading database ... 15526 files and directories currently installed.)
Preparing to unpack .../libmpdec3_2.5.1-2+rpi1_armhf.deb ...
Unpacking libmpdec3:armhf (2.5.1-2+rpi1) ...
Preparing to unpack .../readline-common_8.2-1.2_all.deb ...
Unpacking readline-common (8.2-1.2) over (8.2-1) ...
Setting up readline-common (8.2-1.2) ...
(Reading database ... 15534 files and directories currently installed.)
Preparing to unpack .../libreadline8_8.2-1.2_armhf.deb ...
Unpacking libreadline8:armhf (8.2-1.2) over (8.2-1) ...
Setting up libreadline8:armhf (8.2-1.2) ...
(Reading database ... 15534 files and directories currently installed.)
Preparing to unpack .../libuuid1_2.38.1-4_armhf.deb ...
Unpacking libuuid1:armhf (2.38.1-4) over (2.38.1-1.1) ...
Setting up libuuid1:armhf (2.38.1-4) ...
Selecting previously unselected package libpython3.10-stdlib:armhf.
(Reading database ... 15533 files and directories currently installed.)
Preparing to unpack .../libpython3.10-stdlib_3.10.8-3_armhf.deb ...
Unpacking libpython3.10-stdlib:armhf (3.10.8-3) ...
Selecting previously unselected package python3.10.
Preparing to unpack .../python3.10_3.10.8-3_armhf.deb ...
Unpacking python3.10 (3.10.8-3) ...
Selecting previously unselected package libpython3-stdlib:armhf.
Preparing to unpack .../libpython3-stdlib_3.10.6-1_armhf.deb ...
Unpacking libpython3-stdlib:armhf (3.10.6-1) ...
Setting up python3-minimal (3.10.6-1) ...
Selecting previously unselected package python3.
(Reading database ... 15900 files and directories currently installed.)
Preparing to unpack .../python3_3.10.6-1_armhf.deb ...
Unpacking python3 (3.10.6-1) ...
Preparing to unpack .../libblkid1_2.38.1-4_armhf.deb ...
Unpacking libblkid1:armhf (2.38.1-4) over (2.38.1-1.1) ...
Setting up libblkid1:armhf (2.38.1-4) ...
(Reading database ... 15920 files and directories currently installed.)
Preparing to unpack .../libmount1_2.38.1-4_armhf.deb ...
Unpacking libmount1:armhf (2.38.1-4) over (2.38.1-1.1) ...
Setting up libmount1:armhf (2.38.1-4) ...
Selecting previously unselected package libuchardet0:armhf.
(Reading database ... 15920 files and directories currently installed.)
Preparing to unpack .../00-libuchardet0_0.0.7-1_armhf.deb ...
Unpacking libuchardet0:armhf (0.0.7-1) ...
Selecting previously unselected package groff-base.
Preparing to unpack .../01-groff-base_1.22.4-9_armhf.deb ...
Unpacking groff-base (1.22.4-9) ...
Selecting previously unselected package bsdextrautils.
Preparing to unpack .../02-bsdextrautils_2.38.1-4_armhf.deb ...
Unpacking bsdextrautils (2.38.1-4) ...
Selecting previously unselected package libpipeline1:armhf.
Preparing to unpack .../03-libpipeline1_1.5.7-1_armhf.deb ...
Unpacking libpipeline1:armhf (1.5.7-1) ...
Selecting previously unselected package man-db.
Preparing to unpack .../04-man-db_2.11.1-1_armhf.deb ...
Unpacking man-db (2.11.1-1) ...
Selecting previously unselected package libmagic-mgc.
Preparing to unpack .../05-libmagic-mgc_1%3a5.41-4_armhf.deb ...
Unpacking libmagic-mgc (1:5.41-4) ...
Selecting previously unselected package libmagic1:armhf.
Preparing to unpack .../06-libmagic1_1%3a5.41-4_armhf.deb ...
Unpacking libmagic1:armhf (1:5.41-4) ...
Selecting previously unselected package file.
Preparing to unpack .../07-file_1%3a5.41-4_armhf.deb ...
Unpacking file (1:5.41-4) ...
Selecting previously unselected package gettext-base.
Preparing to unpack .../08-gettext-base_0.21-10_armhf.deb ...
Unpacking gettext-base (0.21-10) ...
Selecting previously unselected package autoconf.
Preparing to unpack .../09-autoconf_2.71-2_all.deb ...
Unpacking autoconf (2.71-2) ...
Selecting previously unselected package autotools-dev.
Preparing to unpack .../10-autotools-dev_20220109.1_all.deb ...
Unpacking autotools-dev (20220109.1) ...
Selecting previously unselected package automake.
Preparing to unpack .../11-automake_1%3a1.16.5-1.3_all.deb ...
Unpacking automake (1:1.16.5-1.3) ...
Selecting previously unselected package autopoint.
Preparing to unpack .../12-autopoint_0.21-10_all.deb ...
Unpacking autopoint (0.21-10) ...
Selecting previously unselected package berkeley-abc.
Preparing to unpack .../13-berkeley-abc_1.01+20221019git70cb339+dfsg-3_armhf.deb ...
Unpacking berkeley-abc (1.01+20221019git70cb339+dfsg-3) ...
Selecting previously unselected package bison.
Preparing to unpack .../14-bison_2%3a3.8.2+dfsg-1_armhf.deb ...
Unpacking bison (2:3.8.2+dfsg-1) ...
Selecting previously unselected package libdebhelper-perl.
Preparing to unpack .../15-libdebhelper-perl_13.11.1_all.deb ...
Unpacking libdebhelper-perl (13.11.1) ...
Selecting previously unselected package libtool.
Preparing to unpack .../16-libtool_2.4.7-5_all.deb ...
Unpacking libtool (2.4.7-5) ...
Selecting previously unselected package dh-autoreconf.
Preparing to unpack .../17-dh-autoreconf_20_all.deb ...
Unpacking dh-autoreconf (20) ...
Selecting previously unselected package libarchive-zip-perl.
Preparing to unpack .../18-libarchive-zip-perl_1.68-1_all.deb ...
Unpacking libarchive-zip-perl (1.68-1) ...
Selecting previously unselected package libsub-override-perl.
Preparing to unpack .../19-libsub-override-perl_0.09-4_all.deb ...
Unpacking libsub-override-perl (0.09-4) ...
Selecting previously unselected package libfile-stripnondeterminism-perl.
Preparing to unpack .../20-libfile-stripnondeterminism-perl_1.13.0-2_all.deb ...
Unpacking libfile-stripnondeterminism-perl (1.13.0-2) ...
Selecting previously unselected package dh-strip-nondeterminism.
Preparing to unpack .../21-dh-strip-nondeterminism_1.13.0-2_all.deb ...
Unpacking dh-strip-nondeterminism (1.13.0-2) ...
Selecting previously unselected package libelf1:armhf.
Preparing to unpack .../22-libelf1_0.187-2+rpi2_armhf.deb ...
Unpacking libelf1:armhf (0.187-2+rpi2) ...
Selecting previously unselected package dwz.
Preparing to unpack .../23-dwz_0.14+20220924-2_armhf.deb ...
Unpacking dwz (0.14+20220924-2) ...
Selecting previously unselected package libicu72:armhf.
Preparing to unpack .../24-libicu72_72.1-3_armhf.deb ...
Unpacking libicu72:armhf (72.1-3) ...
Selecting previously unselected package libxml2:armhf.
Preparing to unpack .../25-libxml2_2.9.14+dfsg-1.1_armhf.deb ...
Unpacking libxml2:armhf (2.9.14+dfsg-1.1) ...
Selecting previously unselected package gettext.
Preparing to unpack .../26-gettext_0.21-10_armhf.deb ...
Unpacking gettext (0.21-10) ...
Selecting previously unselected package intltool-debian.
Preparing to unpack .../27-intltool-debian_0.35.0+20060710.6_all.deb ...
Unpacking intltool-debian (0.35.0+20060710.6) ...
Selecting previously unselected package po-debconf.
Preparing to unpack .../28-po-debconf_1.0.21+nmu1_all.deb ...
Unpacking po-debconf (1.0.21+nmu1) ...
Selecting previously unselected package debhelper.
Preparing to unpack .../29-debhelper_13.11.1_all.deb ...
Unpacking debhelper (13.11.1) ...
Selecting previously unselected package python3-lib2to3.
Preparing to unpack .../30-python3-lib2to3_3.10.8-1_all.deb ...
Unpacking python3-lib2to3 (3.10.8-1) ...
Selecting previously unselected package python3-distutils.
Preparing to unpack .../31-python3-distutils_3.10.8-1_all.deb ...
Unpacking python3-distutils (3.10.8-1) ...
Selecting previously unselected package dh-python.
Preparing to unpack .../32-dh-python_5.20220819+rpi1_all.deb ...
Unpacking dh-python (5.20220819+rpi1) ...
Selecting previously unselected package iverilog.
Preparing to unpack .../33-iverilog_11.0-1.1_armhf.deb ...
Unpacking iverilog (11.0-1.1) ...
Selecting previously unselected package libffi-dev:armhf.
Preparing to unpack .../34-libffi-dev_3.4.4-1_armhf.deb ...
Unpacking libffi-dev:armhf (3.4.4-1) ...
Selecting previously unselected package libncurses6:armhf.
Preparing to unpack .../35-libncurses6_6.3+20220423-2_armhf.deb ...
Unpacking libncurses6:armhf (6.3+20220423-2) ...
Selecting previously unselected package libncurses-dev:armhf.
Preparing to unpack .../36-libncurses-dev_6.3+20220423-2_armhf.deb ...
Unpacking libncurses-dev:armhf (6.3+20220423-2) ...
Selecting previously unselected package libpkgconf3:armhf.
Preparing to unpack .../37-libpkgconf3_1.8.0-11_armhf.deb ...
Unpacking libpkgconf3:armhf (1.8.0-11) ...
Selecting previously unselected package libreadline-dev:armhf.
Preparing to unpack .../38-libreadline-dev_8.2-1.2_armhf.deb ...
Unpacking libreadline-dev:armhf (8.2-1.2) ...
Selecting previously unselected package libtcl8.6:armhf.
Preparing to unpack .../39-libtcl8.6_8.6.13+dfsg-1_armhf.deb ...
Unpacking libtcl8.6:armhf (8.6.13+dfsg-1) ...
Selecting previously unselected package pkgconf-bin.
Preparing to unpack .../40-pkgconf-bin_1.8.0-11_armhf.deb ...
Unpacking pkgconf-bin (1.8.0-11) ...
Selecting previously unselected package pkgconf:armhf.
Preparing to unpack .../41-pkgconf_1.8.0-11_armhf.deb ...
Unpacking pkgconf:armhf (1.8.0-11) ...
Selecting previously unselected package pkg-config:armhf.
Preparing to unpack .../42-pkg-config_1.8.0-11_armhf.deb ...
Unpacking pkg-config:armhf (1.8.0-11) ...
Selecting previously unselected package tcl8.6.
Preparing to unpack .../43-tcl8.6_8.6.13+dfsg-1_armhf.deb ...
Unpacking tcl8.6 (8.6.13+dfsg-1) ...
Selecting previously unselected package tcl.
Preparing to unpack .../44-tcl_8.6.11+1+b2_armhf.deb ...
Unpacking tcl (8.6.11+1+b2) ...
Selecting previously unselected package zlib1g-dev:armhf.
Preparing to unpack .../45-zlib1g-dev_1%3a1.2.11.dfsg-4.1_armhf.deb ...
Unpacking zlib1g-dev:armhf (1:1.2.11.dfsg-4.1) ...
Selecting previously unselected package tcl8.6-dev:armhf.
Preparing to unpack .../46-tcl8.6-dev_8.6.13+dfsg-1_armhf.deb ...
Unpacking tcl8.6-dev:armhf (8.6.13+dfsg-1) ...
Selecting previously unselected package tcl-dev:armhf.
Preparing to unpack .../47-tcl-dev_8.6.11+1+b2_armhf.deb ...
Unpacking tcl-dev:armhf (8.6.11+1+b2) ...
Selecting previously unselected package txt2man.
Preparing to unpack .../48-txt2man_1.7.1-4_all.deb ...
Unpacking txt2man (1.7.1-4) ...
Selecting previously unselected package sbuild-build-depends-yosys-dummy.
Preparing to unpack .../49-sbuild-build-depends-yosys-dummy_0.invalid.0_armhf.deb ...
Unpacking sbuild-build-depends-yosys-dummy (0.invalid.0) ...
Setting up media-types (8.0.0) ...
Setting up libpipeline1:armhf (1.5.7-1) ...
Setting up libicu72:armhf (72.1-3) ...
Setting up bsdextrautils (2.38.1-4) ...
Setting up libmagic-mgc (1:5.41-4) ...
Setting up gawk (1:5.1.0-1) ...
Setting up libarchive-zip-perl (1.68-1) ...
Setting up libdebhelper-perl (13.11.1) ...
Setting up libmagic1:armhf (1:5.41-4) ...
Setting up gettext-base (0.21-10) ...
Setting up m4 (1.4.19-1) ...
Setting up file (1:5.41-4) ...
Setting up libffi-dev:armhf (3.4.4-1) ...
Setting up berkeley-abc (1.01+20221019git70cb339+dfsg-3) ...
Setting up iverilog (11.0-1.1) ...
Setting up autotools-dev (20220109.1) ...
Setting up libpkgconf3:armhf (1.8.0-11) ...
Setting up libncurses6:armhf (6.3+20220423-2) ...
Setting up libtcl8.6:armhf (8.6.13+dfsg-1) ...
Setting up autopoint (0.21-10) ...
Setting up pkgconf-bin (1.8.0-11) ...
Setting up autoconf (2.71-2) ...
Setting up zlib1g-dev:armhf (1:1.2.11.dfsg-4.1) ...
Setting up mount (2.38.1-4) ...
Setting up libuchardet0:armhf (0.0.7-1) ...
Setting up bison (2:3.8.2+dfsg-1) ...
update-alternatives: using /usr/bin/bison.yacc to provide /usr/bin/yacc (yacc) in auto mode
Setting up libmpdec3:armhf (2.5.1-2+rpi1) ...
Setting up libsub-override-perl (0.09-4) ...
Setting up libelf1:armhf (0.187-2+rpi2) ...
Setting up libxml2:armhf (2.9.14+dfsg-1.1) ...
Setting up automake (1:1.16.5-1.3) ...
update-alternatives: using /usr/bin/automake-1.16 to provide /usr/bin/automake (automake) in auto mode
Setting up libfile-stripnondeterminism-perl (1.13.0-2) ...
Setting up flex (2.6.4-8.1) ...
Setting up tcl8.6 (8.6.13+dfsg-1) ...
Setting up libncurses-dev:armhf (6.3+20220423-2) ...
Setting up gettext (0.21-10) ...
Setting up txt2man (1.7.1-4) ...
Setting up libtool (2.4.7-5) ...
Setting up tcl8.6-dev:armhf (8.6.13+dfsg-1) ...
Setting up libreadline-dev:armhf (8.2-1.2) ...
Setting up pkgconf:armhf (1.8.0-11) ...
Setting up intltool-debian (0.35.0+20060710.6) ...
Setting up libpython3.10-stdlib:armhf (3.10.8-3) ...
Setting up dh-autoreconf (20) ...
Setting up pkg-config:armhf (1.8.0-11) ...
Setting up dh-strip-nondeterminism (1.13.0-2) ...
Setting up dwz (0.14+20220924-2) ...
Setting up groff-base (1.22.4-9) ...
Setting up tcl (8.6.11+1+b2) ...
Setting up libpython3-stdlib:armhf (3.10.6-1) ...
Setting up python3.10 (3.10.8-3) ...
Setting up po-debconf (1.0.21+nmu1) ...
Setting up python3 (3.10.6-1) ...
Setting up man-db (2.11.1-1) ...
Not building database; man-db/auto-update is not 'true'.
Setting up tcl-dev:armhf (8.6.11+1+b2) ...
Setting up python3-lib2to3 (3.10.8-1) ...
Setting up python3-distutils (3.10.8-1) ...
Setting up dh-python (5.20220819+rpi1) ...
Setting up debhelper (13.11.1) ...
Setting up sbuild-build-depends-yosys-dummy (0.invalid.0) ...
Processing triggers for libc-bin (2.35-2+rpi1) ...
W: No sandbox user '_apt' on the system, can not drop privileges

+------------------------------------------------------------------------------+
| Build environment                                                            |
+------------------------------------------------------------------------------+

Kernel: Linux 4.9.0-0.bpo.2-armmp armhf (armv7l)
Toolchain package versions: binutils_2.39-6+rpi1 dpkg-dev_1.21.9+rpi1 g++-12_12.2.0-3+rpi1 gcc-12_12.2.0-3+rpi1 libc6-dev_2.35-2+rpi1 libstdc++-12-dev_12.2.0-3+rpi1 libstdc++6_12.2.0-3+rpi1 linux-libc-dev_5.19.6-1+rpi1
Package versions: adduser_3.129 apt_2.5.3 autoconf_2.71-2 automake_1:1.16.5-1.3 autopoint_0.21-10 autotools-dev_20220109.1 base-files_12.3+rpi1 base-passwd_3.6.1 bash_5.2~rc2-2 berkeley-abc_1.01+20221019git70cb339+dfsg-3 binutils_2.39-6+rpi1 binutils-arm-linux-gnueabihf_2.39-6+rpi1 binutils-common_2.39-6+rpi1 bison_2:3.8.2+dfsg-1 bsdextrautils_2.38.1-4 bsdutils_1:2.38.1-4 build-essential_12.9 bzip2_1.0.8-5+b2 coreutils_9.1-1 cpp_4:12.2.0-1+rpi1 cpp-12_12.2.0-3+rpi1 dash_0.5.11+git20210903+057cd650a4ed-9 debconf_1.5.79 debhelper_13.11.1 debianutils_5.7-0.3 dh-autoreconf_20 dh-python_5.20220819+rpi1 dh-strip-nondeterminism_1.13.0-2 diffutils_1:3.8-1 dirmngr_2.2.39-1 dpkg_1.21.9+rpi1 dpkg-dev_1.21.9+rpi1 dwz_0.14+20220924-2 e2fsprogs_1.46.6~rc1-1 fakeroot_1.29-1 file_1:5.41-4 findutils_4.9.0-3 flex_2.6.4-8.1 g++_4:12.2.0-1+rpi1 g++-12_12.2.0-3+rpi1 gawk_1:5.1.0-1 gcc_4:12.2.0-1+rpi1 gcc-10-base_10.4.0-5+rpi1 gcc-12_12.2.0-3+rpi1 gcc-12-base_12.2.0-3+rpi1 gcc-7-base_7.5.0-6+rpi1+b2 gcc-8-base_8.4.0-7+rpi1 gcc-9-base_9.4.0-2+rpi1 gettext_0.21-10 gettext-base_0.21-10 gnupg_2.2.39-1 gnupg-l10n_2.2.39-1 gnupg-utils_2.2.39-1 gpg_2.2.39-1 gpg-agent_2.2.39-1 gpg-wks-client_2.2.39-1 gpg-wks-server_2.2.39-1 gpgconf_2.2.39-1 gpgsm_2.2.39-1 gpgv_2.2.39-1 grep_3.7-1 groff-base_1.22.4-9 gzip_1.12-1 hostname_3.23 init-system-helpers_1.64 intltool-debian_0.35.0+20060710.6 iputils-ping_3:20211215-1 iverilog_11.0-1.1 krb5-locales_1.20-1 libacl1_2.3.1-1 libapt-pkg6.0_2.5.3 libarchive-zip-perl_1.68-1 libasan8_12.2.0-3+rpi1 libassuan0_2.5.5-4 libatomic1_12.2.0-3+rpi1 libattr1_1:2.5.1-1 libaudit-common_1:3.0.7-1.1 libaudit1_1:3.0.7-1.1 libbinutils_2.39-6+rpi1 libblkid1_2.38.1-4 libbz2-1.0_1.0.8-5+b2 libc-bin_2.35-2+rpi1 libc-dev-bin_2.35-2+rpi1 libc6_2.35-2+rpi1 libc6-dev_2.35-2+rpi1 libcap-ng0_0.8.3-1 libcap2_1:2.44-1 libcap2-bin_1:2.44-1 libcc1-0_12.2.0-3+rpi1 libcom-err2_1.46.6~rc1-1 libcrypt-dev_1:4.4.28-2 libcrypt1_1:4.4.28-2 libctf-nobfd0_2.39-6+rpi1 libctf0_2.39-6+rpi1 libdb5.3_5.3.28+dfsg1-0.10 libdebconfclient0_0.264 libdebhelper-perl_13.11.1 libdpkg-perl_1.21.9+rpi1 libelf1_0.187-2+rpi2 libexpat1_2.5.0-1 libext2fs2_1.46.6~rc1-1 libfakeroot_1.29-1 libffi-dev_3.4.4-1 libffi8_3.4.4-1 libfile-stripnondeterminism-perl_1.13.0-2 libgcc-12-dev_12.2.0-3+rpi1 libgcc-s1_12.2.0-3+rpi1 libgcrypt20_1.10.1-2+b2 libgdbm-compat4_1.23-3 libgdbm6_1.23-3 libgmp10_2:6.2.1+dfsg1-1.1 libgnutls30_3.7.8-2 libgomp1_12.2.0-3+rpi1 libgpg-error0_1.45-2 libgssapi-krb5-2_1.20-1 libhogweed6_3.8.1-2 libicu72_72.1-3 libidn2-0_2.3.3-1 libisl23_0.25-1 libk5crypto3_1.20-1 libkeyutils1_1.6.3-1 libkrb5-3_1.20-1 libkrb5support0_1.20-1 libksba8_1.6.0-3 libldap-2.4-2_2.4.59+dfsg-1 libldap-2.5-0_2.5.13+dfsg-2+rpi1 libldap-common_2.5.13+dfsg-2+rpi1 liblocale-gettext-perl_1.07-4+b3 liblz4-1_1.9.4-1+rpi1 liblzma5_5.2.5-2.1 libmagic-mgc_1:5.41-4 libmagic1_1:5.41-4 libmount1_2.38.1-4 libmpc3_1.2.1-2 libmpdec3_2.5.1-2+rpi1 libmpfr6_4.1.0-3 libncurses-dev_6.3+20220423-2 libncurses6_6.3+20220423-2 libncursesw6_6.3+20220423-2 libnettle8_3.8.1-2 libnpth0_1.6-3 libnsl-dev_1.3.0-2 libnsl2_1.3.0-2 libp11-kit0_0.24.1-1 libpam-cap_1:2.44-1 libpam-modules_1.5.2-5 libpam-modules-bin_1.5.2-5 libpam-runtime_1.5.2-5 libpam0g_1.5.2-5 libpcre2-8-0_10.40-1+b2 libpcre3_2:8.39-14 libperl5.34_5.34.0-5 libperl5.36_5.36.0-4 libpipeline1_1.5.7-1 libpkgconf3_1.8.0-11 libpython3-stdlib_3.10.6-1 libpython3.10-minimal_3.10.8-3 libpython3.10-stdlib_3.10.8-3 libreadline-dev_8.2-1.2 libreadline8_8.2-1.2 libsasl2-2_2.1.28+dfsg-8 libsasl2-modules-db_2.1.28+dfsg-8 libseccomp2_2.5.4-1+rpi1 libselinux1_3.4-1 libsemanage-common_3.4-1 libsemanage2_3.4-1 libsepol2_3.4-2 libsigsegv2_2.14-1 libsmartcols1_2.38.1-4 libsqlite3-0_3.39.4-1 libss2_1.46.6~rc1-1 libssl1.1_1.1.1o-1 libssl3_3.0.5-4 libstdc++-12-dev_12.2.0-3+rpi1 libstdc++6_12.2.0-3+rpi1 libsub-override-perl_0.09-4 libsystemd0_251.5-1+rpi1 libtasn1-6_4.19.0-2 libtcl8.6_8.6.13+dfsg-1 libtext-charwidth-perl_0.04-10+b3 libtext-iconv-perl_1.7-7+b3 libtinfo6_6.3+20220423-2 libtirpc-common_1.3.3+ds-1 libtirpc-dev_1.3.3+ds-1 libtirpc3_1.3.3+ds-1 libtool_2.4.7-5 libubsan1_12.2.0-3+rpi1 libuchardet0_0.0.7-1 libudev1_251.5-1+rpi1 libunistring2_1.0-2 libuuid1_2.38.1-4 libxml2_2.9.14+dfsg-1.1 libxxhash0_0.8.1-1 libzstd1_1.5.2+dfsg-1 linux-libc-dev_5.19.6-1+rpi1 login_1:4.12.3+dfsg1-1 logsave_1.46.6~rc1-1 lsb-base_11.4+rpi1 m4_1.4.19-1 make_4.3-4.1 man-db_2.11.1-1 mawk_1.3.4.20200120-3.1 media-types_8.0.0 mount_2.38.1-4 nano_6.4-1 ncurses-base_6.3+20220423-2 ncurses-bin_6.3+20220423-2 netbase_6.3 passwd_1:4.12.3+dfsg1-1 patch_2.7.6-7 perl_5.36.0-4 perl-base_5.36.0-4 perl-modules-5.34_5.34.0-5 perl-modules-5.36_5.36.0-4 pinentry-curses_1.2.0-2 pkg-config_1.8.0-11 pkgconf_1.8.0-11 pkgconf-bin_1.8.0-11 po-debconf_1.0.21+nmu1 python3_3.10.6-1 python3-distutils_3.10.8-1 python3-lib2to3_3.10.8-1 python3-minimal_3.10.6-1 python3.10_3.10.8-3 python3.10-minimal_3.10.8-3 raspbian-archive-keyring_20120528.2 readline-common_8.2-1.2 rpcsvc-proto_1.4.2-4 sbuild-build-depends-core-dummy_0.invalid.0 sbuild-build-depends-yosys-dummy_0.invalid.0 sed_4.8-1 sensible-utils_0.0.17 sgml-base_1.31 sysvinit-utils_3.05-6 tar_1.34+dfsg-1 tcl_8.6.11+1+b2 tcl-dev_8.6.11+1+b2 tcl8.6_8.6.13+dfsg-1 tcl8.6-dev_8.6.13+dfsg-1 txt2man_1.7.1-4 tzdata_2022d-1 util-linux_2.38.1-4 util-linux-extra_2.38.1-4 xz-utils_5.2.5-2.1 zlib1g_1:1.2.11.dfsg-4.1 zlib1g-dev_1:1.2.11.dfsg-4.1

+------------------------------------------------------------------------------+
| Build                                                                        |
+------------------------------------------------------------------------------+


Unpack source
-------------

gpgv: unknown type of key resource 'trustedkeys.kbx'
gpgv: keyblock resource '/tmp/dpkg-verify-sig.6zYLqQ7e/trustedkeys.kbx': General error
gpgv: Signature made Sat Dec  3 22:16:42 2022 UTC
gpgv:                using RSA key 57A1BF15B4F6F99B89EDB29FD39481AE1E79ACF7
gpgv: Can't check signature: No public key
dpkg-source: warning: cannot verify signature ./yosys_0.23-6.dsc
dpkg-source: info: extracting yosys in /<<PKGBUILDDIR>>
dpkg-source: info: unpacking yosys_0.23.orig.tar.gz
dpkg-source: info: unpacking yosys_0.23-6.debian.tar.xz
dpkg-source: info: using patch list from debian/patches/series
dpkg-source: info: applying switch-to-free-font.patch
dpkg-source: info: applying kfreebsd-support.patch
dpkg-source: info: applying 0007-Disable-pretty-build.patch
dpkg-source: info: applying 0009-Some-spelling-errors-fixed.patch
dpkg-source: info: applying 0010-Fix-reproducibility-of-PDF-documents-in-yosys-doc.patch
dpkg-source: info: applying 0010-Fix-adding-of-sys.path-in-yosys-smtbmc.patch
dpkg-source: info: applying 0011-Do-not-show-g-build-flags-in-Version-string.patch
dpkg-source: info: applying 0012-Skip-non-deterministic-test-causing-random-FTBFS-on-.patch
dpkg-source: info: applying 0014-Set-path-to-berkeley-abc-instead-of-relative-path-to.patch
dpkg-source: info: applying 0017-Support-plugin-loading-from-libdir.patch
dpkg-source: info: applying 0018-Fix-blhc-CLFAGS-warning.patch
dpkg-source: info: applying 0019-Fix-fstapi-mips64el-crash.patch
dpkg-source: info: applying 0020-autotest-Print-log-on-error.patch

Check disc space
----------------

Sufficient free space for build

User Environment
----------------

APT_CONFIG=/var/lib/sbuild/apt.conf
DEB_BUILD_OPTIONS=parallel=4
HOME=/sbuild-nonexistent
LC_ALL=POSIX
LOGNAME=buildd
PATH=/usr/local/sbin:/usr/local/bin:/usr/sbin:/usr/bin:/sbin:/bin:/usr/games
SCHROOT_ALIAS_NAME=bookworm-staging-armhf-sbuild
SCHROOT_CHROOT_NAME=bookworm-staging-armhf-sbuild
SCHROOT_COMMAND=env
SCHROOT_GID=109
SCHROOT_GROUP=buildd
SCHROOT_SESSION_ID=bookworm-staging-armhf-sbuild-d32ab59d-0ed0-4b63-bda7-b8f61d965a88
SCHROOT_UID=104
SCHROOT_USER=buildd
SHELL=/bin/sh
USER=buildd

dpkg-buildpackage
-----------------

dpkg-buildpackage: info: source package yosys
dpkg-buildpackage: info: source version 0.23-6
dpkg-buildpackage: info: source distribution unstable
 dpkg-source --before-build .
dpkg-buildpackage: info: host architecture armhf
 debian/rules clean
PREFIX=/usr dh clean --with=python3
   debian/rules override_dh_auto_clean
make[1]: Entering directory '/<<PKGBUILDDIR>>'
dh_auto_clean
	make -j4 clean
make[2]: Entering directory '/<<PKGBUILDDIR>>'
rm -rf share
rm -rf kernel/*.pyh
if test -d manual; then cd manual && sh clean.sh; fi
find ./ -name '*.aux' | xargs rm -f
find ./ -name '*.bbl' | xargs rm -f
find ./ -name '*.blg' | xargs rm -f
find ./ -name '*.idx' | xargs rm -f
find ./ -name '*.log' | xargs rm -f
find ./ -name '*.out' | xargs rm -f
find ./ -name '*.pdf' | xargs rm -f
find ./ -name '*.toc' | xargs rm -f
find ./ -name '*.snm' | xargs rm -f
find ./ -name '*.nav' | xargs rm -f
find ./ -name '*.vrb' | xargs rm -f
find ./ -name '*.ok' | xargs rm -f
find ./CHAPTER_Prog/ -name 'stubnets.so' | xargs rm -f
find ./CHAPTER_Prog/ -name 'stubnets.d' | xargs rm -f
find ./CHAPTER_Prog/ -name '*.log' | xargs rm -f
find ./PRESENTATION_ExAdv/ -name '*.dot' | xargs rm -f
find ./PRESENTATION_ExOth/ -name '*.dot' | xargs rm -f
find ./PRESENTATION_ExSyn/ -name '*.dot' | xargs rm -f
find ./PRESENTATION_Intro/ -name 'counter_00.dot' | xargs rm -f
find ./PRESENTATION_Intro/ -name 'counter_01.dot' | xargs rm -f
find ./PRESENTATION_Intro/ -name 'counter_02.dot' | xargs rm -f
find ./PRESENTATION_Intro/ -name 'counter_03.dot' | xargs rm -f
find ./PRESENTATION_Prog/ -name 'my_cmd.so' | xargs rm -f
find ./PRESENTATION_Prog/ -name 'my_cmd.d' | xargs rm -f
rm -f kernel/version_7ce5011c24b.o kernel/driver.o kernel/register.o kernel/rtlil.o kernel/log.o kernel/calc.o kernel/yosys.o kernel/binding.o kernel/cellaigs.o kernel/celledges.o kernel/satgen.o kernel/qcsat.o kernel/mem.o kernel/ffmerge.o kernel/ff.o kernel/fstdata.o libs/bigint/BigIntegerAlgorithms.o libs/bigint/BigInteger.o libs/bigint/BigIntegerUtils.o libs/bigint/BigUnsigned.o libs/bigint/BigUnsignedInABase.o libs/sha1/sha1.o libs/json11/json11.o libs/subcircuit/subcircuit.o libs/ezsat/ezsat.o libs/ezsat/ezminisat.o libs/minisat/Options.o libs/minisat/SimpSolver.o libs/minisat/Solver.o libs/minisat/System.o libs/fst/fstapi.o libs/fst/fastlz.o libs/fst/lz4.o frontends/aiger/aigerparse.o frontends/ast/ast.o frontends/ast/simplify.o frontends/ast/genrtlil.o frontends/ast/dpicall.o frontends/ast/ast_binding.o frontends/blif/blifparse.o frontends/json/jsonparse.o frontends/liberty/liberty.o frontends/rpc/rpc_frontend.o frontends/rtlil/rtlil_parser.tab.o frontends/rtlil/rtlil_lexer.o frontends/rtlil/rtlil_frontend.o frontends/verific/verific.o frontends/verilog/verilog_parser.tab.o frontends/verilog/verilog_lexer.o frontends/verilog/preproc.o frontends/verilog/verilog_frontend.o frontends/verilog/const2ast.o passes/cmds/exec.o passes/cmds/add.o passes/cmds/delete.o passes/cmds/design.o passes/cmds/select.o passes/cmds/show.o passes/cmds/rename.o passes/cmds/autoname.o passes/cmds/connect.o passes/cmds/scatter.o passes/cmds/setundef.o passes/cmds/splitnets.o passes/cmds/stat.o passes/cmds/setattr.o passes/cmds/copy.o passes/cmds/splice.o passes/cmds/scc.o passes/cmds/glift.o passes/cmds/torder.o passes/cmds/logcmd.o passes/cmds/tee.o passes/cmds/write_file.o passes/cmds/connwrappers.o passes/cmds/cover.o passes/cmds/trace.o passes/cmds/plugin.o passes/cmds/check.o passes/cmds/qwp.o passes/cmds/edgetypes.o passes/cmds/portlist.o passes/cmds/chformal.o passes/cmds/chtype.o passes/cmds/blackbox.o passes/cmds/ltp.o passes/cmds/bugpoint.o passes/cmds/scratchpad.o passes/cmds/logger.o passes/cmds/printattrs.o passes/cmds/sta.o passes/cmds/clean_zerowidth.o passes/equiv/equiv_make.o passes/equiv/equiv_miter.o passes/equiv/equiv_simple.o passes/equiv/equiv_status.o passes/equiv/equiv_add.o passes/equiv/equiv_remove.o passes/equiv/equiv_induct.o passes/equiv/equiv_struct.o passes/equiv/equiv_purge.o passes/equiv/equiv_mark.o passes/equiv/equiv_opt.o passes/fsm/fsm.o passes/fsm/fsm_detect.o passes/fsm/fsm_extract.o passes/fsm/fsm_opt.o passes/fsm/fsm_expand.o passes/fsm/fsm_recode.o passes/fsm/fsm_info.o passes/fsm/fsm_export.o passes/fsm/fsm_map.o passes/hierarchy/hierarchy.o passes/hierarchy/uniquify.o passes/hierarchy/submod.o passes/memory/memory.o passes/memory/memory_dff.o passes/memory/memory_share.o passes/memory/memory_collect.o passes/memory/memory_unpack.o passes/memory/memory_bram.o passes/memory/memory_map.o passes/memory/memory_memx.o passes/memory/memory_nordff.o passes/memory/memory_narrow.o passes/memory/memory_libmap.o passes/memory/memory_bmux2rom.o passes/memory/memlib.o passes/opt/opt.o passes/opt/opt_merge.o passes/opt/opt_mem.o passes/opt/opt_mem_feedback.o passes/opt/opt_mem_priority.o passes/opt/opt_mem_widen.o passes/opt/opt_muxtree.o passes/opt/opt_reduce.o passes/opt/opt_dff.o passes/opt/opt_share.o passes/opt/opt_clean.o passes/opt/opt_expr.o passes/opt/share.o passes/opt/wreduce.o passes/opt/opt_demorgan.o passes/opt/rmports.o passes/opt/opt_lut.o passes/opt/opt_lut_ins.o passes/opt/opt_ffinv.o passes/opt/pmux2shiftx.o passes/opt/muxpack.o passes/pmgen/test_pmgen.o passes/pmgen/ice40_dsp.o passes/pmgen/ice40_wrapcarry.o passes/pmgen/xilinx_dsp.o passes/pmgen/peepopt.o passes/pmgen/xilinx_srl.o passes/proc/proc.o passes/proc/proc_prune.o passes/proc/proc_clean.o passes/proc/proc_rmdead.o passes/proc/proc_init.o passes/proc/proc_arst.o passes/proc/proc_rom.o passes/proc/proc_mux.o passes/proc/proc_dlatch.o passes/proc/proc_dff.o passes/proc/proc_memwr.o passes/sat/sat.o passes/sat/freduce.o passes/sat/eval.o passes/sat/sim.o passes/sat/miter.o passes/sat/expose.o passes/sat/assertpmux.o passes/sat/clk2fflogic.o passes/sat/async2sync.o passes/sat/formalff.o passes/sat/supercover.o passes/sat/fmcombine.o passes/sat/mutate.o passes/sat/cutpoint.o passes/sat/fminit.o passes/sat/qbfsat.o passes/techmap/flatten.o passes/techmap/techmap.o passes/techmap/simplemap.o passes/techmap/dfflibmap.o passes/techmap/maccmap.o passes/techmap/libparse.o passes/techmap/abc.o passes/techmap/abc9.o passes/techmap/abc9_exe.o passes/techmap/abc9_ops.o passes/techmap/iopadmap.o passes/techmap/clkbufmap.o passes/techmap/hilomap.o passes/techmap/extract.o passes/techmap/extract_fa.o passes/techmap/extract_counter.o passes/techmap/extract_reduce.o passes/techmap/alumacc.o passes/techmap/dffinit.o passes/techmap/pmuxtree.o passes/techmap/bmuxmap.o passes/techmap/demuxmap.o passes/techmap/muxcover.o passes/techmap/aigmap.o passes/techmap/tribuf.o passes/techmap/lut2mux.o passes/techmap/nlutmap.o passes/techmap/shregmap.o passes/techmap/deminout.o passes/techmap/insbuf.o passes/techmap/attrmvcp.o passes/techmap/attrmap.o passes/techmap/zinit.o passes/techmap/dfflegalize.o passes/techmap/dffunmap.o passes/techmap/flowmap.o passes/techmap/extractinv.o passes/tests/test_autotb.o passes/tests/test_cell.o passes/tests/test_abcloop.o backends/aiger/aiger.o backends/aiger/xaiger.o backends/blif/blif.o backends/btor/btor.o backends/cxxrtl/cxxrtl_backend.o backends/edif/edif.o backends/firrtl/firrtl.o backends/intersynth/intersynth.o backends/jny/jny.o backends/json/json.o backends/rtlil/rtlil_backend.o backends/simplec/simplec.o backends/smt2/smt2.o backends/smv/smv.o backends/spice/spice.o backends/table/table.o backends/verilog/verilog_backend.o techlibs/achronix/synth_achronix.o techlibs/anlogic/synth_anlogic.o techlibs/anlogic/anlogic_eqn.o techlibs/anlogic/anlogic_fixcarry.o techlibs/common/synth.o techlibs/common/prep.o techlibs/coolrunner2/synth_coolrunner2.o techlibs/coolrunner2/coolrunner2_sop.o techlibs/coolrunner2/coolrunner2_fixup.o techlibs/easic/synth_easic.o techlibs/ecp5/synth_ecp5.o techlibs/ecp5/ecp5_gsr.o techlibs/efinix/synth_efinix.o techlibs/efinix/efinix_fixcarry.o techlibs/gatemate/synth_gatemate.o techlibs/gatemate/gatemate_foldinv.o techlibs/gowin/synth_gowin.o techlibs/greenpak4/synth_greenpak4.o techlibs/greenpak4/greenpak4_dffinv.o techlibs/ice40/synth_ice40.o techlibs/ice40/ice40_braminit.o techlibs/ice40/ice40_opt.o techlibs/intel/synth_intel.o techlibs/intel_alm/synth_intel_alm.o techlibs/machxo2/synth_machxo2.o techlibs/nexus/synth_nexus.o techlibs/quicklogic/synth_quicklogic.o techlibs/sf2/synth_sf2.o techlibs/xilinx/synth_xilinx.o techlibs/xilinx/xilinx_dffopt.o frontends/rtlil/rtlil_parser.tab.cc frontends/rtlil/rtlil_parser.tab.hh frontends/rtlil/rtlil_parser.output frontends/rtlil/rtlil_lexer.cc frontends/verilog/verilog_parser.tab.cc frontends/verilog/verilog_parser.tab.hh frontends/verilog/verilog_parser.output frontends/verilog/verilog_lexer.cc passes/pmgen/test_pmgen_pm.h passes/pmgen/ice40_dsp_pm.h passes/pmgen/ice40_wrapcarry_pm.h passes/pmgen/xilinx_dsp_pm.h passes/pmgen/xilinx_dsp48a_pm.h passes/pmgen/xilinx_dsp_CREG_pm.h passes/pmgen/xilinx_dsp_cascade_pm.h passes/pmgen/peepopt_pm.h passes/pmgen/xilinx_srl_pm.h techlibs/common/simlib_help.inc techlibs/common/simcells_help.inc yosys yosys-config yosys-abc yosys-filterlib yosys-smtbmc yosys-witness share/include/kernel/yosys.h share/include/kernel/hashlib.h share/include/kernel/log.h share/include/kernel/rtlil.h share/include/kernel/binding.h share/include/kernel/register.h share/include/kernel/celltypes.h share/include/kernel/celledges.h share/include/kernel/consteval.h share/include/kernel/constids.inc share/include/kernel/sigtools.h share/include/kernel/modtools.h share/include/kernel/macc.h share/include/kernel/utils.h share/include/kernel/satgen.h share/include/kernel/qcsat.h share/include/kernel/ff.h share/include/kernel/ffinit.h share/include/kernel/fstdata.h share/include/kernel/mem.h share/include/libs/ezsat/ezsat.h share/include/libs/ezsat/ezminisat.h share/include/libs/fst/fstapi.h share/include/libs/sha1/sha1.h share/include/libs/json11/json11.hpp share/include/passes/fsm/fsmdata.h share/include/frontends/ast/ast.h share/include/frontends/ast/ast_binding.h share/include/frontends/blif/blifparse.h share/include/backends/rtlil/rtlil_backend.h share/include/backends/cxxrtl/cxxrtl.h share/include/backends/cxxrtl/cxxrtl_vcd.h share/include/backends/cxxrtl/cxxrtl_capi.cc share/include/backends/cxxrtl/cxxrtl_capi.h share/include/backends/cxxrtl/cxxrtl_vcd_capi.cc share/include/backends/cxxrtl/cxxrtl_vcd_capi.h share/python3/smtio.py share/python3/ywio.py share/achronix/speedster22i/cells_sim.v share/achronix/speedster22i/cells_map.v share/anlogic/cells_map.v share/anlogic/arith_map.v share/anlogic/cells_sim.v share/anlogic/eagle_bb.v share/anlogic/lutrams.txt share/anlogic/lutrams_map.v share/anlogic/brams.txt share/anlogic/brams_map.v share/simlib.v share/simcells.v share/techmap.v share/smtmap.v share/pmux2mux.v share/adff2dff.v share/dff2ff.v share/gate2lut.v share/cmp2lut.v share/cells.lib share/mul2dsp.v share/abc9_model.v share/abc9_map.v share/abc9_unmap.v share/cmp2lcu.v share/coolrunner2/cells_latch.v share/coolrunner2/cells_sim.v share/coolrunner2/cells_counter_map.v share/coolrunner2/tff_extract.v share/coolrunner2/xc2_dff.lib share/ecp5/cells_ff.vh share/ecp5/cells_io.vh share/ecp5/cells_map.v share/ecp5/cells_sim.v share/ecp5/cells_bb.v share/ecp5/lutrams_map.v share/ecp5/lutrams.txt share/ecp5/brams_map.v share/ecp5/brams.txt share/ecp5/arith_map.v share/ecp5/latches_map.v share/ecp5/dsp_map.v share/efinix/cells_map.v share/efinix/arith_map.v share/efinix/cells_sim.v share/efinix/brams_map.v share/efinix/gbuf_map.v share/efinix/brams.txt share/gatemate/reg_map.v share/gatemate/mux_map.v share/gatemate/lut_map.v share/gatemate/mul_map.v share/gatemate/arith_map.v share/gatemate/cells_sim.v share/gatemate/cells_bb.v share/gatemate/brams_map.v share/gatemate/brams.txt share/gatemate/brams_init_20.vh share/gatemate/brams_init_40.vh share/gatemate/inv_map.v share/gatemate/lut_tree_cells.genlib share/gatemate/lut_tree_map.v share/gowin/cells_map.v share/gowin/cells_sim.v share/gowin/arith_map.v share/gowin/brams_map.v share/gowin/brams.txt share/gowin/lutrams_map.v share/gowin/lutrams.txt share/greenpak4/cells_blackbox.v share/greenpak4/cells_latch.v share/greenpak4/cells_map.v share/greenpak4/cells_sim.v share/greenpak4/cells_sim_ams.v share/greenpak4/cells_sim_digital.v share/greenpak4/cells_sim_wip.v share/greenpak4/gp_dff.lib share/ice40/arith_map.v share/ice40/cells_map.v share/ice40/ff_map.v share/ice40/cells_sim.v share/ice40/latches_map.v share/ice40/brams.txt share/ice40/brams_map.v share/ice40/spram.txt share/ice40/spram_map.v share/ice40/dsp_map.v share/ice40/abc9_model.v share/intel/common/m9k_bb.v share/intel/common/altpll_bb.v share/intel/common/brams_m9k.txt share/intel/common/brams_map_m9k.v share/intel/common/ff_map.v share/intel/max10/cells_sim.v share/intel/cyclone10lp/cells_sim.v share/intel/cycloneiv/cells_sim.v share/intel/cycloneive/cells_sim.v share/intel/max10/cells_map.v share/intel/cyclone10lp/cells_map.v share/intel/cycloneiv/cells_map.v share/intel/cycloneive/cells_map.v share/intel_alm/common/abc9_map.v share/intel_alm/common/abc9_unmap.v share/intel_alm/common/abc9_model.v share/intel_alm/common/alm_map.v share/intel_alm/common/alm_sim.v share/intel_alm/common/arith_alm_map.v share/intel_alm/common/dff_map.v share/intel_alm/common/dff_sim.v share/intel_alm/common/dsp_sim.v share/intel_alm/common/dsp_map.v share/intel_alm/common/mem_sim.v share/intel_alm/common/misc_sim.v share/intel_alm/cyclonev/cells_sim.v share/intel_alm/common/bram_m10k.txt share/intel_alm/common/bram_m10k_map.v share/intel_alm/common/bram_m20k.txt share/intel_alm/common/bram_m20k_map.v share/intel_alm/common/lutram_mlab.txt share/intel_alm/common/megafunction_bb.v share/intel_alm/common/quartus_rename.v share/machxo2/cells_map.v share/machxo2/cells_sim.v share/machxo2/lutrams.txt share/machxo2/lutrams_map.v share/machxo2/brams.txt share/machxo2/brams_map.v share/nexus/cells_map.v share/nexus/cells_sim.v share/nexus/parse_init.vh share/nexus/cells_xtra.v share/nexus/lutrams_map.v share/nexus/lutrams.txt share/nexus/brams_map.v share/nexus/brams.txt share/nexus/lrams_map.v share/nexus/lrams.txt share/nexus/arith_map.v share/nexus/latches_map.v share/nexus/dsp_map.v share/quicklogic/pp3_ffs_map.v share/quicklogic/pp3_lut_map.v share/quicklogic/pp3_latches_map.v share/quicklogic/pp3_cells_map.v share/quicklogic/cells_sim.v share/quicklogic/lut_sim.v share/quicklogic/pp3_cells_sim.v share/quicklogic/abc9_model.v share/quicklogic/abc9_map.v share/quicklogic/abc9_unmap.v share/sf2/arith_map.v share/sf2/cells_map.v share/sf2/cells_sim.v share/xilinx/cells_map.v share/xilinx/cells_sim.v share/xilinx/cells_xtra.v share/xilinx/lutrams_xcv.txt share/xilinx/lutrams_xcv_map.v share/xilinx/lutrams_xc5v.txt share/xilinx/lutrams_xcu.txt share/xilinx/lutrams_xc5v_map.v share/xilinx/brams_xcv.txt share/xilinx/brams_xcv_map.v share/xilinx/brams_defs.vh share/xilinx/brams_xc2v.txt share/xilinx/brams_xc2v_map.v share/xilinx/brams_xc3sda.txt share/xilinx/brams_xc3sda_map.v share/xilinx/brams_xc4v.txt share/xilinx/brams_xc4v_map.v share/xilinx/brams_xc5v_map.v share/xilinx/brams_xc6v_map.v share/xilinx/brams_xcu_map.v share/xilinx/urams.txt share/xilinx/urams_map.v share/xilinx/arith_map.v share/xilinx/ff_map.v share/xilinx/lut_map.v share/xilinx/mux_map.v share/xilinx/xc3s_mult_map.v share/xilinx/xc3sda_dsp_map.v share/xilinx/xc6s_dsp_map.v share/xilinx/xc4v_dsp_map.v share/xilinx/xc5v_dsp_map.v share/xilinx/xc7_dsp_map.v share/xilinx/xcu_dsp_map.v share/xilinx/abc9_model.v passes/pmgen/test_pmgen_pm.h passes/pmgen/ice40_dsp_pm.h passes/pmgen/ice40_wrapcarry_pm.h passes/pmgen/xilinx_dsp_pm.h passes/pmgen/xilinx_dsp48a_pm.h passes/pmgen/xilinx_dsp_CREG_pm.h passes/pmgen/xilinx_dsp_cascade_pm.h passes/pmgen/peepopt_pm.h passes/pmgen/xilinx_srl_pm.h passes/techmap/filterlib.o techlibs/gatemate/lut_tree_lib.mk  .cc
rm -f kernel/version_*.o kernel/version_*.cc
rm -f libs/*/*.d frontends/*/*.d passes/*/*.d backends/*/*.d kernel/*.d techlibs/*/*.d
rm -rf tests/asicworld/*.out tests/asicworld/*.log
rm -rf tests/hana/*.out tests/hana/*.log
rm -rf tests/simple/*.out tests/simple/*.log
rm -rf tests/memories/*.out tests/memories/*.log tests/memories/*.dmp
rm -rf tests/sat/*.log tests/techmap/*.log tests/various/*.log
rm -rf tests/bram/temp tests/fsm/temp tests/realmath/temp tests/share/temp tests/smv/temp tests/various/temp
rm -rf vloghtb/Makefile vloghtb/refdat vloghtb/rtl vloghtb/scripts vloghtb/spec vloghtb/check_yosys vloghtb/vloghammer_tb.tar.bz2 vloghtb/temp vloghtb/log_test_*
rm -f tests/svinterfaces/*.log_stdout tests/svinterfaces/*.log_stderr tests/svinterfaces/dut_result.txt tests/svinterfaces/reference_result.txt tests/svinterfaces/a.out tests/svinterfaces/*_syn.v tests/svinterfaces/*.diff
rm -f  tests/tools/cmp_tbdata
make[2]: Leaving directory '/<<PKGBUILDDIR>>'
rm -f debian/man/*.1
rm -f Makefile.conf
make[1]: Leaving directory '/<<PKGBUILDDIR>>'
   dh_clean
 debian/rules binary-arch
PREFIX=/usr dh binary-arch --with=python3
   dh_update_autotools_config -a
   dh_autoreconf -a
   debian/rules override_dh_auto_configure
make[1]: Entering directory '/<<PKGBUILDDIR>>'
printf '%s\n' \
  'CONFIG := gcc' \
  'ABCEXTERNAL=berkeley-abc' \
  'ABCPULL=0' \
  'STRIP=:' \
  > Makefile.conf
make[1]: Leaving directory '/<<PKGBUILDDIR>>'
   debian/rules override_dh_auto_build-arch
make[1]: Entering directory '/<<PKGBUILDDIR>>'
dh_auto_build -- all
	make -j4 "INSTALL=install --strip-program=true" all
make[2]: Entering directory '/<<PKGBUILDDIR>>'
[Makefile.conf] CONFIG := gcc
[Makefile.conf] ABCEXTERNAL=berkeley-abc
[Makefile.conf] ABCPULL=0
[Makefile.conf] STRIP=:
rm -f kernel/version_*.o kernel/version_*.d kernel/version_*.cc
mkdir -p kernel/
mkdir -p kernel && echo "namespace Yosys { extern const char *yosys_version_str; const char *yosys_version_str=\"Yosys 0.23 (git sha1 7ce5011c24b)\"; }" > kernel/version_7ce5011c24b.cc
gcc -o kernel/driver.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/driver.cc
mkdir -p techlibs/common
mkdir -p techlibs/common
python3 techlibs/common/cellhelp.py techlibs/common/simlib.v > techlibs/common/simlib_help.inc.new
mkdir -p kernel/
python3 techlibs/common/cellhelp.py techlibs/common/simcells.v > techlibs/common/simcells_help.inc.new
gcc -o kernel/rtlil.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/rtlil.cc
mv techlibs/common/simlib_help.inc.new techlibs/common/simlib_help.inc
mkdir -p kernel/
gcc -o kernel/log.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DYOSYS_SRC='"./"' kernel/log.cc
mv techlibs/common/simcells_help.inc.new techlibs/common/simcells_help.inc
mkdir -p kernel/
gcc -o kernel/calc.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/calc.cc
mkdir -p kernel/
gcc -o kernel/yosys.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DABCEXTERNAL='"berkeley-abc"' -DYOSYS_DATDIR='"/usr/share/yosys"' -DYOSYS_PROGRAM_PREFIX='""' kernel/yosys.cc
In file included from /usr/include/c++/12/bits/stl_algobase.h:67,
                 from /usr/include/c++/12/bits/stl_tree.h:63,
                 from /usr/include/c++/12/map:60,
                 from ./kernel/yosys.h:42,
                 from kernel/driver.cc:20:
/usr/include/c++/12/bits/stl_iterator.h: In function 'std::reverse_iterator<_Iterator>::reference std::reverse_iterator<_Iterator>::operator*() const [with _Iterator = std::_Rb_tree_const_iterator<std::tuple<long long int, int, std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > > >]':
/usr/include/c++/12/bits/stl_iterator.h:260:7: note: parameter passing for argument of type 'const std::_Rb_tree_const_iterator<std::tuple<long long int, int, std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > > >' changed in GCC 7.1
  260 |       operator*() const
      |       ^~~~~~~~
mkdir -p kernel/
gcc -o kernel/binding.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/binding.cc
mkdir -p kernel/
gcc -o kernel/cellaigs.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/cellaigs.cc
mkdir -p kernel/
gcc -o kernel/celledges.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/celledges.cc
mkdir -p kernel/
gcc -o kernel/satgen.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/satgen.cc
mkdir -p kernel/
gcc -o kernel/qcsat.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/qcsat.cc
mkdir -p kernel/
gcc -o kernel/mem.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/mem.cc
mkdir -p kernel/
gcc -o kernel/ffmerge.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/ffmerge.cc
mkdir -p kernel/
gcc -o kernel/ff.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/ff.cc
mkdir -p kernel/
gcc -o kernel/fstdata.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/fstdata.cc
mkdir -p libs/bigint/
gcc -o libs/bigint/BigIntegerAlgorithms.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigIntegerAlgorithms.cc
mkdir -p libs/bigint/
gcc -o libs/bigint/BigInteger.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigInteger.cc
mkdir -p libs/bigint/
gcc -o libs/bigint/BigIntegerUtils.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigIntegerUtils.cc
mkdir -p libs/bigint/
gcc -o libs/bigint/BigUnsigned.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigUnsigned.cc
mkdir -p libs/bigint/
gcc -o libs/bigint/BigUnsignedInABase.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigUnsignedInABase.cc
mkdir -p libs/sha1/
gcc -o libs/sha1/sha1.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/sha1/sha1.cpp
mkdir -p libs/json11/
gcc -o libs/json11/json11.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/json11/json11.cpp
mkdir -p libs/subcircuit/
gcc -o libs/subcircuit/subcircuit.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/subcircuit/subcircuit.cc
mkdir -p libs/ezsat/
gcc -o libs/ezsat/ezsat.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/ezsat/ezsat.cc
mkdir -p libs/ezsat/
gcc -o libs/ezsat/ezminisat.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/ezsat/ezminisat.cc
mkdir -p libs/minisat/
gcc -o libs/minisat/Options.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/Options.cc
mkdir -p libs/minisat/
gcc -o libs/minisat/SimpSolver.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/SimpSolver.cc
In file included from libs/minisat/Sort.h:24,
                 from libs/minisat/SimpSolver.cc:27:
libs/minisat/Vec.h: In instantiation of 'void Minisat::vec<T, _Size>::capacity(Size) [with T = Minisat::vec<unsigned int>; _Size = int; Size = int]':
libs/minisat/Vec.h:119:13:   required from 'void Minisat::vec<T, _Size>::growTo(Size) [with T = Minisat::vec<unsigned int>; _Size = int; Size = int]'
libs/minisat/IntMap.h:48:58:   required from 'void Minisat::IntMap<K, V, MkIndex>::reserve(K) [with K = int; V = Minisat::vec<unsigned int>; MkIndex = Minisat::MkIndexDefault<int>]'
libs/minisat/SolverTypes.h:338:49:   required from 'void Minisat::OccLists<K, Vec, Deleted, MkIndex>::init(const K&) [with K = int; Vec = Minisat::vec<unsigned int>; Deleted = Minisat::SimpSolver::ClauseDeleted; MkIndex = Minisat::MkIndexDefault<int>]'
libs/minisat/SimpSolver.cc:92:26:   required from here
libs/minisat/Vec.h:103:33: warning: 'void* realloc(void*, size_t)' moving an object of non-trivially copyable type 'class Minisat::vec<unsigned int>'; use 'new' and 'delete' instead [-Wclass-memaccess]
  103 |     ||   (((data = (T*)::realloc(data, (cap += add) * sizeof(T))) == NULL) && errno == ENOMEM) )
      |                        ~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
libs/minisat/Vec.h:39:7: note: 'class Minisat::vec<unsigned int>' declared here
   39 | class vec {
      |       ^~~
mkdir -p libs/minisat/
gcc -o libs/minisat/Solver.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/Solver.cc
mkdir -p libs/minisat/
gcc -o libs/minisat/System.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/System.cc
mkdir -p libs/fst/
gcc -o libs/fst/fstapi.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/fst/fstapi.cc
In file included from libs/minisat/Alg.h:24,
                 from libs/minisat/Solver.cc:29:
libs/minisat/Vec.h: In instantiation of 'void Minisat::vec<T, _Size>::capacity(Size) [with T = Minisat::vec<Minisat::Solver::Watcher>; _Size = int; Size = int]':
libs/minisat/Vec.h:119:13:   required from 'void Minisat::vec<T, _Size>::growTo(Size) [with T = Minisat::vec<Minisat::Solver::Watcher>; _Size = int; Size = int]'
libs/minisat/IntMap.h:48:58:   required from 'void Minisat::IntMap<K, V, MkIndex>::reserve(K) [with K = Minisat::Lit; V = Minisat::vec<Minisat::Solver::Watcher>; MkIndex = Minisat::MkIndexLit]'
libs/minisat/SolverTypes.h:338:49:   required from 'void Minisat::OccLists<K, Vec, Deleted, MkIndex>::init(const K&) [with K = Minisat::Lit; Vec = Minisat::vec<Minisat::Solver::Watcher>; Deleted = Minisat::Solver::WatcherDeleted; MkIndex = Minisat::MkIndexLit]'
libs/minisat/Solver.cc:134:19:   required from here
libs/minisat/Vec.h:103:33: warning: 'void* realloc(void*, size_t)' moving an object of non-trivially copyable type 'class Minisat::vec<Minisat::Solver::Watcher>'; use 'new' and 'delete' instead [-Wclass-memaccess]
  103 |     ||   (((data = (T*)::realloc(data, (cap += add) * sizeof(T))) == NULL) && errno == ENOMEM) )
      |                        ~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
libs/minisat/Vec.h:39:7: note: 'class Minisat::vec<Minisat::Solver::Watcher>' declared here
   39 | class vec {
      |       ^~~
kernel/satgen.cc: In member function 'bool Yosys::SatGen::importCell(Yosys::RTLIL::Cell*, int)':
kernel/satgen.cc:1237:67: warning: 'undef_srst' may be used uninitialized [-Wmaybe-uninitialized]
 1237 |                                         std::tie(d, undef_d) = mux(srst, undef_srst, rval, undef_rval, d, undef_d);
      |                                                                ~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
kernel/satgen.cc:1228:37: note: 'undef_srst' was declared here
 1228 |                                 int undef_srst;
      |                                     ^~~~~~~~~~
kernel/satgen.cc:1223:67: warning: 'undef_ce' may be used uninitialized [-Wmaybe-uninitialized]
 1223 |                                         std::tie(d, undef_d) = mux(ce, undef_ce, d, undef_d, old_q, undef_old_q);
      |                                                                ~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
kernel/satgen.cc:1214:37: note: 'undef_ce' was declared here
 1214 |                                 int undef_ce;
      |                                     ^~~~~~~~
kernel/satgen.cc:1209:67: warning: 'undef_srst' may be used uninitialized [-Wmaybe-uninitialized]
 1209 |                                         std::tie(d, undef_d) = mux(srst, undef_srst, rval, undef_rval, d, undef_d);
      |                                                                ~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
kernel/satgen.cc:1200:37: note: 'undef_srst' was declared here
 1200 |                                 int undef_srst;
      |                                     ^~~~~~~~~~
mkdir -p libs/fst/
gcc -o libs/fst/fastlz.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/fst/fastlz.cc
mkdir -p libs/fst/
gcc -o libs/fst/lz4.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/fst/lz4.cc
mkdir -p frontends/aiger/
gcc -o frontends/aiger/aigerparse.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/aiger/aigerparse.cc
mkdir -p frontends/ast/
gcc -o frontends/ast/ast.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/ast.cc
mkdir -p frontends/ast/
gcc -o frontends/ast/simplify.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/simplify.cc
frontends/ast/simplify.cc: In member function 'void Yosys::AST::AstNode::annotateTypedEnums(Yosys::AST::AstNode*)':
frontends/ast/simplify.cc:219:71: warning: format '%lu' expects argument of type 'long unsigned int', but argument 2 has type 'std::vector<Yosys::AST::AstNode*>::size_type' {aka 'unsigned int'} [-Wformat=]
  219 |                                 log_error("enum_item children size==%lu, expected 1 or 2 for %s (%s)\n",
      |                                                                     ~~^
      |                                                                       |
      |                                                                       long unsigned int
      |                                                                     %u
  220 |                                                   enum_item->children.size(),
      |                                                   ~~~~~~~~~~~~~~~~~~~~~~~~~~
      |                                                                           |
      |                                                                           std::vector<Yosys::AST::AstNode*>::size_type {aka unsigned int}
mkdir -p frontends/ast/
gcc -o frontends/ast/genrtlil.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/genrtlil.cc
mkdir -p frontends/ast/
gcc -o frontends/ast/dpicall.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/dpicall.cc
mkdir -p frontends/ast/
gcc -o frontends/ast/ast_binding.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/ast_binding.cc
mkdir -p frontends/blif/
gcc -o frontends/blif/blifparse.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/blif/blifparse.cc
mkdir -p frontends/json/
gcc -o frontends/json/jsonparse.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/json/jsonparse.cc
mkdir -p frontends/liberty/
gcc -o frontends/liberty/liberty.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/liberty/liberty.cc
mkdir -p frontends/rpc/
gcc -o frontends/rpc/rpc_frontend.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/rpc/rpc_frontend.cc
mkdir -p frontends/rtlil/
bison -o frontends/rtlil/rtlil_parser.tab.cc -d -r all -b frontends/rtlil/rtlil_parser frontends/rtlil/rtlil_parser.y
mkdir -p frontends/rtlil/
flex -o frontends/rtlil/rtlil_lexer.cc frontends/rtlil/rtlil_lexer.l
mkdir -p frontends/rtlil/
gcc -o frontends/rtlil/rtlil_frontend.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/rtlil/rtlil_frontend.cc
mkdir -p frontends/verific/
gcc -o frontends/verific/verific.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verific/verific.cc
mkdir -p frontends/verilog/
bison -Wall -Werror -o frontends/verilog/verilog_parser.tab.cc -d -r all -b frontends/verilog/verilog_parser frontends/verilog/verilog_parser.y
mkdir -p frontends/verilog/
gcc -o frontends/verilog/preproc.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/preproc.cc
mkdir -p frontends/verilog/
gcc -o frontends/verilog/verilog_frontend.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/verilog_frontend.cc
mkdir -p frontends/verilog/
gcc -o frontends/verilog/const2ast.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/const2ast.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/exec.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/exec.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/add.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/add.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/delete.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/delete.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/design.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/design.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/select.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/select.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/show.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/show.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/rename.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/rename.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/autoname.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/autoname.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/connect.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/connect.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/scatter.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/scatter.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/setundef.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/setundef.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/splitnets.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/splitnets.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/stat.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/stat.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/setattr.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/setattr.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/copy.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/copy.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/splice.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/splice.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/scc.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/scc.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/glift.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/glift.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/torder.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/torder.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/logcmd.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/logcmd.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/tee.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/tee.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/write_file.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/write_file.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/connwrappers.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/connwrappers.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/cover.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/cover.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/trace.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/trace.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/plugin.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/plugin.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/check.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/check.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/qwp.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/qwp.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/edgetypes.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/edgetypes.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/portlist.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/portlist.cc
In file included from /usr/include/c++/12/vector:70,
                 from ./kernel/yosys.h:45,
                 from passes/cmds/qwp.cc:20:
/usr/include/c++/12/bits/vector.tcc: In member function 'void std::vector<_Tp, _Alloc>::_M_realloc_insert(iterator, _Args&& ...) [with _Args = {const {anonymous}::QwpWorker::Node&}; _Tp = {anonymous}::QwpWorker::Node; _Alloc = std::allocator<{anonymous}::QwpWorker::Node>]':
/usr/include/c++/12/bits/vector.tcc:439:7: note: parameter passing for argument of type 'std::vector<{anonymous}::QwpWorker::Node>::iterator' changed in GCC 7.1
  439 |       vector<_Tp, _Alloc>::
      |       ^~~~~~~~~~~~~~~~~~~
/usr/include/c++/12/bits/vector.tcc: In member function 'void std::vector<_Tp, _Alloc>::emplace_back(_Args&& ...) [with _Args = {{anonymous}::QwpWorker::Node}; _Tp = {anonymous}::QwpWorker::Node; _Alloc = std::allocator<{anonymous}::QwpWorker::Node>]':
/usr/include/c++/12/bits/vector.tcc:123:28: note: parameter passing for argument of type '__gnu_cxx::__normal_iterator<{anonymous}::QwpWorker::Node*, std::vector<{anonymous}::QwpWorker::Node> >' changed in GCC 7.1
  123 |           _M_realloc_insert(end(), std::forward<_Args>(__args)...);
      |           ~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
In file included from /usr/include/c++/12/vector:64:
/usr/include/c++/12/bits/stl_vector.h: In member function 'void std::vector<_Tp, _Alloc>::push_back(const value_type&) [with _Tp = {anonymous}::QwpWorker::Node; _Alloc = std::allocator<{anonymous}::QwpWorker::Node>]':
/usr/include/c++/12/bits/stl_vector.h:1287:28: note: parameter passing for argument of type '__gnu_cxx::__normal_iterator<{anonymous}::QwpWorker::Node*, std::vector<{anonymous}::QwpWorker::Node> >' changed in GCC 7.1
 1287 |           _M_realloc_insert(end(), __x);
      |           ~~~~~~~~~~~~~~~~~^~~~~~~~~~~~
/usr/include/c++/12/bits/vector.tcc: In member function 'void std::vector<_Tp, _Alloc>::_M_realloc_insert(iterator, _Args&& ...) [with _Args = {const double&}; _Tp = double; _Alloc = std::allocator<double>]':
/usr/include/c++/12/bits/vector.tcc:439:7: note: parameter passing for argument of type 'std::vector<double>::iterator' changed in GCC 7.1
  439 |       vector<_Tp, _Alloc>::
      |       ^~~~~~~~~~~~~~~~~~~
/usr/include/c++/12/bits/stl_vector.h: In member function 'void std::vector<_Tp, _Alloc>::push_back(const value_type&) [with _Tp = double; _Alloc = std::allocator<double>]':
/usr/include/c++/12/bits/stl_vector.h:1287:28: note: parameter passing for argument of type '__gnu_cxx::__normal_iterator<double*, std::vector<double> >' changed in GCC 7.1
 1287 |           _M_realloc_insert(end(), __x);
      |           ~~~~~~~~~~~~~~~~~^~~~~~~~~~~~
/usr/include/c++/12/bits/vector.tcc: In member function 'void std::vector<_Tp, _Alloc>::_M_realloc_insert(iterator, _Args&& ...) [with _Args = {std::pair<double, int>}; _Tp = std::pair<double, int>; _Alloc = std::allocator<std::pair<double, int> >]':
/usr/include/c++/12/bits/vector.tcc:439:7: note: parameter passing for argument of type 'std::vector<std::pair<double, int> >::iterator' changed in GCC 7.1
  439 |       vector<_Tp, _Alloc>::
      |       ^~~~~~~~~~~~~~~~~~~
mkdir -p passes/cmds/
gcc -o passes/cmds/chformal.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/chformal.cc
In file included from /usr/include/c++/12/bits/stl_algo.h:60,
                 from /usr/include/c++/12/algorithm:61,
                 from ./kernel/yosys.h:47:
/usr/include/c++/12/bits/stl_heap.h: In function 'void std::__adjust_heap(_RandomAccessIterator, _Distance, _Distance, _Tp, _Compare) [with _RandomAccessIterator = __gnu_cxx::__normal_iterator<pair<double, int>*, vector<pair<double, int> > >; _Distance = int; _Tp = pair<double, int>; _Compare = __gnu_cxx::__ops::_Iter_less_iter]':
/usr/include/c++/12/bits/stl_heap.h:224:5: note: parameter passing for argument of type '__gnu_cxx::__normal_iterator<std::pair<double, int>*, std::vector<std::pair<double, int> > >' changed in GCC 7.1
  224 |     __adjust_heap(_RandomAccessIterator __first, _Distance __holeIndex,
      |     ^~~~~~~~~~~~~
/usr/include/c++/12/bits/stl_heap.h: In function 'void std::__pop_heap(_RandomAccessIterator, _RandomAccessIterator, _RandomAccessIterator, _Compare&) [with _RandomAccessIterator = __gnu_cxx::__normal_iterator<pair<double, int>*, vector<pair<double, int> > >; _Compare = __gnu_cxx::__ops::_Iter_less_iter]':
/usr/include/c++/12/bits/stl_heap.h:254:5: note: parameter passing for argument of type '__gnu_cxx::__normal_iterator<std::pair<double, int>*, std::vector<std::pair<double, int> > >' changed in GCC 7.1
  254 |     __pop_heap(_RandomAccessIterator __first, _RandomAccessIterator __last,
      |     ^~~~~~~~~~
/usr/include/c++/12/bits/stl_heap.h:254:5: note: parameter passing for argument of type '__gnu_cxx::__normal_iterator<std::pair<double, int>*, std::vector<std::pair<double, int> > >' changed in GCC 7.1
/usr/include/c++/12/bits/stl_heap.h:254:5: note: parameter passing for argument of type '__gnu_cxx::__normal_iterator<std::pair<double, int>*, std::vector<std::pair<double, int> > >' changed in GCC 7.1
/usr/include/c++/12/bits/stl_algo.h: In function 'void std::__unguarded_linear_insert(_RandomAccessIterator, _Compare) [with _RandomAccessIterator = __gnu_cxx::__normal_iterator<pair<double, int>*, vector<pair<double, int> > >; _Compare = __gnu_cxx::__ops::_Val_less_iter]':
/usr/include/c++/12/bits/stl_algo.h:1782:5: note: parameter passing for argument of type '__gnu_cxx::__normal_iterator<std::pair<double, int>*, std::vector<std::pair<double, int> > >' changed in GCC 7.1
 1782 |     __unguarded_linear_insert(_RandomAccessIterator __last,
      |     ^~~~~~~~~~~~~~~~~~~~~~~~~
/usr/include/c++/12/bits/stl_algo.h: In function 'void std::__insertion_sort(_RandomAccessIterator, _RandomAccessIterator, _Compare) [with _RandomAccessIterator = __gnu_cxx::__normal_iterator<pair<double, int>*, vector<pair<double, int> > >; _Compare = __gnu_cxx::__ops::_Iter_less_iter]':
/usr/include/c++/12/bits/stl_algo.h:1802:5: note: parameter passing for argument of type '__gnu_cxx::__normal_iterator<std::pair<double, int>*, std::vector<std::pair<double, int> > >' changed in GCC 7.1
 1802 |     __insertion_sort(_RandomAccessIterator __first,
      |     ^~~~~~~~~~~~~~~~
/usr/include/c++/12/bits/stl_algo.h:1802:5: note: parameter passing for argument of type '__gnu_cxx::__normal_iterator<std::pair<double, int>*, std::vector<std::pair<double, int> > >' changed in GCC 7.1
/usr/include/c++/12/bits/stl_algo.h: In function 'void std::__introsort_loop(_RandomAccessIterator, _RandomAccessIterator, _Size, _Compare) [with _RandomAccessIterator = __gnu_cxx::__normal_iterator<pair<double, int>*, vector<pair<double, int> > >; _Size = int; _Compare = __gnu_cxx::__ops::_Iter_less_iter]':
/usr/include/c++/12/bits/stl_algo.h:1908:5: note: parameter passing for argument of type '__gnu_cxx::__normal_iterator<std::pair<double, int>*, std::vector<std::pair<double, int> > >' changed in GCC 7.1
 1908 |     __introsort_loop(_RandomAccessIterator __first,
      |     ^~~~~~~~~~~~~~~~
/usr/include/c++/12/bits/stl_algo.h:1908:5: note: parameter passing for argument of type '__gnu_cxx::__normal_iterator<std::pair<double, int>*, std::vector<std::pair<double, int> > >' changed in GCC 7.1
In function 'void std::__sort_heap(_RandomAccessIterator, _RandomAccessIterator, _Compare&) [with _RandomAccessIterator = __gnu_cxx::__normal_iterator<pair<double, int>*, vector<pair<double, int> > >; _Compare = __gnu_cxx::__ops::_Iter_less_iter]',
    inlined from 'void std::__partial_sort(_RandomAccessIterator, _RandomAccessIterator, _RandomAccessIterator, _Compare) [with _RandomAccessIterator = __gnu_cxx::__normal_iterator<pair<double, int>*, vector<pair<double, int> > >; _Compare = __gnu_cxx::__ops::_Iter_less_iter]' at /usr/include/c++/12/bits/stl_algo.h:1901:23,
    inlined from 'void std::__introsort_loop(_RandomAccessIterator, _RandomAccessIterator, _Size, _Compare) [with _RandomAccessIterator = __gnu_cxx::__normal_iterator<pair<double, int>*, vector<pair<double, int> > >; _Size = int; _Compare = __gnu_cxx::__ops::_Iter_less_iter]' at /usr/include/c++/12/bits/stl_algo.h:1916:27:
/usr/include/c++/12/bits/stl_heap.h:425:26: note: parameter passing for argument of type '__gnu_cxx::__normal_iterator<std::pair<double, int>*, std::vector<std::pair<double, int> > >' changed in GCC 7.1
  425 |           std::__pop_heap(__first, __last, __last, __comp);
      |           ~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
/usr/include/c++/12/bits/stl_algo.h: In function 'void std::__introsort_loop(_RandomAccessIterator, _RandomAccessIterator, _Size, _Compare) [with _RandomAccessIterator = __gnu_cxx::__normal_iterator<pair<double, int>*, vector<pair<double, int> > >; _Size = int; _Compare = __gnu_cxx::__ops::_Iter_less_iter]':
/usr/include/c++/12/bits/stl_algo.h:1922:32: note: parameter passing for argument of type '__gnu_cxx::__normal_iterator<std::pair<double, int>*, std::vector<std::pair<double, int> > >' changed in GCC 7.1
 1922 |           std::__introsort_loop(__cut, __last, __depth_limit, __comp);
      |           ~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
/usr/include/c++/12/bits/stl_algo.h: In function 'void std::sort(_RAIter, _RAIter) [with _RAIter = __gnu_cxx::__normal_iterator<pair<double, int>*, vector<pair<double, int> > >]':
/usr/include/c++/12/bits/stl_algo.h:4810:5: note: parameter passing for argument of type '__gnu_cxx::__normal_iterator<std::pair<double, int>*, std::vector<std::pair<double, int> > >' changed in GCC 7.1
 4810 |     sort(_RandomAccessIterator __first, _RandomAccessIterator __last)
      |     ^~~~
/usr/include/c++/12/bits/stl_algo.h:4810:5: note: parameter passing for argument of type '__gnu_cxx::__normal_iterator<std::pair<double, int>*, std::vector<std::pair<double, int> > >' changed in GCC 7.1
In function 'void std::__sort(_RandomAccessIterator, _RandomAccessIterator, _Compare) [with _RandomAccessIterator = __gnu_cxx::__normal_iterator<pair<double, int>*, vector<pair<double, int> > >; _Compare = __gnu_cxx::__ops::_Iter_less_iter]',
    inlined from 'void std::sort(_RAIter, _RAIter) [with _RAIter = __gnu_cxx::__normal_iterator<pair<double, int>*, vector<pair<double, int> > >]' at /usr/include/c++/12/bits/stl_algo.h:4820:18:
/usr/include/c++/12/bits/stl_algo.h:1937:32: note: parameter passing for argument of type '__gnu_cxx::__normal_iterator<std::pair<double, int>*, std::vector<std::pair<double, int> > >' changed in GCC 7.1
 1937 |           std::__introsort_loop(__first, __last,
      |           ~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~
 1938 |                                 std::__lg(__last - __first) * 2,
      |                                 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
 1939 |                                 __comp);
      |                                 ~~~~~~~
In function 'void std::__final_insertion_sort(_RandomAccessIterator, _RandomAccessIterator, _Compare) [with _RandomAccessIterator = __gnu_cxx::__normal_iterator<pair<double, int>*, vector<pair<double, int> > >; _Compare = __gnu_cxx::__ops::_Iter_less_iter]',
    inlined from 'void std::__sort(_RandomAccessIterator, _RandomAccessIterator, _Compare) [with _RandomAccessIterator = __gnu_cxx::__normal_iterator<pair<double, int>*, vector<pair<double, int> > >; _Compare = __gnu_cxx::__ops::_Iter_less_iter]' at /usr/include/c++/12/bits/stl_algo.h:1940:31,
    inlined from 'void std::sort(_RAIter, _RAIter) [with _RAIter = __gnu_cxx::__normal_iterator<pair<double, int>*, vector<pair<double, int> > >]' at /usr/include/c++/12/bits/stl_algo.h:4820:18:
/usr/include/c++/12/bits/stl_algo.h:1849:32: note: parameter passing for argument of type '__gnu_cxx::__normal_iterator<std::pair<double, int>*, std::vector<std::pair<double, int> > >' changed in GCC 7.1
 1849 |           std::__insertion_sort(__first, __first + int(_S_threshold), __comp);
      |           ~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
/usr/include/c++/12/bits/stl_algo.h:1854:30: note: parameter passing for argument of type '__gnu_cxx::__normal_iterator<std::pair<double, int>*, std::vector<std::pair<double, int> > >' changed in GCC 7.1
 1854 |         std::__insertion_sort(__first, __last, __comp);
      |         ~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~
In member function 'void std::vector<_Tp, _Alloc>::emplace_back(_Args&& ...) [with _Args = {std::pair<double, int>}; _Tp = std::pair<double, int>; _Alloc = std::allocator<std::pair<double, int> >]',
    inlined from 'void std::vector<_Tp, _Alloc>::push_back(value_type&&) [with _Tp = std::pair<double, int>; _Alloc = std::allocator<std::pair<double, int> >]' at /usr/include/c++/12/bits/stl_vector.h:1294:21,
    inlined from 'void {anonymous}::QwpWorker::run_worker(int)' at passes/cmds/qwp.cc:524:25:
/usr/include/c++/12/bits/vector.tcc:123:28: note: parameter passing for argument of type '__gnu_cxx::__normal_iterator<std::pair<double, int>*, std::vector<std::pair<double, int> > >' changed in GCC 7.1
  123 |           _M_realloc_insert(end(), std::forward<_Args>(__args)...);
      |           ~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
passes/cmds/qwp.cc: In member function 'void {anonymous}::QwpWorker::run_worker(int)':
passes/cmds/qwp.cc:526:26: note: parameter passing for argument of type '__gnu_cxx::__normal_iterator<std::pair<double, int>*, std::vector<std::pair<double, int> > >' changed in GCC 7.1
  526 |                 std::sort(sorted_pos.begin(), sorted_pos.end());
      |                 ~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
mkdir -p passes/cmds/
gcc -o passes/cmds/chtype.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/chtype.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/blackbox.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/blackbox.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/ltp.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/ltp.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/bugpoint.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/bugpoint.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/scratchpad.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/scratchpad.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/logger.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/logger.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/printattrs.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/printattrs.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/sta.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/sta.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/clean_zerowidth.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/clean_zerowidth.cc
mkdir -p passes/equiv/
gcc -o passes/equiv/equiv_make.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_make.cc
mkdir -p passes/equiv/
gcc -o passes/equiv/equiv_miter.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_miter.cc
mkdir -p passes/equiv/
gcc -o passes/equiv/equiv_simple.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_simple.cc
mkdir -p passes/equiv/
gcc -o passes/equiv/equiv_status.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_status.cc
mkdir -p passes/equiv/
gcc -o passes/equiv/equiv_add.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_add.cc
mkdir -p passes/equiv/
gcc -o passes/equiv/equiv_remove.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_remove.cc
mkdir -p passes/equiv/
gcc -o passes/equiv/equiv_induct.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_induct.cc
mkdir -p passes/equiv/
gcc -o passes/equiv/equiv_struct.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_struct.cc
mkdir -p passes/equiv/
gcc -o passes/equiv/equiv_purge.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_purge.cc
mkdir -p passes/equiv/
gcc -o passes/equiv/equiv_mark.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_mark.cc
mkdir -p passes/equiv/
gcc -o passes/equiv/equiv_opt.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_opt.cc
mkdir -p passes/fsm/
gcc -o passes/fsm/fsm.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm.cc
mkdir -p passes/fsm/
gcc -o passes/fsm/fsm_detect.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_detect.cc
mkdir -p passes/fsm/
gcc -o passes/fsm/fsm_extract.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_extract.cc
mkdir -p passes/fsm/
gcc -o passes/fsm/fsm_opt.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_opt.cc
mkdir -p passes/fsm/
gcc -o passes/fsm/fsm_expand.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_expand.cc
mkdir -p passes/fsm/
gcc -o passes/fsm/fsm_recode.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_recode.cc
mkdir -p passes/fsm/
gcc -o passes/fsm/fsm_info.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_info.cc
mkdir -p passes/fsm/
gcc -o passes/fsm/fsm_export.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_export.cc
mkdir -p passes/fsm/
gcc -o passes/fsm/fsm_map.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_map.cc
mkdir -p passes/hierarchy/
gcc -o passes/hierarchy/hierarchy.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/hierarchy/hierarchy.cc
mkdir -p passes/hierarchy/
gcc -o passes/hierarchy/uniquify.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/hierarchy/uniquify.cc
mkdir -p passes/hierarchy/
gcc -o passes/hierarchy/submod.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/hierarchy/submod.cc
mkdir -p passes/memory/
gcc -o passes/memory/memory.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory.cc
mkdir -p passes/memory/
gcc -o passes/memory/memory_dff.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_dff.cc
mkdir -p passes/memory/
gcc -o passes/memory/memory_share.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_share.cc
mkdir -p passes/memory/
gcc -o passes/memory/memory_collect.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_collect.cc
mkdir -p passes/memory/
gcc -o passes/memory/memory_unpack.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_unpack.cc
mkdir -p passes/memory/
gcc -o passes/memory/memory_bram.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_bram.cc
mkdir -p passes/memory/
gcc -o passes/memory/memory_map.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_map.cc
mkdir -p passes/memory/
gcc -o passes/memory/memory_memx.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_memx.cc
mkdir -p passes/memory/
gcc -o passes/memory/memory_nordff.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_nordff.cc
mkdir -p passes/memory/
gcc -o passes/memory/memory_narrow.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_narrow.cc
mkdir -p passes/memory/
gcc -o passes/memory/memory_libmap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_libmap.cc
mkdir -p passes/memory/
gcc -o passes/memory/memory_bmux2rom.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_bmux2rom.cc
mkdir -p passes/memory/
gcc -o passes/memory/memlib.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memlib.cc
mkdir -p passes/opt/
gcc -o passes/opt/opt.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt.cc
mkdir -p passes/opt/
gcc -o passes/opt/opt_merge.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_merge.cc
mkdir -p passes/opt/
gcc -o passes/opt/opt_mem.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_mem.cc
In file included from /usr/include/c++/12/vector:70,
                 from passes/memory/memlib.h:24,
                 from passes/memory/memlib.cc:20:
/usr/include/c++/12/bits/vector.tcc: In member function 'void std::vector<_Tp, _Alloc>::_M_realloc_insert(iterator, _Args&& ...) [with _Args = {const Yosys::MemLibrary::Ram&}; _Tp = Yosys::MemLibrary::Ram; _Alloc = std::allocator<Yosys::MemLibrary::Ram>]':
/usr/include/c++/12/bits/vector.tcc:439:7: note: parameter passing for argument of type 'std::vector<Yosys::MemLibrary::Ram>::iterator' changed in GCC 7.1
  439 |       vector<_Tp, _Alloc>::
      |       ^~~~~~~~~~~~~~~~~~~
mkdir -p passes/opt/
gcc -o passes/opt/opt_mem_feedback.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_mem_feedback.cc
In file included from /usr/include/c++/12/vector:64:
In member function 'void std::vector<_Tp, _Alloc>::push_back(const value_type&) [with _Tp = Yosys::MemLibrary::Ram; _Alloc = std::allocator<Yosys::MemLibrary::Ram>]',
    inlined from 'void {anonymous}::Parser::compile_ram(int)' at passes/memory/memlib.cc:1026:22,
    inlined from 'void {anonymous}::Parser::parse_top_item()' at passes/memory/memlib.cc:795:16:
/usr/include/c++/12/bits/stl_vector.h:1287:28: note: parameter passing for argument of type '__gnu_cxx::__normal_iterator<Yosys::MemLibrary::Ram*, std::vector<Yosys::MemLibrary::Ram> >' changed in GCC 7.1
 1287 |           _M_realloc_insert(end(), __x);
      |           ~~~~~~~~~~~~~~~~~^~~~~~~~~~~~
mkdir -p passes/opt/
gcc -o passes/opt/opt_mem_priority.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_mem_priority.cc
mkdir -p passes/opt/
gcc -o passes/opt/opt_mem_widen.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_mem_widen.cc
mkdir -p passes/opt/
gcc -o passes/opt/opt_muxtree.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_muxtree.cc
mkdir -p passes/opt/
gcc -o passes/opt/opt_reduce.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_reduce.cc
mkdir -p passes/opt/
gcc -o passes/opt/opt_dff.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_dff.cc
mkdir -p passes/opt/
gcc -o passes/opt/opt_share.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_share.cc
mkdir -p passes/opt/
gcc -o passes/opt/opt_clean.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_clean.cc
mkdir -p passes/opt/
gcc -o passes/opt/opt_expr.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_expr.cc
mkdir -p passes/opt/
gcc -o passes/opt/share.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/share.cc
mkdir -p passes/opt/
gcc -o passes/opt/wreduce.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/wreduce.cc
mkdir -p passes/opt/
gcc -o passes/opt/opt_demorgan.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_demorgan.cc
mkdir -p passes/opt/
gcc -o passes/opt/rmports.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/rmports.cc
mkdir -p passes/opt/
gcc -o passes/opt/opt_lut.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_lut.cc
mkdir -p passes/opt/
gcc -o passes/opt/opt_lut_ins.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_lut_ins.cc
mkdir -p passes/opt/
gcc -o passes/opt/opt_ffinv.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_ffinv.cc
mkdir -p passes/opt/
gcc -o passes/opt/pmux2shiftx.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/pmux2shiftx.cc
mkdir -p passes/opt/
gcc -o passes/opt/muxpack.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/muxpack.cc
mkdir -p passes/pmgen && python3 passes/pmgen/pmgen.py -o passes/pmgen/test_pmgen_pm.h -p test_pmgen passes/pmgen/test_pmgen.pmg
mkdir -p passes/pmgen && python3 passes/pmgen/pmgen.py -o passes/pmgen/ice40_dsp_pm.h -p ice40_dsp passes/pmgen/ice40_dsp.pmg
mkdir -p passes/pmgen && python3 passes/pmgen/pmgen.py -o passes/pmgen/peepopt_pm.h -p peepopt passes/pmgen/peepopt_shiftmul.pmg passes/pmgen/peepopt_muldiv.pmg
mkdir -p passes/pmgen && python3 passes/pmgen/pmgen.py -o passes/pmgen/xilinx_srl_pm.h -p xilinx_srl passes/pmgen/xilinx_srl.pmg
mkdir -p passes/pmgen/
gcc -o passes/pmgen/ice40_dsp.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/ice40_dsp.cc
mkdir -p passes/pmgen && python3 passes/pmgen/pmgen.py -o passes/pmgen/ice40_wrapcarry_pm.h -p ice40_wrapcarry passes/pmgen/ice40_wrapcarry.pmg
mkdir -p passes/pmgen && python3 passes/pmgen/pmgen.py -o passes/pmgen/xilinx_dsp_pm.h -p xilinx_dsp passes/pmgen/xilinx_dsp.pmg
mkdir -p passes/pmgen && python3 passes/pmgen/pmgen.py -o passes/pmgen/xilinx_dsp48a_pm.h -p xilinx_dsp48a passes/pmgen/xilinx_dsp48a.pmg
mkdir -p passes/pmgen && python3 passes/pmgen/pmgen.py -o passes/pmgen/xilinx_dsp_CREG_pm.h -p xilinx_dsp_CREG passes/pmgen/xilinx_dsp_CREG.pmg
mkdir -p passes/pmgen && python3 passes/pmgen/pmgen.py -o passes/pmgen/xilinx_dsp_cascade_pm.h -p xilinx_dsp_cascade passes/pmgen/xilinx_dsp_cascade.pmg
mkdir -p passes/pmgen/
gcc -o passes/pmgen/peepopt.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/peepopt.cc
mkdir -p passes/pmgen/
gcc -o passes/pmgen/xilinx_srl.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/xilinx_srl.cc
mkdir -p passes/proc/
gcc -o passes/proc/proc.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc.cc
mkdir -p passes/proc/
gcc -o passes/proc/proc_prune.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_prune.cc
mkdir -p passes/proc/
gcc -o passes/proc/proc_clean.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_clean.cc
mkdir -p passes/proc/
gcc -o passes/proc/proc_rmdead.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_rmdead.cc
mkdir -p passes/proc/
gcc -o passes/proc/proc_init.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_init.cc
mkdir -p passes/proc/
gcc -o passes/proc/proc_arst.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_arst.cc
mkdir -p passes/proc/
gcc -o passes/proc/proc_rom.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_rom.cc
mkdir -p passes/proc/
gcc -o passes/proc/proc_mux.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_mux.cc
mkdir -p passes/proc/
gcc -o passes/proc/proc_dlatch.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_dlatch.cc
mkdir -p passes/proc/
gcc -o passes/proc/proc_dff.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_dff.cc
mkdir -p passes/proc/
gcc -o passes/proc/proc_memwr.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_memwr.cc
mkdir -p passes/sat/
gcc -o passes/sat/sat.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/sat.cc
mkdir -p passes/sat/
gcc -o passes/sat/freduce.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/freduce.cc
mkdir -p passes/sat/
gcc -o passes/sat/eval.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/eval.cc
mkdir -p passes/sat/
gcc -o passes/sat/sim.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/sim.cc
mkdir -p passes/sat/
gcc -o passes/sat/miter.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/miter.cc
mkdir -p passes/sat/
gcc -o passes/sat/expose.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/expose.cc
mkdir -p passes/sat/
gcc -o passes/sat/assertpmux.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/assertpmux.cc
mkdir -p passes/sat/
gcc -o passes/sat/clk2fflogic.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/clk2fflogic.cc
mkdir -p passes/sat/
gcc -o passes/sat/async2sync.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/async2sync.cc
mkdir -p passes/sat/
gcc -o passes/sat/formalff.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/formalff.cc
mkdir -p passes/sat/
gcc -o passes/sat/supercover.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/supercover.cc
mkdir -p passes/sat/
gcc -o passes/sat/fmcombine.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/fmcombine.cc
mkdir -p passes/sat/
gcc -o passes/sat/mutate.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/mutate.cc
mkdir -p passes/sat/
gcc -o passes/sat/cutpoint.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/cutpoint.cc
mkdir -p passes/sat/
gcc -o passes/sat/fminit.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/fminit.cc
mkdir -p passes/sat/
gcc -o passes/sat/qbfsat.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/qbfsat.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/flatten.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/flatten.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/techmap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/techmap.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/simplemap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/simplemap.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/dfflibmap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/dfflibmap.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/maccmap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/maccmap.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/libparse.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/libparse.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/abc.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DABCEXTERNAL='"berkeley-abc"' passes/techmap/abc.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/abc9.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DABCEXTERNAL='"berkeley-abc"' passes/techmap/abc9.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/abc9_exe.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DABCEXTERNAL='"berkeley-abc"' passes/techmap/abc9_exe.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/abc9_ops.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/abc9_ops.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/iopadmap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/iopadmap.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/clkbufmap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/clkbufmap.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/hilomap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/hilomap.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/extract.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extract.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/extract_fa.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extract_fa.cc
passes/techmap/abc.cc: In member function 'virtual void {anonymous}::AbcPass::execute(std::vector<std::__cxx11::basic_string<char> >, Yosys::RTLIL::Design*)':
passes/techmap/abc.cc:1953:50: warning: 'g_argidx' may be used uninitialized [-Wmaybe-uninitialized]
 1953 |                                         cmd_error(args, g_argidx, stringf("Unsupported gate type: %s", g.c_str()));
      |                                         ~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
passes/techmap/abc.cc:1671:32: note: 'g_argidx' was declared here
 1671 |                 size_t argidx, g_argidx;
      |                                ^~~~~~~~
mkdir -p passes/techmap/
gcc -o passes/techmap/extract_counter.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extract_counter.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/extract_reduce.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extract_reduce.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/alumacc.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/alumacc.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/dffinit.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/dffinit.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/pmuxtree.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/pmuxtree.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/bmuxmap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/bmuxmap.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/demuxmap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/demuxmap.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/muxcover.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/muxcover.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/aigmap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/aigmap.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/tribuf.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/tribuf.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/lut2mux.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/lut2mux.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/nlutmap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/nlutmap.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/shregmap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/shregmap.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/deminout.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/deminout.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/insbuf.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/insbuf.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/attrmvcp.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/attrmvcp.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/attrmap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/attrmap.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/zinit.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/zinit.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/dfflegalize.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/dfflegalize.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/dffunmap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/dffunmap.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/flowmap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/flowmap.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/extractinv.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extractinv.cc
mkdir -p passes/tests/
gcc -o passes/tests/test_autotb.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/tests/test_autotb.cc
mkdir -p passes/tests/
gcc -o passes/tests/test_cell.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/tests/test_cell.cc
mkdir -p passes/tests/
gcc -o passes/tests/test_abcloop.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/tests/test_abcloop.cc
mkdir -p backends/aiger/
gcc -o backends/aiger/aiger.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/aiger/aiger.cc
mkdir -p backends/aiger/
gcc -o backends/aiger/xaiger.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/aiger/xaiger.cc
mkdir -p backends/blif/
gcc -o backends/blif/blif.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/blif/blif.cc
mkdir -p backends/btor/
gcc -o backends/btor/btor.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/btor/btor.cc
mkdir -p backends/cxxrtl/
gcc -o backends/cxxrtl/cxxrtl_backend.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/cxxrtl/cxxrtl_backend.cc
mkdir -p backends/edif/
gcc -o backends/edif/edif.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/edif/edif.cc
mkdir -p backends/firrtl/
gcc -o backends/firrtl/firrtl.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/firrtl/firrtl.cc
mkdir -p backends/intersynth/
gcc -o backends/intersynth/intersynth.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/intersynth/intersynth.cc
mkdir -p backends/jny/
gcc -o backends/jny/jny.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/jny/jny.cc
mkdir -p backends/json/
gcc -o backends/json/json.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/json/json.cc
mkdir -p backends/rtlil/
gcc -o backends/rtlil/rtlil_backend.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/rtlil/rtlil_backend.cc
mkdir -p backends/simplec/
gcc -o backends/simplec/simplec.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/simplec/simplec.cc
mkdir -p backends/smt2/
gcc -o backends/smt2/smt2.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/smt2/smt2.cc
mkdir -p backends/smv/
gcc -o backends/smv/smv.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/smv/smv.cc
mkdir -p backends/spice/
gcc -o backends/spice/spice.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/spice/spice.cc
mkdir -p backends/table/
gcc -o backends/table/table.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/table/table.cc
mkdir -p backends/verilog/
gcc -o backends/verilog/verilog_backend.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/verilog/verilog_backend.cc
mkdir -p techlibs/achronix/
gcc -o techlibs/achronix/synth_achronix.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/achronix/synth_achronix.cc
mkdir -p techlibs/anlogic/
gcc -o techlibs/anlogic/synth_anlogic.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/anlogic/synth_anlogic.cc
mkdir -p techlibs/anlogic/
gcc -o techlibs/anlogic/anlogic_eqn.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/anlogic/anlogic_eqn.cc
mkdir -p techlibs/anlogic/
gcc -o techlibs/anlogic/anlogic_fixcarry.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/anlogic/anlogic_fixcarry.cc
mkdir -p techlibs/common/
gcc -o techlibs/common/synth.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/common/synth.cc
mkdir -p techlibs/common/
gcc -o techlibs/common/prep.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/common/prep.cc
mkdir -p techlibs/coolrunner2/
gcc -o techlibs/coolrunner2/synth_coolrunner2.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/coolrunner2/synth_coolrunner2.cc
mkdir -p techlibs/coolrunner2/
gcc -o techlibs/coolrunner2/coolrunner2_sop.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/coolrunner2/coolrunner2_sop.cc
mkdir -p techlibs/coolrunner2/
gcc -o techlibs/coolrunner2/coolrunner2_fixup.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/coolrunner2/coolrunner2_fixup.cc
mkdir -p techlibs/easic/
gcc -o techlibs/easic/synth_easic.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/easic/synth_easic.cc
mkdir -p techlibs/ecp5/
gcc -o techlibs/ecp5/synth_ecp5.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ecp5/synth_ecp5.cc
mkdir -p techlibs/ecp5/
gcc -o techlibs/ecp5/ecp5_gsr.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ecp5/ecp5_gsr.cc
mkdir -p techlibs/efinix/
gcc -o techlibs/efinix/synth_efinix.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/efinix/synth_efinix.cc
mkdir -p techlibs/efinix/
gcc -o techlibs/efinix/efinix_fixcarry.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/efinix/efinix_fixcarry.cc
mkdir -p techlibs/gatemate/
gcc -o techlibs/gatemate/synth_gatemate.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/gatemate/synth_gatemate.cc
mkdir -p techlibs/gatemate/
gcc -o techlibs/gatemate/gatemate_foldinv.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/gatemate/gatemate_foldinv.cc
mkdir -p techlibs/gowin/
gcc -o techlibs/gowin/synth_gowin.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/gowin/synth_gowin.cc
mkdir -p techlibs/greenpak4/
gcc -o techlibs/greenpak4/synth_greenpak4.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/greenpak4/synth_greenpak4.cc
mkdir -p techlibs/greenpak4/
gcc -o techlibs/greenpak4/greenpak4_dffinv.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/greenpak4/greenpak4_dffinv.cc
mkdir -p techlibs/ice40/
gcc -o techlibs/ice40/synth_ice40.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ice40/synth_ice40.cc
mkdir -p techlibs/ice40/
gcc -o techlibs/ice40/ice40_braminit.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ice40/ice40_braminit.cc
mkdir -p techlibs/ice40/
gcc -o techlibs/ice40/ice40_opt.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ice40/ice40_opt.cc
mkdir -p techlibs/intel/
gcc -o techlibs/intel/synth_intel.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/intel/synth_intel.cc
mkdir -p techlibs/intel_alm/
gcc -o techlibs/intel_alm/synth_intel_alm.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/intel_alm/synth_intel_alm.cc
mkdir -p techlibs/machxo2/
gcc -o techlibs/machxo2/synth_machxo2.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/machxo2/synth_machxo2.cc
mkdir -p techlibs/nexus/
gcc -o techlibs/nexus/synth_nexus.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/nexus/synth_nexus.cc
mkdir -p techlibs/quicklogic/
gcc -o techlibs/quicklogic/synth_quicklogic.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/quicklogic/synth_quicklogic.cc
mkdir -p techlibs/sf2/
gcc -o techlibs/sf2/synth_sf2.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/sf2/synth_sf2.cc
mkdir -p techlibs/xilinx/
gcc -o techlibs/xilinx/synth_xilinx.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/xilinx/synth_xilinx.cc
mkdir -p techlibs/xilinx/
gcc -o techlibs/xilinx/xilinx_dffopt.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/xilinx/xilinx_dffopt.cc
sed -e 's#@CXXFLAGS@#-g -O2 -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I/usr/share/yosys/include -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER#;' \
		-e 's#@CXX@#gcc#;' -e 's#@LDFLAGS@#-Wl,-z,relro -Wl,-z,now -Wl,--as-needed -rdynamic#;' -e 's#@LDLIBS@#-lstdc++ -lm -lrt -lreadline -lffi -ldl -lz -ltcl8.6 -ltclstub8.6#;' \
		-e 's#@BINDIR@#/usr/bin#;' -e 's#@DATDIR@#/usr/share/yosys#;' < misc/yosys-config.in > yosys-config
chmod +x yosys-config
mkdir -p passes/techmap/
gcc -o passes/techmap/filterlib.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/filterlib.cc
sed 's|##yosys-sys-path##|sys.path += ["/usr/share/yosys"]|;' < backends/smt2/smtbmc.py > yosys-smtbmc.new
chmod +x yosys-smtbmc.new
mv yosys-smtbmc.new yosys-smtbmc
sed 's|##yosys-sys-path##|sys.path += ["/usr/share/yosys"]|;' < backends/smt2/witness.py > yosys-witness.new
chmod +x yosys-witness.new
mv yosys-witness.new yosys-witness
mkdir -p share/include/kernel/
cp "./"/kernel/yosys.h share/include/kernel/yosys.h
mkdir -p share/include/kernel/
cp "./"/kernel/hashlib.h share/include/kernel/hashlib.h
mkdir -p share/include/kernel/
cp "./"/kernel/log.h share/include/kernel/log.h
mkdir -p share/include/kernel/
cp "./"/kernel/rtlil.h share/include/kernel/rtlil.h
mkdir -p share/include/kernel/
cp "./"/kernel/binding.h share/include/kernel/binding.h
mkdir -p share/include/kernel/
cp "./"/kernel/register.h share/include/kernel/register.h
mkdir -p share/include/kernel/
cp "./"/kernel/celltypes.h share/include/kernel/celltypes.h
mkdir -p share/include/kernel/
cp "./"/kernel/celledges.h share/include/kernel/celledges.h
mkdir -p share/include/kernel/
cp "./"/kernel/consteval.h share/include/kernel/consteval.h
mkdir -p share/include/kernel/
cp "./"/kernel/constids.inc share/include/kernel/constids.inc
mkdir -p share/include/kernel/
cp "./"/kernel/sigtools.h share/include/kernel/sigtools.h
mkdir -p share/include/kernel/
cp "./"/kernel/modtools.h share/include/kernel/modtools.h
mkdir -p share/include/kernel/
cp "./"/kernel/macc.h share/include/kernel/macc.h
mkdir -p share/include/kernel/
cp "./"/kernel/utils.h share/include/kernel/utils.h
mkdir -p share/include/kernel/
cp "./"/kernel/satgen.h share/include/kernel/satgen.h
mkdir -p share/include/kernel/
cp "./"/kernel/qcsat.h share/include/kernel/qcsat.h
mkdir -p share/include/kernel/
cp "./"/kernel/ff.h share/include/kernel/ff.h
mkdir -p share/include/kernel/
cp "./"/kernel/ffinit.h share/include/kernel/ffinit.h
mkdir -p share/include/kernel/
cp "./"/kernel/fstdata.h share/include/kernel/fstdata.h
mkdir -p share/include/kernel/
cp "./"/kernel/mem.h share/include/kernel/mem.h
mkdir -p share/include/libs/ezsat/
cp "./"/libs/ezsat/ezsat.h share/include/libs/ezsat/ezsat.h
mkdir -p share/include/libs/ezsat/
cp "./"/libs/ezsat/ezminisat.h share/include/libs/ezsat/ezminisat.h
mkdir -p share/include/libs/fst/
cp "./"/libs/fst/fstapi.h share/include/libs/fst/fstapi.h
mkdir -p share/include/libs/sha1/
cp "./"/libs/sha1/sha1.h share/include/libs/sha1/sha1.h
mkdir -p share/include/libs/json11/
cp "./"/libs/json11/json11.hpp share/include/libs/json11/json11.hpp
mkdir -p share/include/passes/fsm/
cp "./"/passes/fsm/fsmdata.h share/include/passes/fsm/fsmdata.h
mkdir -p share/include/frontends/ast/
cp "./"/frontends/ast/ast.h share/include/frontends/ast/ast.h
mkdir -p share/include/frontends/ast/
cp "./"/frontends/ast/ast_binding.h share/include/frontends/ast/ast_binding.h
mkdir -p share/include/frontends/blif/
cp "./"/frontends/blif/blifparse.h share/include/frontends/blif/blifparse.h
mkdir -p share/include/backends/rtlil/
cp "./"/backends/rtlil/rtlil_backend.h share/include/backends/rtlil/rtlil_backend.h
mkdir -p share/include/backends/cxxrtl/
cp "./"/backends/cxxrtl/cxxrtl.h share/include/backends/cxxrtl/cxxrtl.h
mkdir -p share/include/backends/cxxrtl/
cp "./"/backends/cxxrtl/cxxrtl_vcd.h share/include/backends/cxxrtl/cxxrtl_vcd.h
mkdir -p share/include/backends/cxxrtl/
cp "./"/backends/cxxrtl/cxxrtl_capi.cc share/include/backends/cxxrtl/cxxrtl_capi.cc
mkdir -p share/include/backends/cxxrtl/
cp "./"/backends/cxxrtl/cxxrtl_capi.h share/include/backends/cxxrtl/cxxrtl_capi.h
mkdir -p share/include/backends/cxxrtl/
cp "./"/backends/cxxrtl/cxxrtl_vcd_capi.cc share/include/backends/cxxrtl/cxxrtl_vcd_capi.cc
mkdir -p share/include/backends/cxxrtl/
cp "./"/backends/cxxrtl/cxxrtl_vcd_capi.h share/include/backends/cxxrtl/cxxrtl_vcd_capi.h
mkdir -p share/python3
cp "./"/backends/smt2/smtio.py share/python3/smtio.py
mkdir -p share/python3
cp "./"/backends/smt2/ywio.py share/python3/ywio.py
mkdir -p share/achronix/speedster22i/
cp "./"/techlibs/achronix/speedster22i/cells_sim.v share/achronix/speedster22i/cells_sim.v
mkdir -p share/achronix/speedster22i/
cp "./"/techlibs/achronix/speedster22i/cells_map.v share/achronix/speedster22i/cells_map.v
mkdir -p share/anlogic
cp "./"/techlibs/anlogic/cells_map.v share/anlogic/cells_map.v
mkdir -p share/anlogic
cp "./"/techlibs/anlogic/arith_map.v share/anlogic/arith_map.v
mkdir -p share/anlogic
cp "./"/techlibs/anlogic/cells_sim.v share/anlogic/cells_sim.v
mkdir -p share/anlogic
cp "./"/techlibs/anlogic/eagle_bb.v share/anlogic/eagle_bb.v
mkdir -p share/anlogic
cp "./"/techlibs/anlogic/lutrams.txt share/anlogic/lutrams.txt
mkdir -p share/anlogic
cp "./"/techlibs/anlogic/lutrams_map.v share/anlogic/lutrams_map.v
mkdir -p share/anlogic
cp "./"/techlibs/anlogic/brams.txt share/anlogic/brams.txt
mkdir -p share/anlogic
cp "./"/techlibs/anlogic/brams_map.v share/anlogic/brams_map.v
mkdir -p share
cp "./"/techlibs/common/simlib.v share/simlib.v
mkdir -p share
cp "./"/techlibs/common/simcells.v share/simcells.v
mkdir -p share
cp "./"/techlibs/common/techmap.v share/techmap.v
mkdir -p share
cp "./"/techlibs/common/smtmap.v share/smtmap.v
mkdir -p share
cp "./"/techlibs/common/pmux2mux.v share/pmux2mux.v
mkdir -p share
cp "./"/techlibs/common/adff2dff.v share/adff2dff.v
mkdir -p share
cp "./"/techlibs/common/dff2ff.v share/dff2ff.v
mkdir -p share
cp "./"/techlibs/common/gate2lut.v share/gate2lut.v
mkdir -p share
cp "./"/techlibs/common/cmp2lut.v share/cmp2lut.v
mkdir -p share
cp "./"/techlibs/common/cells.lib share/cells.lib
mkdir -p share
cp "./"/techlibs/common/mul2dsp.v share/mul2dsp.v
mkdir -p share
cp "./"/techlibs/common/abc9_model.v share/abc9_model.v
mkdir -p share
cp "./"/techlibs/common/abc9_map.v share/abc9_map.v
mkdir -p share
cp "./"/techlibs/common/abc9_unmap.v share/abc9_unmap.v
mkdir -p share
cp "./"/techlibs/common/cmp2lcu.v share/cmp2lcu.v
mkdir -p share/coolrunner2
cp "./"/techlibs/coolrunner2/cells_latch.v share/coolrunner2/cells_latch.v
mkdir -p share/coolrunner2
cp "./"/techlibs/coolrunner2/cells_sim.v share/coolrunner2/cells_sim.v
mkdir -p share/coolrunner2
cp "./"/techlibs/coolrunner2/cells_counter_map.v share/coolrunner2/cells_counter_map.v
mkdir -p share/coolrunner2
cp "./"/techlibs/coolrunner2/tff_extract.v share/coolrunner2/tff_extract.v
mkdir -p share/coolrunner2
cp "./"/techlibs/coolrunner2/xc2_dff.lib share/coolrunner2/xc2_dff.lib
mkdir -p share/ecp5
cp "./"/techlibs/ecp5/cells_ff.vh share/ecp5/cells_ff.vh
mkdir -p share/ecp5
cp "./"/techlibs/ecp5/cells_io.vh share/ecp5/cells_io.vh
mkdir -p share/ecp5
cp "./"/techlibs/ecp5/cells_map.v share/ecp5/cells_map.v
mkdir -p share/ecp5
cp "./"/techlibs/ecp5/cells_sim.v share/ecp5/cells_sim.v
mkdir -p share/ecp5
cp "./"/techlibs/ecp5/cells_bb.v share/ecp5/cells_bb.v
mkdir -p share/ecp5
cp "./"/techlibs/ecp5/lutrams_map.v share/ecp5/lutrams_map.v
mkdir -p share/ecp5
cp "./"/techlibs/ecp5/lutrams.txt share/ecp5/lutrams.txt
mkdir -p share/ecp5
cp "./"/techlibs/ecp5/brams_map.v share/ecp5/brams_map.v
mkdir -p share/ecp5
cp "./"/techlibs/ecp5/brams.txt share/ecp5/brams.txt
mkdir -p share/ecp5
cp "./"/techlibs/ecp5/arith_map.v share/ecp5/arith_map.v
mkdir -p share/ecp5
cp "./"/techlibs/ecp5/latches_map.v share/ecp5/latches_map.v
mkdir -p share/ecp5
cp "./"/techlibs/ecp5/dsp_map.v share/ecp5/dsp_map.v
mkdir -p share/efinix
cp "./"/techlibs/efinix/cells_map.v share/efinix/cells_map.v
mkdir -p share/efinix
cp "./"/techlibs/efinix/arith_map.v share/efinix/arith_map.v
mkdir -p share/efinix
cp "./"/techlibs/efinix/cells_sim.v share/efinix/cells_sim.v
mkdir -p share/efinix
cp "./"/techlibs/efinix/brams_map.v share/efinix/brams_map.v
mkdir -p share/efinix
cp "./"/techlibs/efinix/gbuf_map.v share/efinix/gbuf_map.v
mkdir -p share/efinix
cp "./"/techlibs/efinix/brams.txt share/efinix/brams.txt
mkdir -p share/gatemate
cp "./"/techlibs/gatemate/reg_map.v share/gatemate/reg_map.v
mkdir -p share/gatemate
cp "./"/techlibs/gatemate/mux_map.v share/gatemate/mux_map.v
mkdir -p share/gatemate
cp "./"/techlibs/gatemate/lut_map.v share/gatemate/lut_map.v
mkdir -p share/gatemate
cp "./"/techlibs/gatemate/mul_map.v share/gatemate/mul_map.v
mkdir -p share/gatemate
cp "./"/techlibs/gatemate/arith_map.v share/gatemate/arith_map.v
mkdir -p share/gatemate
cp "./"/techlibs/gatemate/cells_sim.v share/gatemate/cells_sim.v
mkdir -p share/gatemate
cp "./"/techlibs/gatemate/cells_bb.v share/gatemate/cells_bb.v
mkdir -p share/gatemate
cp "./"/techlibs/gatemate/brams_map.v share/gatemate/brams_map.v
mkdir -p share/gatemate
cp "./"/techlibs/gatemate/brams.txt share/gatemate/brams.txt
mkdir -p share/gatemate
cp "./"/techlibs/gatemate/brams_init_20.vh share/gatemate/brams_init_20.vh
mkdir -p share/gatemate
cp "./"/techlibs/gatemate/brams_init_40.vh share/gatemate/brams_init_40.vh
mkdir -p share/gatemate
cp "./"/techlibs/gatemate/inv_map.v share/gatemate/inv_map.v
mkdir -p techlibs/gatemate
python3 techlibs/gatemate/make_lut_tree_lib.py
touch techlibs/gatemate/lut_tree_lib.mk
mkdir -p share/gowin
cp "./"/techlibs/gowin/cells_map.v share/gowin/cells_map.v
mkdir -p share/gowin
cp "./"/techlibs/gowin/cells_sim.v share/gowin/cells_sim.v
mkdir -p share/gowin
cp "./"/techlibs/gowin/arith_map.v share/gowin/arith_map.v
mkdir -p share/gowin
cp "./"/techlibs/gowin/brams_map.v share/gowin/brams_map.v
mkdir -p share/gowin
cp "./"/techlibs/gowin/brams.txt share/gowin/brams.txt
mkdir -p share/gowin
cp "./"/techlibs/gowin/lutrams_map.v share/gowin/lutrams_map.v
mkdir -p share/gowin
cp "./"/techlibs/gowin/lutrams.txt share/gowin/lutrams.txt
mkdir -p share/greenpak4
cp "./"/techlibs/greenpak4/cells_blackbox.v share/greenpak4/cells_blackbox.v
mkdir -p share/greenpak4
cp "./"/techlibs/greenpak4/cells_latch.v share/greenpak4/cells_latch.v
mkdir -p share/greenpak4
cp "./"/techlibs/greenpak4/cells_map.v share/greenpak4/cells_map.v
mkdir -p share/greenpak4
cp "./"/techlibs/greenpak4/cells_sim.v share/greenpak4/cells_sim.v
mkdir -p share/greenpak4
cp "./"/techlibs/greenpak4/cells_sim_ams.v share/greenpak4/cells_sim_ams.v
mkdir -p share/greenpak4
cp "./"/techlibs/greenpak4/cells_sim_digital.v share/greenpak4/cells_sim_digital.v
mkdir -p share/greenpak4
cp "./"/techlibs/greenpak4/cells_sim_wip.v share/greenpak4/cells_sim_wip.v
mkdir -p share/greenpak4
cp "./"/techlibs/greenpak4/gp_dff.lib share/greenpak4/gp_dff.lib
mkdir -p share/ice40
cp "./"/techlibs/ice40/arith_map.v share/ice40/arith_map.v
mkdir -p share/ice40
cp "./"/techlibs/ice40/cells_map.v share/ice40/cells_map.v
mkdir -p share/ice40
cp "./"/techlibs/ice40/ff_map.v share/ice40/ff_map.v
mkdir -p share/ice40
cp "./"/techlibs/ice40/cells_sim.v share/ice40/cells_sim.v
mkdir -p share/ice40
cp "./"/techlibs/ice40/latches_map.v share/ice40/latches_map.v
mkdir -p share/ice40
cp "./"/techlibs/ice40/brams.txt share/ice40/brams.txt
mkdir -p share/ice40
cp "./"/techlibs/ice40/brams_map.v share/ice40/brams_map.v
mkdir -p share/ice40
cp "./"/techlibs/ice40/spram.txt share/ice40/spram.txt
mkdir -p share/ice40
cp "./"/techlibs/ice40/spram_map.v share/ice40/spram_map.v
mkdir -p share/ice40
cp "./"/techlibs/ice40/dsp_map.v share/ice40/dsp_map.v
mkdir -p share/ice40
cp "./"/techlibs/ice40/abc9_model.v share/ice40/abc9_model.v
mkdir -p share/intel/common
cp "./"/techlibs/intel/common/m9k_bb.v share/intel/common/m9k_bb.v
mkdir -p share/intel/common
cp "./"/techlibs/intel/common/altpll_bb.v share/intel/common/altpll_bb.v
mkdir -p share/intel/common
cp "./"/techlibs/intel/common/brams_m9k.txt share/intel/common/brams_m9k.txt
mkdir -p share/intel/common
cp "./"/techlibs/intel/common/brams_map_m9k.v share/intel/common/brams_map_m9k.v
mkdir -p share/intel/common
cp "./"/techlibs/intel/common/ff_map.v share/intel/common/ff_map.v
mkdir -p share/intel/max10
cp "./"/techlibs/intel/max10/cells_sim.v share/intel/max10/cells_sim.v
mkdir -p share/intel/cyclone10lp
cp "./"/techlibs/intel/cyclone10lp/cells_sim.v share/intel/cyclone10lp/cells_sim.v
mkdir -p share/intel/cycloneiv
cp "./"/techlibs/intel/cycloneiv/cells_sim.v share/intel/cycloneiv/cells_sim.v
mkdir -p share/intel/cycloneive
cp "./"/techlibs/intel/cycloneive/cells_sim.v share/intel/cycloneive/cells_sim.v
mkdir -p share/intel/max10
cp "./"/techlibs/intel/max10/cells_map.v share/intel/max10/cells_map.v
mkdir -p share/intel/cyclone10lp
cp "./"/techlibs/intel/cyclone10lp/cells_map.v share/intel/cyclone10lp/cells_map.v
mkdir -p share/intel/cycloneiv
cp "./"/techlibs/intel/cycloneiv/cells_map.v share/intel/cycloneiv/cells_map.v
mkdir -p share/intel/cycloneive
cp "./"/techlibs/intel/cycloneive/cells_map.v share/intel/cycloneive/cells_map.v
mkdir -p share/intel_alm/common
cp "./"/techlibs/intel_alm/common/abc9_map.v share/intel_alm/common/abc9_map.v
mkdir -p share/intel_alm/common
cp "./"/techlibs/intel_alm/common/abc9_unmap.v share/intel_alm/common/abc9_unmap.v
mkdir -p share/intel_alm/common
cp "./"/techlibs/intel_alm/common/abc9_model.v share/intel_alm/common/abc9_model.v
mkdir -p share/intel_alm/common
cp "./"/techlibs/intel_alm/common/alm_map.v share/intel_alm/common/alm_map.v
mkdir -p share/intel_alm/common
cp "./"/techlibs/intel_alm/common/alm_sim.v share/intel_alm/common/alm_sim.v
mkdir -p share/intel_alm/common
cp "./"/techlibs/intel_alm/common/arith_alm_map.v share/intel_alm/common/arith_alm_map.v
mkdir -p share/intel_alm/common
cp "./"/techlibs/intel_alm/common/dff_map.v share/intel_alm/common/dff_map.v
mkdir -p share/intel_alm/common
cp "./"/techlibs/intel_alm/common/dff_sim.v share/intel_alm/common/dff_sim.v
mkdir -p share/intel_alm/common
cp "./"/techlibs/intel_alm/common/dsp_sim.v share/intel_alm/common/dsp_sim.v
mkdir -p share/intel_alm/common
cp "./"/techlibs/intel_alm/common/dsp_map.v share/intel_alm/common/dsp_map.v
mkdir -p share/intel_alm/common
cp "./"/techlibs/intel_alm/common/mem_sim.v share/intel_alm/common/mem_sim.v
mkdir -p share/intel_alm/common
cp "./"/techlibs/intel_alm/common/misc_sim.v share/intel_alm/common/misc_sim.v
mkdir -p share/intel_alm/cyclonev
cp "./"/techlibs/intel_alm/cyclonev/cells_sim.v share/intel_alm/cyclonev/cells_sim.v
mkdir -p share/intel_alm/common
cp "./"/techlibs/intel_alm/common/bram_m10k.txt share/intel_alm/common/bram_m10k.txt
mkdir -p share/intel_alm/common
cp "./"/techlibs/intel_alm/common/bram_m10k_map.v share/intel_alm/common/bram_m10k_map.v
mkdir -p share/intel_alm/common
cp "./"/techlibs/intel_alm/common/bram_m20k.txt share/intel_alm/common/bram_m20k.txt
mkdir -p share/intel_alm/common
cp "./"/techlibs/intel_alm/common/bram_m20k_map.v share/intel_alm/common/bram_m20k_map.v
mkdir -p share/intel_alm/common
cp "./"/techlibs/intel_alm/common/lutram_mlab.txt share/intel_alm/common/lutram_mlab.txt
mkdir -p share/intel_alm/common
cp "./"/techlibs/intel_alm/common/megafunction_bb.v share/intel_alm/common/megafunction_bb.v
mkdir -p share/intel_alm/common
cp "./"/techlibs/intel_alm/common/quartus_rename.v share/intel_alm/common/quartus_rename.v
mkdir -p share/machxo2
cp "./"/techlibs/machxo2/cells_map.v share/machxo2/cells_map.v
mkdir -p share/machxo2
cp "./"/techlibs/machxo2/cells_sim.v share/machxo2/cells_sim.v
mkdir -p share/machxo2
cp "./"/techlibs/machxo2/lutrams.txt share/machxo2/lutrams.txt
mkdir -p share/machxo2
cp "./"/techlibs/machxo2/lutrams_map.v share/machxo2/lutrams_map.v
mkdir -p share/machxo2
cp "./"/techlibs/machxo2/brams.txt share/machxo2/brams.txt
mkdir -p share/machxo2
cp "./"/techlibs/machxo2/brams_map.v share/machxo2/brams_map.v
mkdir -p share/nexus
cp "./"/techlibs/nexus/cells_map.v share/nexus/cells_map.v
mkdir -p share/nexus
cp "./"/techlibs/nexus/cells_sim.v share/nexus/cells_sim.v
mkdir -p share/nexus
cp "./"/techlibs/nexus/parse_init.vh share/nexus/parse_init.vh
mkdir -p share/nexus
cp "./"/techlibs/nexus/cells_xtra.v share/nexus/cells_xtra.v
mkdir -p share/nexus
cp "./"/techlibs/nexus/lutrams_map.v share/nexus/lutrams_map.v
mkdir -p share/nexus
cp "./"/techlibs/nexus/lutrams.txt share/nexus/lutrams.txt
mkdir -p share/nexus
cp "./"/techlibs/nexus/brams_map.v share/nexus/brams_map.v
mkdir -p share/nexus
cp "./"/techlibs/nexus/brams.txt share/nexus/brams.txt
mkdir -p share/nexus
cp "./"/techlibs/nexus/lrams_map.v share/nexus/lrams_map.v
mkdir -p share/nexus
cp "./"/techlibs/nexus/lrams.txt share/nexus/lrams.txt
mkdir -p share/nexus
cp "./"/techlibs/nexus/arith_map.v share/nexus/arith_map.v
mkdir -p share/nexus
cp "./"/techlibs/nexus/latches_map.v share/nexus/latches_map.v
mkdir -p share/nexus
cp "./"/techlibs/nexus/dsp_map.v share/nexus/dsp_map.v
mkdir -p share/quicklogic
cp "./"/techlibs/quicklogic/pp3_ffs_map.v share/quicklogic/pp3_ffs_map.v
mkdir -p share/quicklogic
cp "./"/techlibs/quicklogic/pp3_lut_map.v share/quicklogic/pp3_lut_map.v
mkdir -p share/quicklogic
cp "./"/techlibs/quicklogic/pp3_latches_map.v share/quicklogic/pp3_latches_map.v
mkdir -p share/quicklogic
cp "./"/techlibs/quicklogic/pp3_cells_map.v share/quicklogic/pp3_cells_map.v
mkdir -p share/quicklogic
cp "./"/techlibs/quicklogic/cells_sim.v share/quicklogic/cells_sim.v
mkdir -p share/quicklogic
cp "./"/techlibs/quicklogic/lut_sim.v share/quicklogic/lut_sim.v
mkdir -p share/quicklogic
cp "./"/techlibs/quicklogic/pp3_cells_sim.v share/quicklogic/pp3_cells_sim.v
mkdir -p share/quicklogic
cp "./"/techlibs/quicklogic/abc9_model.v share/quicklogic/abc9_model.v
mkdir -p share/quicklogic
cp "./"/techlibs/quicklogic/abc9_map.v share/quicklogic/abc9_map.v
mkdir -p share/quicklogic
cp "./"/techlibs/quicklogic/abc9_unmap.v share/quicklogic/abc9_unmap.v
mkdir -p share/sf2
cp "./"/techlibs/sf2/arith_map.v share/sf2/arith_map.v
mkdir -p share/sf2
cp "./"/techlibs/sf2/cells_map.v share/sf2/cells_map.v
mkdir -p share/sf2
cp "./"/techlibs/sf2/cells_sim.v share/sf2/cells_sim.v
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/cells_map.v share/xilinx/cells_map.v
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/cells_sim.v share/xilinx/cells_sim.v
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/cells_xtra.v share/xilinx/cells_xtra.v
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/lutrams_xcv.txt share/xilinx/lutrams_xcv.txt
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/lutrams_xcv_map.v share/xilinx/lutrams_xcv_map.v
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/lutrams_xc5v.txt share/xilinx/lutrams_xc5v.txt
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/lutrams_xcu.txt share/xilinx/lutrams_xcu.txt
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/lutrams_xc5v_map.v share/xilinx/lutrams_xc5v_map.v
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/brams_xcv.txt share/xilinx/brams_xcv.txt
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/brams_xcv_map.v share/xilinx/brams_xcv_map.v
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/brams_defs.vh share/xilinx/brams_defs.vh
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/brams_xc2v.txt share/xilinx/brams_xc2v.txt
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/brams_xc2v_map.v share/xilinx/brams_xc2v_map.v
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/brams_xc3sda.txt share/xilinx/brams_xc3sda.txt
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/brams_xc3sda_map.v share/xilinx/brams_xc3sda_map.v
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/brams_xc4v.txt share/xilinx/brams_xc4v.txt
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/brams_xc4v_map.v share/xilinx/brams_xc4v_map.v
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/brams_xc5v_map.v share/xilinx/brams_xc5v_map.v
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/brams_xc6v_map.v share/xilinx/brams_xc6v_map.v
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/brams_xcu_map.v share/xilinx/brams_xcu_map.v
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/urams.txt share/xilinx/urams.txt
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/urams_map.v share/xilinx/urams_map.v
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/arith_map.v share/xilinx/arith_map.v
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/ff_map.v share/xilinx/ff_map.v
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/lut_map.v share/xilinx/lut_map.v
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/mux_map.v share/xilinx/mux_map.v
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/xc3s_mult_map.v share/xilinx/xc3s_mult_map.v
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/xc3sda_dsp_map.v share/xilinx/xc3sda_dsp_map.v
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/xc6s_dsp_map.v share/xilinx/xc6s_dsp_map.v
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/xc4v_dsp_map.v share/xilinx/xc4v_dsp_map.v
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/xc5v_dsp_map.v share/xilinx/xc5v_dsp_map.v
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/xc7_dsp_map.v share/xilinx/xc7_dsp_map.v
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/xcu_dsp_map.v share/xilinx/xcu_dsp_map.v
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/abc9_model.v share/xilinx/abc9_model.v
mkdir -p kernel/
gcc -o kernel/version_7ce5011c24b.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/version_7ce5011c24b.cc
mkdir -p kernel/
gcc -o kernel/register.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/register.cc
mkdir -p frontends/rtlil/
gcc -o frontends/rtlil/rtlil_parser.tab.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/rtlil/rtlil_parser.tab.cc
mkdir -p frontends/rtlil/
gcc -o frontends/rtlil/rtlil_lexer.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/rtlil/rtlil_lexer.cc
mkdir -p frontends/verilog/
gcc -o frontends/verilog/verilog_parser.tab.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DYYMAXDEPTH=10000000 frontends/verilog/verilog_parser.tab.cc
mkdir -p frontends/verilog/
flex -o frontends/verilog/verilog_lexer.cc frontends/verilog/verilog_lexer.l
mkdir -p passes/pmgen/
gcc -o passes/pmgen/test_pmgen.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/test_pmgen.cc
mkdir -p passes/pmgen/
gcc -o passes/pmgen/ice40_wrapcarry.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/ice40_wrapcarry.cc
mkdir -p passes/pmgen/
gcc -o passes/pmgen/xilinx_dsp.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/xilinx_dsp.cc
mkdir -p ./
gcc -o yosys-filterlib -Wl,-z,relro -Wl,-z,now -Wl,--as-needed -rdynamic passes/techmap/filterlib.o  -lstdc++ -lm -lrt -lreadline -lffi  -ldl -lz -ltcl8.6 -ltclstub8.6 
mkdir -p share/gatemate
cp techlibs/gatemate/lut_tree_cells.genlib share/gatemate/lut_tree_cells.genlib
mkdir -p share/gatemate
cp techlibs/gatemate/lut_tree_map.v share/gatemate/lut_tree_map.v
mkdir -p frontends/verilog/
gcc -o frontends/verilog/verilog_lexer.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/verilog_lexer.cc
gcc -o yosys  -Wl,-z,relro -Wl,-z,now -Wl,--as-needed -rdynamic kernel/version_7ce5011c24b.o kernel/driver.o kernel/register.o kernel/rtlil.o kernel/log.o kernel/calc.o kernel/yosys.o kernel/binding.o kernel/cellaigs.o kernel/celledges.o kernel/satgen.o kernel/qcsat.o kernel/mem.o kernel/ffmerge.o kernel/ff.o kernel/fstdata.o libs/bigint/BigIntegerAlgorithms.o libs/bigint/BigInteger.o libs/bigint/BigIntegerUtils.o libs/bigint/BigUnsigned.o libs/bigint/BigUnsignedInABase.o libs/sha1/sha1.o libs/json11/json11.o libs/subcircuit/subcircuit.o libs/ezsat/ezsat.o libs/ezsat/ezminisat.o libs/minisat/Options.o libs/minisat/SimpSolver.o libs/minisat/Solver.o libs/minisat/System.o libs/fst/fstapi.o libs/fst/fastlz.o libs/fst/lz4.o frontends/aiger/aigerparse.o frontends/ast/ast.o frontends/ast/simplify.o frontends/ast/genrtlil.o frontends/ast/dpicall.o frontends/ast/ast_binding.o frontends/blif/blifparse.o frontends/json/jsonparse.o frontends/liberty/liberty.o frontends/rpc/rpc_frontend.o frontends/rtlil/rtlil_parser.tab.o frontends/rtlil/rtlil_lexer.o frontends/rtlil/rtlil_frontend.o frontends/verific/verific.o frontends/verilog/verilog_parser.tab.o frontends/verilog/verilog_lexer.o frontends/verilog/preproc.o frontends/verilog/verilog_frontend.o frontends/verilog/const2ast.o passes/cmds/exec.o passes/cmds/add.o passes/cmds/delete.o passes/cmds/design.o passes/cmds/select.o passes/cmds/show.o passes/cmds/rename.o passes/cmds/autoname.o passes/cmds/connect.o passes/cmds/scatter.o passes/cmds/setundef.o passes/cmds/splitnets.o passes/cmds/stat.o passes/cmds/setattr.o passes/cmds/copy.o passes/cmds/splice.o passes/cmds/scc.o passes/cmds/glift.o passes/cmds/torder.o passes/cmds/logcmd.o passes/cmds/tee.o passes/cmds/write_file.o passes/cmds/connwrappers.o passes/cmds/cover.o passes/cmds/trace.o passes/cmds/plugin.o passes/cmds/check.o passes/cmds/qwp.o passes/cmds/edgetypes.o passes/cmds/portlist.o passes/cmds/chformal.o passes/cmds/chtype.o passes/cmds/blackbox.o passes/cmds/ltp.o passes/cmds/bugpoint.o passes/cmds/scratchpad.o passes/cmds/logger.o passes/cmds/printattrs.o passes/cmds/sta.o passes/cmds/clean_zerowidth.o passes/equiv/equiv_make.o passes/equiv/equiv_miter.o passes/equiv/equiv_simple.o passes/equiv/equiv_status.o passes/equiv/equiv_add.o passes/equiv/equiv_remove.o passes/equiv/equiv_induct.o passes/equiv/equiv_struct.o passes/equiv/equiv_purge.o passes/equiv/equiv_mark.o passes/equiv/equiv_opt.o passes/fsm/fsm.o passes/fsm/fsm_detect.o passes/fsm/fsm_extract.o passes/fsm/fsm_opt.o passes/fsm/fsm_expand.o passes/fsm/fsm_recode.o passes/fsm/fsm_info.o passes/fsm/fsm_export.o passes/fsm/fsm_map.o passes/hierarchy/hierarchy.o passes/hierarchy/uniquify.o passes/hierarchy/submod.o passes/memory/memory.o passes/memory/memory_dff.o passes/memory/memory_share.o passes/memory/memory_collect.o passes/memory/memory_unpack.o passes/memory/memory_bram.o passes/memory/memory_map.o passes/memory/memory_memx.o passes/memory/memory_nordff.o passes/memory/memory_narrow.o passes/memory/memory_libmap.o passes/memory/memory_bmux2rom.o passes/memory/memlib.o passes/opt/opt.o passes/opt/opt_merge.o passes/opt/opt_mem.o passes/opt/opt_mem_feedback.o passes/opt/opt_mem_priority.o passes/opt/opt_mem_widen.o passes/opt/opt_muxtree.o passes/opt/opt_reduce.o passes/opt/opt_dff.o passes/opt/opt_share.o passes/opt/opt_clean.o passes/opt/opt_expr.o passes/opt/share.o passes/opt/wreduce.o passes/opt/opt_demorgan.o passes/opt/rmports.o passes/opt/opt_lut.o passes/opt/opt_lut_ins.o passes/opt/opt_ffinv.o passes/opt/pmux2shiftx.o passes/opt/muxpack.o passes/pmgen/test_pmgen.o passes/pmgen/ice40_dsp.o passes/pmgen/ice40_wrapcarry.o passes/pmgen/xilinx_dsp.o passes/pmgen/peepopt.o passes/pmgen/xilinx_srl.o passes/proc/proc.o passes/proc/proc_prune.o passes/proc/proc_clean.o passes/proc/proc_rmdead.o passes/proc/proc_init.o passes/proc/proc_arst.o passes/proc/proc_rom.o passes/proc/proc_mux.o passes/proc/proc_dlatch.o passes/proc/proc_dff.o passes/proc/proc_memwr.o passes/sat/sat.o passes/sat/freduce.o passes/sat/eval.o passes/sat/sim.o passes/sat/miter.o passes/sat/expose.o passes/sat/assertpmux.o passes/sat/clk2fflogic.o passes/sat/async2sync.o passes/sat/formalff.o passes/sat/supercover.o passes/sat/fmcombine.o passes/sat/mutate.o passes/sat/cutpoint.o passes/sat/fminit.o passes/sat/qbfsat.o passes/techmap/flatten.o passes/techmap/techmap.o passes/techmap/simplemap.o passes/techmap/dfflibmap.o passes/techmap/maccmap.o passes/techmap/libparse.o passes/techmap/abc.o passes/techmap/abc9.o passes/techmap/abc9_exe.o passes/techmap/abc9_ops.o passes/techmap/iopadmap.o passes/techmap/clkbufmap.o passes/techmap/hilomap.o passes/techmap/extract.o passes/techmap/extract_fa.o passes/techmap/extract_counter.o passes/techmap/extract_reduce.o passes/techmap/alumacc.o passes/techmap/dffinit.o passes/techmap/pmuxtree.o passes/techmap/bmuxmap.o passes/techmap/demuxmap.o passes/techmap/muxcover.o passes/techmap/aigmap.o passes/techmap/tribuf.o passes/techmap/lut2mux.o passes/techmap/nlutmap.o passes/techmap/shregmap.o passes/techmap/deminout.o passes/techmap/insbuf.o passes/techmap/attrmvcp.o passes/techmap/attrmap.o passes/techmap/zinit.o passes/techmap/dfflegalize.o passes/techmap/dffunmap.o passes/techmap/flowmap.o passes/techmap/extractinv.o passes/tests/test_autotb.o passes/tests/test_cell.o passes/tests/test_abcloop.o backends/aiger/aiger.o backends/aiger/xaiger.o backends/blif/blif.o backends/btor/btor.o backends/cxxrtl/cxxrtl_backend.o backends/edif/edif.o backends/firrtl/firrtl.o backends/intersynth/intersynth.o backends/jny/jny.o backends/json/json.o backends/rtlil/rtlil_backend.o backends/simplec/simplec.o backends/smt2/smt2.o backends/smv/smv.o backends/spice/spice.o backends/table/table.o backends/verilog/verilog_backend.o techlibs/achronix/synth_achronix.o techlibs/anlogic/synth_anlogic.o techlibs/anlogic/anlogic_eqn.o techlibs/anlogic/anlogic_fixcarry.o techlibs/common/synth.o techlibs/common/prep.o techlibs/coolrunner2/synth_coolrunner2.o techlibs/coolrunner2/coolrunner2_sop.o techlibs/coolrunner2/coolrunner2_fixup.o techlibs/easic/synth_easic.o techlibs/ecp5/synth_ecp5.o techlibs/ecp5/ecp5_gsr.o techlibs/efinix/synth_efinix.o techlibs/efinix/efinix_fixcarry.o techlibs/gatemate/synth_gatemate.o techlibs/gatemate/gatemate_foldinv.o techlibs/gowin/synth_gowin.o techlibs/greenpak4/synth_greenpak4.o techlibs/greenpak4/greenpak4_dffinv.o techlibs/ice40/synth_ice40.o techlibs/ice40/ice40_braminit.o techlibs/ice40/ice40_opt.o techlibs/intel/synth_intel.o techlibs/intel_alm/synth_intel_alm.o techlibs/machxo2/synth_machxo2.o techlibs/nexus/synth_nexus.o techlibs/quicklogic/synth_quicklogic.o techlibs/sf2/synth_sf2.o techlibs/xilinx/synth_xilinx.o techlibs/xilinx/xilinx_dffopt.o  -lstdc++ -lm -lrt -lreadline -lffi  -ldl -lz -ltcl8.6 -ltclstub8.6 

  Build successful.

make[2]: Leaving directory '/<<PKGBUILDDIR>>'
make[1]: Leaving directory '/<<PKGBUILDDIR>>'
   debian/rules override_dh_auto_test
make[1]: Entering directory '/<<PKGBUILDDIR>>'
dh_auto_test
	make -j4 test
make[2]: Entering directory '/<<PKGBUILDDIR>>'
[Makefile.conf] CONFIG := gcc
[Makefile.conf] ABCEXTERNAL=berkeley-abc
[Makefile.conf] ABCPULL=0
[Makefile.conf] STRIP=:
cd tests/simple && bash run-test.sh ""
make[3]: Entering directory '/<<PKGBUILDDIR>>/tests/simple'
+ gcc -Wall -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -o /<<PKGBUILDDIR>>/tests/tools/cmp_tbdata /<<PKGBUILDDIR>>/tests/tools/cmp_tbdata.c
+ gcc -Wall -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -o /<<PKGBUILDDIR>>/tests/tools/cmp_tbdata /<<PKGBUILDDIR>>/tests/tools/cmp_tbdata.c
+ gcc -Wall -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -o /<<PKGBUILDDIR>>/tests/tools/cmp_tbdata /<<PKGBUILDDIR>>/tests/tools/cmp_tbdata.c
+ gcc -Wall -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -o /<<PKGBUILDDIR>>/tests/tools/cmp_tbdata /<<PKGBUILDDIR>>/tests/tools/cmp_tbdata.c
Test: case_expr_query -> ok
Test: case_expr_extend -> ok
Test: arrays02 -> ok
Test: implicit_ports -> ok
Test: lesser_size_cast -> ok
Test: local_loop_var -> ok
Test: defvalue -> ok
Test: matching_end_labels -> ok
Test: memwr_port_connection -> ok
Test: unnamed_block_decl -> ok
Test: macro_arg_spaces -> ok
Test: always01 -> ok
Test: aes_kexp128 -> ok
Test: always02 -> ok
Test: always03 -> ok
Test: arraycells -> ok
Test: arrays01 -> ok
Test: attrib01_module -> ok
Test: attrib02_port_decl -> ok
Test: asgn_binop -> ok
Test: attrib03_parameter -> ok
Test: attrib04_net_var -> ok
Test: attrib06_operator_suffix -> ok
Test: attrib08_mod_inst -> ok
Test: attrib09_case -> ok
Test: case_expr_const -> ok
Test: carryadd -> ok
Test: case_expr_non_const -> ok
Test: const_branch_finish -> ok
Test: const_fold_func -> ok
Test: const_func_shadow -> ok
Test: constpower -> ok
Test: dff_init -> ok
Test: dff_different_styles -> ok
Test: constmuldivmod -> ok
Test: fiedler-cooley -> ok
Test: forgen01 -> ok
Test: forgen02 -> ok
Test: forloops -> ok
Test: fsm -> ok
Test: func_block -> ok
Test: func_recurse -> ok
Test: dynslice -> ok
Test: genblk_dive -> ok
Test: genblk_collide -> ok
Test: func_width_scope -> ok
Test: genblk_port_shadow -> ok
Test: genblk_order -> ok
Test: hierarchy -> ok
Test: graphtest -> ok
Test: hierdefparam -> ok
Test: ifdef_1 -> ok
Test: i2c_master_tests -> ok
Test: ifdef_2 -> ok
Test: localparam_attr -> ok
Test: loop_var_shadow -> ok
Test: loop_prefix_case -> ok
Test: macro_arg_surrounding_spaces -> ok
Test: loops -> ok
Test: macros -> ok
Test: mem2reg_bounds_tern -> ok
Test: generate -> ok
Test: mem2reg -> ok
Test: case_large -> ok
Test: mem_arst -> ok
Test: module_scope_case -> ok
Test: module_scope -> ok
Test: named_genblk -> ok
Test: nested_genblk_resolve -> ok
Test: omsp_dbg_uart -> ok
Test: muxtree -> ok
Test: param_attr -> ok
Test: multiplier -> ok
Test: paramods -> ok
Test: process -> ok
Test: realexpr -> ok
Test: repwhile -> ok
Test: retime -> ok
Test: operators -> ok
Test: scopes -> ok
Test: partsel -> ok
Test: signed_full_slice -> ok
Test: signedexpr -> ok
Test: specify -> ok
Test: string_format -> ok
Test: subbytes -> ok
Test: memory -> ok
Test: undef_eqx_nex -> ok
Test: usb_phy_tests -> ok
Test: rotate -> ok
Test: sincos -> ok
Test: verilog_primitives -> ok
Test: values -> ok
Test: task_func -> ok
Test: wandwor -> ok
Test: vloghammer -> ok
Test: wreduce -> ok
make[3]: Leaving directory '/<<PKGBUILDDIR>>/tests/simple'
cd tests/simple_abc9 && bash run-test.sh ""
make[3]: Entering directory '/<<PKGBUILDDIR>>/tests/simple_abc9'
Test: always01 -> ok
Test: always02 -> ok
Test: aes_kexp128 -> ok
Test: always03 -> ok
Test: arrays01 -> ok
Test: arraycells -> ok
Test: attrib01_module -> ok
Test: attrib02_port_decl -> ok
Test: attrib04_net_var -> ok
Test: attrib03_parameter -> ok
Test: attrib06_operator_suffix -> ok
Test: attrib08_mod_inst -> ok
Test: attrib09_case -> ok
Test: case_expr_const -> ok
Test: carryadd -> ok
Test: case_expr_non_const -> ok
Test: const_branch_finish -> ok
Test: const_fold_func -> ok
Test: const_func_shadow -> ok
Test: constpower -> ok
Test: abc9 -> ok
Test: dff_init -> ok
Test: dff_different_styles -> ok
Test: fiedler-cooley -> ok
Test: constmuldivmod -> ok
Test: forgen01 -> ok
Test: forgen02 -> ok
Test: forloops -> ok
Test: fsm -> ok
Test: func_block -> ok
Test: func_recurse -> ok
Test: genblk_collide -> ok
Test: genblk_dive -> ok
Test: func_width_scope -> ok
Test: genblk_order -> ok
Test: genblk_port_shadow -> ok
Test: graphtest -> ok
Test: hierarchy -> ok
Test: hierdefparam -> ok
Test: i2c_master_tests -> ok
Test: ifdef_1 -> ok
Test: ifdef_2 -> ok
Test: localparam_attr -> ok
Test: loop_prefix_case -> ok
Test: loop_var_shadow -> ok
Test: generate -> ok
Test: macro_arg_surrounding_spaces -> ok
Test: loops -> ok
Test: macros -> ok
Test: mem2reg_bounds_tern -> ok
Test: mem2reg -> ok
Test: mem_arst -> ok
Test: module_scope -> ok
Test: module_scope_case -> ok
Test: multiplier -> ok
Test: dynslice -> ok
Test: named_genblk -> ok
Test: muxtree -> ok
Test: nested_genblk_resolve -> ok
Test: omsp_dbg_uart -> ok
Test: param_attr -> ok
Test: paramods -> ok
Test: memory -> ok
Test: process -> ok
Test: realexpr -> ok
Test: repwhile -> ok
Test: retime -> ok
Test: case_large -> ok
Test: scopes -> ok
Test: signed_full_slice -> ok
Test: signedexpr -> ok
Test: rotate -> ok
Test: string_format -> ok
Test: subbytes -> ok
Test: partsel -> ok
Test: task_func -> ok
Test: undef_eqx_nex -> ok
Test: usb_phy_tests -> ok
Test: operators -> ok
Test: verilog_primitives -> ok
Test: values -> ok
Test: vloghammer -> ok
Test: wandwor -> ok
Test: arrays02 -> ok
Test: case_expr_extend -> ok
Test: case_expr_query -> ok
Test: sincos -> ok
Test: implicit_ports -> ok
Test: defvalue -> ok
Test: lesser_size_cast -> ok
Test: local_loop_var -> ok
Test: matching_end_labels -> ok
Test: memwr_port_connection -> ok
Test: unnamed_block_decl -> ok
Test: wreduce -> ok
Test: asgn_binop -> ok
Test: macro_arg_spaces -> ok
make[3]: Leaving directory '/<<PKGBUILDDIR>>/tests/simple_abc9'
cd tests/hana && bash run-test.sh ""
make[3]: Entering directory '/<<PKGBUILDDIR>>/tests/hana'
Test: test_parse2synthtrans -> ok
Test: test_parser -> ok
Test: test_simulation_buffer -> ok
Test: test_simulation_always -> ok
Test: test_simulation_and -> ok
Test: test_simulation_inc -> ok
Test: test_simulation_decoder -> ok
Test: test_simulation_nand -> ok
Test: test_simulation_nor -> ok
Test: test_simulation_mux -> ok
Test: test_simulation_or -> ok
Test: test_simulation_seq -> ok
Test: test_intermout -> ok
Test: test_simulation_sop -> ok
Test: test_simulation_vlib -> ok
Test: test_simulation_techmap -> ok
Test: test_simulation_xnor -> ok
Test: test_simulation_xor -> ok
Test: test_simulation_shifter -> ok
Test: test_simulation_techmap_tech -> ok
make[3]: Leaving directory '/<<PKGBUILDDIR>>/tests/hana'
cd tests/asicworld && bash run-test.sh ""
make[3]: Entering directory '/<<PKGBUILDDIR>>/tests/asicworld'
Test: code_hdl_models_clk_div -> ok
Test: code_hdl_models_GrayCounter -> ok
Test: code_hdl_models_arbiter -> ok
Test: code_hdl_models_d_ff_gates -> ok
Test: code_hdl_models_d_latch_gates -> ok
Test: code_hdl_models_clk_div_45 -> ok
Test: code_hdl_models_decoder_2to4_gates -> ok
Test: code_hdl_models_decoder_using_assign -> ok
Test: code_hdl_models_decoder_using_case -> ok
Test: code_hdl_models_dff_async_reset -> ok
Test: code_hdl_models_dff_sync_reset -> ok
Test: code_hdl_models_encoder_4to2_gates -> ok
Test: code_hdl_models_encoder_using_case -> ok
Test: code_hdl_models_full_adder_gates -> ok
Test: code_hdl_models_full_subtracter_gates -> ok
Test: code_hdl_models_encoder_using_if -> ok
Test: code_hdl_models_gray_counter -> ok
Test: code_hdl_models_half_adder_gates -> ok
Test: code_hdl_models_lfsr -> ok
Test: code_hdl_models_mux_2to1_gates -> ok
Test: code_hdl_models_lfsr_updown -> ok
Test: code_hdl_models_mux_using_assign -> ok
Test: code_hdl_models_mux_using_case -> ok
Test: code_hdl_models_mux_using_if -> ok
Test: code_hdl_models_one_hot_cnt -> ok
Test: code_hdl_models_parity_using_assign -> ok
Test: code_hdl_models_parity_using_bitwise -> ok
Test: code_hdl_models_parallel_crc -> ok
Test: code_hdl_models_parity_using_function -> ok
Test: code_hdl_models_rom_using_case -> ok
Test: code_hdl_models_pri_encoder_using_assign -> ok
Test: code_hdl_models_serial_crc -> ok
Test: code_hdl_models_tff_async_reset -> ok
Test: code_hdl_models_tff_sync_reset -> ok
Test: code_hdl_models_up_counter -> ok
Test: code_hdl_models_up_counter_load -> ok
Test: code_hdl_models_up_down_counter -> ok
Test: code_tidbits_asyn_reset -> ok
Test: code_specman_switch_fabric -> ok
Test: code_tidbits_blocking -> ok
Test: code_hdl_models_uart -> ok
Test: code_tidbits_fsm_using_always -> ok
Test: code_tidbits_fsm_using_function -> ok
Test: code_tidbits_nonblocking -> ok
Test: code_tidbits_fsm_using_single_always -> ok
Test: code_tidbits_reg_combo_example -> ok
Test: code_tidbits_reg_seq_example -> ok
Test: code_tidbits_wire_example -> ok
Test: code_tidbits_syn_reset -> ok
Test: code_verilog_tutorial_addbit -> ok
Test: code_verilog_tutorial_always_example -> ok
Test: code_verilog_tutorial_bus_con -> ok
Test: code_verilog_tutorial_comment -> ok
Test: code_verilog_tutorial_counter -> ok
Test: code_verilog_tutorial_d_ff -> ok
Test: code_verilog_tutorial_decoder_always -> ok
Test: code_verilog_tutorial_decoder -> ok
Test: code_verilog_tutorial_escape_id -> ok
Test: code_verilog_tutorial_first_counter -> ok
Test: code_verilog_tutorial_flip_flop -> ok
Test: code_verilog_tutorial_explicit -> ok
Test: code_verilog_tutorial_if_else -> ok
Test: code_verilog_tutorial_good_code -> ok
Test: code_verilog_tutorial_multiply -> ok
Test: code_verilog_tutorial_fsm_full -> ok
Test: code_verilog_tutorial_mux_21 -> ok
Test: code_verilog_tutorial_n_out_primitive -> ok
Test: code_verilog_tutorial_parallel_if -> ok
Test: code_verilog_tutorial_simple_function -> ok
Test: code_verilog_tutorial_parity -> ok
Test: code_verilog_tutorial_simple_if -> ok
Test: code_verilog_tutorial_task_global -> ok
Test: code_verilog_tutorial_v2k_reg -> ok
Test: code_verilog_tutorial_tri_buf -> ok
Test: code_verilog_tutorial_which_clock -> ok
Test: code_hdl_models_cam -> ok
make[3]: Leaving directory '/<<PKGBUILDDIR>>/tests/asicworld'
#+cd tests/realmath && bash run-test.sh ""
cd tests/share && bash run-test.sh ""
generating tests..
running tests..
[0][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][19][20][21][22][23][24][25][26][27][28][29][30][31][32][33][34][35][36][37][38][39][40][41][42][43][44][45][46][47][48][49][50][51][52][53][54][55][56][57][58][59][60][61][62][63][64][65][66][67][68][69][70][71][72][73][74][75][76][77][78][79][80][81][82][83][84][85][86][87][88][89][90][91][92][93][94][95][96][97][98][99]
cd tests/opt_share && bash run-test.sh ""
generating tests..
running tests..
make[3]: Entering directory '/<<PKGBUILDDIR>>/tests/opt_share'
[0][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][19][20][21][22][23][24][25][26][27][28][29][30][31][32][33][34][35][36][37][38][39][40][41][42][43][44][45][46][47][48][49][50][51][52][53][54][55][56][57][58][59][60][61][62][63][64][65][66][67][68][69][70][71][72][73][74][75][76][77][78][79][80][81][82][83][84][85][86][87][88][89][90][91][92][93][94][95][96][97][98][99]make[3]: Leaving directory '/<<PKGBUILDDIR>>/tests/opt_share'

cd tests/fsm && bash run-test.sh ""
generating tests..
PRNG seed: 566523427
running tests..
make[3]: Entering directory '/<<PKGBUILDDIR>>/tests/fsm'
[0][1][2][3]Warning: Regarding the user-specified fsm_encoding attribute on gate.state:
    Users of state reg look like FSM recoding might result in larger circuit.
    Doesn't look like a proper FSM. Possible simulation-synthesis mismatch!
K[4]Warning: Regarding the user-specified fsm_encoding attribute on gate.state:
    Users of state reg look like FSM recoding might result in larger circuit.
    Doesn't look like a proper FSM. Possible simulation-synthesis mismatch!
K[5]Warning: Regarding the user-specified fsm_encoding attribute on gate.state:
    Users of state reg look like FSM recoding might result in larger circuit.
    Doesn't look like a proper FSM. Possible simulation-synthesis mismatch!
K[6]Warning: Regarding the user-specified fsm_encoding attribute on gate.state:
    Users of state reg look like FSM recoding might result in larger circuit.
    Doesn't look like a proper FSM. Possible simulation-synthesis mismatch!
K[7]K[8]Warning: Regarding the user-specified fsm_encoding attribute on gate.state:
    Users of state reg look like FSM recoding might result in larger circuit.
    Doesn't look like a proper FSM. Possible simulation-synthesis mismatch!
K[9]Warning: Regarding the user-specified fsm_encoding attribute on gate.state:
    Users of state reg look like FSM recoding might result in larger circuit.
    Doesn't look like a proper FSM. Possible simulation-synthesis mismatch!
Warning: Regarding the user-specified fsm_encoding attribute on gate.state:
    Users of state reg look like FSM recoding might result in larger circuit.
    Doesn't look like a proper FSM. Possible simulation-synthesis mismatch!
K[10]Warning: Regarding the user-specified fsm_encoding attribute on gate.state:
    Users of state reg look like FSM recoding might result in larger circuit.
    Doesn't look like a proper FSM. Possible simulation-synthesis mismatch!
T[11]K[12]Warning: Regarding the user-specified fsm_encoding attribute on gate.state:
    Users of state reg look like FSM recoding might result in larger circuit.
    Doesn't look like a proper FSM. Possible simulation-synthesis mismatch!
K[13]K[14]Warning: Regarding the user-specified fsm_encoding attribute on gate.state:
    Users of state reg look like FSM recoding might result in larger circuit.
    Doesn't look like a proper FSM. Possible simulation-synthesis mismatch!
K[15]K[16]K[17]Warning: Regarding the user-specified fsm_encoding attribute on gate.state:
    Users of state reg look like FSM recoding might result in larger circuit.
    Doesn't look like a proper FSM. Possible simulation-synthesis mismatch!
Warning: Regarding the user-specified fsm_encoding attribute on gate.state:
    Users of state reg look like FSM recoding might result in larger circuit.
    Doesn't look like a proper FSM. Possible simulation-synthesis mismatch!
K[18]Warning: Regarding the user-specified fsm_encoding attribute on gate.state:
    Users of state reg look like FSM recoding might result in larger circuit.
    Doesn't look like a proper FSM. Possible simulation-synthesis mismatch!
K[19]Warning: Regarding the user-specified fsm_encoding attribute on gate.state:
    Users of state reg look like FSM recoding might result in larger circuit.
    Doesn't look like a proper FSM. Possible simulation-synthesis mismatch!
K[20]Warning: Regarding the user-specified fsm_encoding attribute on gate.state:
    Users of state reg look like FSM recoding might result in larger circuit.
    Doesn't look like a proper FSM. Possible simulation-synthesis mismatch!
K[21]Warning: Regarding the user-specified fsm_encoding attribute on gate.state:
    Users of state reg look like FSM recoding might result in larger circuit.
    Doesn't look like a proper FSM. Possible simulation-synthesis mismatch!
K[22]K[23]K[24]Warning: Regarding the user-specified fsm_encoding attribute on gate.state:
    Users of state reg look like FSM recoding might result in larger circuit.
    Doesn't look like a proper FSM. Possible simulation-synthesis mismatch!
K[25]Warning: Regarding the user-specified fsm_encoding attribute on gate.state:
    Users of state reg look like FSM recoding might result in larger circuit.
    Doesn't look like a proper FSM. Possible simulation-synthesis mismatch!
Warning: Regarding the user-specified fsm_encoding attribute on gate.state:
    Users of state reg look like FSM recoding might result in larger circuit.
    Doesn't look like a proper FSM. Possible simulation-synthesis mismatch!
K[26]K[27]K[28]Warning: Regarding the user-specified fsm_encoding attribute on gate.state:
    Users of state reg look like FSM recoding might result in larger circuit.
    Doesn't look like a proper FSM. Possible simulation-synthesis mismatch!
K[29]K[30]K[31]Warning: Regarding the user-specified fsm_encoding attribute on gate.state:
    Users of state reg look like FSM recoding might result in larger circuit.
    Doesn't look like a proper FSM. Possible simulation-synthesis mismatch!
K[32]K[33]Warning: Regarding the user-specified fsm_encoding attribute on gate.state:
    Users of state reg look like FSM recoding might result in larger circuit.
    Doesn't look like a proper FSM. Possible simulation-synthesis mismatch!
K[34]Warning: Regarding the user-specified fsm_encoding attribute on gate.state:
    Users of state reg look like FSM recoding might result in larger circuit.
    Doesn't look like a proper FSM. Possible simulation-synthesis mismatch!
K[35]K[36]Warning: Regarding the user-specified fsm_encoding attribute on gate.state:
    Users of state reg look like FSM recoding might result in larger circuit.
    Doesn't look like a proper FSM. Possible simulation-synthesis mismatch!
K[37]K[38]K[39]Warning: Regarding the user-specified fsm_encoding attribute on gate.state:
    Users of state reg look like FSM recoding might result in larger circuit.
    Doesn't look like a proper FSM. Possible simulation-synthesis mismatch!
Warning: Regarding the user-specified fsm_encoding attribute on gate.state:
    Users of state reg look like FSM recoding might result in larger circuit.
    Doesn't look like a proper FSM. Possible simulation-synthesis mismatch!
K[40]Warning: Regarding the user-specified fsm_encoding attribute on gate.state:
    Users of state reg look like FSM recoding might result in larger circuit.
    Doesn't look like a proper FSM. Possible simulation-synthesis mismatch!
K[41]K[42]Warning: Regarding the user-specified fsm_encoding attribute on gate.state:
    Users of state reg look like FSM recoding might result in larger circuit.
    Doesn't look like a proper FSM. Possible simulation-synthesis mismatch!
K[43]Warning: Regarding the user-specified fsm_encoding attribute on gate.state:
    Users of state reg look like FSM recoding might result in larger circuit.
    Doesn't look like a proper FSM. Possible simulation-synthesis mismatch!
K[44]K[45]Warning: Regarding the user-specified fsm_encoding attribute on gate.state:
    Users of state reg look like FSM recoding might result in larger circuit.
    Doesn't look like a proper FSM. Possible simulation-synthesis mismatch!
K[46]K[47]K[48]K[49]KWarning: Regarding the user-specified fsm_encoding attribute on gate.state:
    Users of state reg look like FSM recoding might result in larger circuit.
    Doesn't look like a proper FSM. Possible simulation-synthesis mismatch!
KKT
make[3]: Leaving directory '/<<PKGBUILDDIR>>/tests/fsm'
cd tests/techmap && bash run-test.sh
make[3]: Entering directory '/<<PKGBUILDDIR>>/tests/techmap'
Warning: wire '\Q' is assigned in a block at <<EOT:9.5-9.11.
Warning: wire '\Q' is assigned in a block at <<EOT:11.5-11.11.
Passed aigmap.ys
Passed adff2dff.ys
Passed bug2183.ys
Warning: Resizing cell port top.s0.f.j from 2 bits to 1 bits.
Passed bug2321.ys
Passed autopurge.ys
Passed bug2332.ys
Passed cellname.ys
Passed clkbufmap.ys
Passed bug2759.ys
Warning: wire '\Q' is assigned in a block at <<EOT:8.3-8.9.
Passed dff2ff.ys
Passed dffinit.ys
Passed bug2972.ys
Warning: Emulating mismatched async reset and init with several FFs and a mux for top.adffe1_.ff1
Warning: Emulating mismatched async reset and init with several FFs and a mux for top.adffe1_.ff2
Warning: Emulating mismatched async reset and init with several FFs and a mux for top.adffe1_.ff3
Warning: Emulating mismatched async reset and init with several FFs and a mux for top.adff1_.ff1
Warning: Emulating mismatched async reset and init with several FFs and a mux for top.adff1_.ff2
Warning: Emulating mismatched async reset and init with several FFs and a mux for top.adffe1_.ff0
Warning: Emulating mismatched async reset and init with several FFs and a mux for top.adff1_.ff0
Warning: Emulating mismatched async reset and init with several FFs and a mux for top.adffe0_.ff1
Warning: Emulating mismatched async reset and init with several FFs and a mux for top.adffe0_.ff2
Warning: Emulating mismatched async reset and init with several FFs and a mux for top.adffe0_.ff3
Warning: Emulating mismatched async reset and init with several FFs and a mux for top.adff0_.ff1
Warning: Emulating mismatched async reset and init with several FFs and a mux for top.adff0_.ff2
Warning: Emulating mismatched async reset and init with several FFs and a mux for top.adffe0_.ff0
Warning: Emulating mismatched async reset and init with several FFs and a mux for top.adff0_.ff0
Passed dfflegalize_adff.ys
Passed dfflegalize_adlatch.ys
Warning: Emulating mismatched async reset and init with several latches and a mux for top.adlatch1_.ff1
Warning: Emulating mismatched async reset and init with several latches and a mux for top.adlatch1_.ff2
Warning: Emulating mismatched async reset and init with several latches and a mux for top.adlatch1_.ff0
Warning: Emulating mismatched async reset and init with several latches and a mux for top.adlatch0_.ff1
Warning: Emulating mismatched async reset and init with several latches and a mux for top.adlatch0_.ff2
Warning: Emulating mismatched async reset and init with several latches and a mux for top.adlatch0_.ff0
Warning: Emulating mismatched async reset and init with several latches and a mux for adlatch1.ff2
Warning: Emulating mismatched async reset and init with several latches and a mux for adlatch1.ff1
Warning: Emulating mismatched async reset and init with several latches and a mux for adlatch1.ff0
Warning: Emulating mismatched async reset and init with several latches and a mux for adlatch0.ff2
Warning: Emulating mismatched async reset and init with several latches and a mux for adlatch0.ff1
Warning: Emulating mismatched async reset and init with several latches and a mux for adlatch0.ff0
Passed dfflegalize_adlatch_init.ys
Passed dfflegalize_aldff.ys
Passed dfflegalize_aldff_init.ys
Warning: Emulating mismatched async reset and init with several FFs and a mux for adffe1.ff3
Warning: Emulating mismatched async reset and init with several FFs and a mux for adffe1.ff2
Warning: Emulating mismatched async reset and init with several FFs and a mux for adffe1.ff1
Warning: Emulating mismatched async reset and init with several FFs and a mux for adffe1.ff0
Warning: Emulating mismatched async reset and init with several FFs and a mux for adff1.ff2
Warning: Emulating mismatched async reset and init with several FFs and a mux for adff1.ff1
Warning: Emulating mismatched async reset and init with several FFs and a mux for adff1.ff0
Warning: Emulating mismatched async reset and init with several FFs and a mux for adffe0.ff3
Warning: Emulating mismatched async reset and init with several FFs and a mux for adffe0.ff2
Warning: Emulating mismatched async reset and init with several FFs and a mux for adffe0.ff1
Warning: Emulating mismatched async reset and init with several FFs and a mux for adffe0.ff0
Warning: Emulating mismatched async reset and init with several FFs and a mux for adff0.ff2
Warning: Emulating mismatched async reset and init with several FFs and a mux for adff0.ff1
Warning: Emulating mismatched async reset and init with several FFs and a mux for adff0.ff0
Passed dfflegalize_adff_init.ys
Passed abc9.ys
Warning: Emulating async set + reset with several FFs and a mux for top.dffsre_.ff1
Warning: Emulating async set + reset with several FFs and a mux for top.dffsre_.ff2
Warning: Emulating async set + reset with several FFs and a mux for top.dffsre_.ff3
Warning: Emulating async set + reset with several FFs and a mux for top.dffsre_.ff4
Warning: Emulating async set + reset with several FFs and a mux for top.dffsr_.ff1
Warning: Emulating async set + reset with several FFs and a mux for top.dffsr_.ff2
Warning: Emulating async set + reset with several FFs and a mux for top.dffsr_.ff3
Warning: Emulating async set + reset with several FFs and a mux for top.dffsre_.ff0
Warning: Emulating async set + reset with several FFs and a mux for top.dffsr_.ff0
Passed cmp2lcu.ys
Warning: Emulating async set + reset with several FFs and a mux for top.dffsre1_.ff1
Warning: Emulating async set + reset with several FFs and a mux for top.dffsre1_.ff2
Warning: Emulating async set + reset with several FFs and a mux for top.dffsre1_.ff3
Warning: Emulating async set + reset with several FFs and a mux for top.dffsre1_.ff4
Warning: Emulating async set + reset with several FFs and a mux for top.dffsre0_.ff1
Warning: Emulating async set + reset with several FFs and a mux for top.dffsre0_.ff2
Warning: Emulating async set + reset with several FFs and a mux for top.dffsre0_.ff3
Warning: Emulating async set + reset with several FFs and a mux for top.dffsre0_.ff4
Warning: Emulating async set + reset with several FFs and a mux for top.dffsr1_.ff1
Warning: Emulating async set + reset with several FFs and a mux for top.dffsr1_.ff2
Warning: Emulating async set + reset with several FFs and a mux for top.dffsr1_.ff3
Warning: Emulating async set + reset with several FFs and a mux for top.dffsr0_.ff1
Warning: Emulating async set + reset with several FFs and a mux for top.dffsr0_.ff2
Warning: Emulating async set + reset with several FFs and a mux for top.dffsr0_.ff3
Warning: Emulating async set + reset with several FFs and a mux for top.dffsre1_.ff0
Warning: Emulating async set + reset with several FFs and a mux for top.dffsre0_.ff0
Warning: Emulating async set + reset with several FFs and a mux for top.dffsr1_.ff0
Warning: Emulating async set + reset with several FFs and a mux for top.dffsr0_.ff0
Warning: Emulating async set + reset with several FFs and a mux for dffsre.ff4
Warning: Emulating async set + reset with several FFs and a mux for dffsre.ff3
Warning: Emulating async set + reset with several FFs and a mux for dffsre.ff2
Warning: Emulating async set + reset with several FFs and a mux for dffsre.ff1
Warning: Emulating async set + reset with several FFs and a mux for dffsre.ff0
Warning: Emulating async set + reset with several FFs and a mux for dffsr.ff3
Warning: Emulating async set + reset with several FFs and a mux for dffsr.ff2
Warning: Emulating async set + reset with several FFs and a mux for dffsr.ff1
Warning: Emulating async set + reset with several FFs and a mux for dffsr.ff0
Passed dfflegalize_dffsr.ys
Passed dfflegalize_dlatch.ys
Passed dfflegalize_dlatch_const.ys
Passed dfflegalize_dlatch_init.ys
Warning: Emulating async set + reset with several FFs and a mux for dlatchsr.ff3
Warning: Emulating async set + reset with several FFs and a mux for dlatchsr.ff2
Warning: Emulating async set + reset with several FFs and a mux for dlatchsr.ff1
Warning: Emulating async set + reset with several FFs and a mux for dlatchsr.ff0
Passed dfflegalize_dlatchsr.ys
Warning: Emulating async set + reset with several FFs and a mux for top.dlatchsr1_.ff1
Warning: Emulating async set + reset with several FFs and a mux for top.dlatchsr1_.ff2
Warning: Emulating async set + reset with several FFs and a mux for top.dlatchsr1_.ff3
Warning: Emulating async set + reset with several FFs and a mux for top.dlatchsr0_.ff1
Warning: Emulating async set + reset with several FFs and a mux for top.dlatchsr0_.ff2
Warning: Emulating async set + reset with several FFs and a mux for top.dlatchsr0_.ff3
Warning: Emulating async set + reset with several FFs and a mux for top.dlatchsr1_.ff0
Warning: Emulating async set + reset with several FFs and a mux for top.dlatchsr0_.ff0
Passed dfflegalize_dff.ys
Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dlatchsr1_.ff1 [$_DLATCHSR_PPN_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dlatchsr1_.ff2 [$_DLATCHSR_PNP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dlatchsr1_.ff3 [$_DLATCHSR_NPP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dlatchsr1_.ff0 [$_DLATCHSR_PPP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dlatchsr0_.ff1 [$_DLATCHSR_PPN_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dlatchsr0_.ff2 [$_DLATCHSR_PNP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dlatchsr0_.ff3 [$_DLATCHSR_NPP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dlatchsr0_.ff0 [$_DLATCHSR_PPP_].
Warning: Emulating async set + reset with several FFs and a mux for dlatchsr1.ff3
Warning: Emulating async set + reset with several FFs and a mux for dlatchsr1.ff2
Warning: Emulating async set + reset with several FFs and a mux for dlatchsr1.ff1
Warning: Emulating async set + reset with several FFs and a mux for dlatchsr1.ff0
Warning: Emulating async set + reset with several FFs and a mux for dlatchsr0.ff3
Warning: Emulating async set + reset with several FFs and a mux for dlatchsr0.ff2
Warning: Emulating async set + reset with several FFs and a mux for dlatchsr0.ff1
Warning: Emulating async set + reset with several FFs and a mux for dlatchsr0.ff0
Warning: Flipping D/Q/init and inserting priority fixup to legalize dlatchsr1.ff3 [$_DLATCHSR_NPP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize dlatchsr1.ff2 [$_DLATCHSR_PNP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize dlatchsr1.ff1 [$_DLATCHSR_PPN_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize dlatchsr1.ff0 [$_DLATCHSR_PPP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize dlatchsr0.ff3 [$_DLATCHSR_NPP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize dlatchsr0.ff2 [$_DLATCHSR_PNP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize dlatchsr0.ff1 [$_DLATCHSR_PPN_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize dlatchsr0.ff0 [$_DLATCHSR_PPP_].
Passed dfflegalize_dlatchsr_init.ys
Passed dfflegalize_mince.ys
Passed dfflegalize_minsrst.ys
Passed dfflegalize_sr.ys
Warning: Flipping D/Q/init and inserting priority fixup to legalize top.sr1_.ff1 [$_SR_PN_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize top.sr1_.ff2 [$_SR_NP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize top.sr1_.ff0 [$_SR_PP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize top.sr0_.ff1 [$_SR_PN_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize top.sr0_.ff2 [$_SR_NP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize top.sr0_.ff0 [$_SR_PP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dffsre1_.ff1 [$_DFFSRE_PPPN_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dffsre1_.ff2 [$_DFFSRE_PPNP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dffsre1_.ff3 [$_DFFSRE_PNPP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dffsre1_.ff4 [$_DFFSRE_NPPP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dffsr1_.ff1 [$_DFFSR_PPN_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dffsr1_.ff2 [$_DFFSR_PNP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dffsr1_.ff3 [$_DFFSR_NPP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dffsre1_.ff0 [$_DFFSRE_PPPP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dffsr1_.ff0 [$_DFFSR_PPP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize sr1.ff2 [$_SR_NP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize sr1.ff1 [$_SR_PN_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize sr1.ff0 [$_SR_PP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize sr0.ff2 [$_SR_NP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize sr0.ff1 [$_SR_PN_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize sr0.ff0 [$_SR_PP_].
Passed dfflegalize_sr_init.ys
Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dffsre0_.ff1 [$_DFFSRE_PPPN_].
Warning: Complex async reset for dff `\Q'.
Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dffsre0_.ff2 [$_DFFSRE_PPNP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dffsre0_.ff3 [$_DFFSRE_PNPP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dffsre0_.ff4 [$_DFFSRE_NPPP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dffsr0_.ff1 [$_DFFSR_PPN_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dffsr0_.ff2 [$_DFFSR_PNP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dffsr0_.ff3 [$_DFFSR_NPP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dffsre0_.ff0 [$_DFFSRE_PPPP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dffsr0_.ff0 [$_DFFSR_PPP_].
Passed dfflibmap.ys
Passed dfflegalize_inv.ys
Passed extractinv.ys
Warning: Yosys has only limited support for tri-state logic at the moment. (<<EOT:23)
Warning: Yosys has only limited support for tri-state logic at the moment. (<<EOT:29)
Warning: Yosys has only limited support for tri-state logic at the moment. (<<EOT:35)
Warning: Yosys has only limited support for tri-state logic at the moment. (<<EOT:58)
Passed dffunmap.ys
Warning: Yosys has only limited support for tri-state logic at the moment. (<<EOT:14)
Warning: Yosys has only limited support for tri-state logic at the moment. (<<EOT:18)
Passed iopadmap.ys
Passed pmux2mux.ys
Passed techmap_replace.ys
Passed wireinit.ys
Warning: Emulating async set + reset with several FFs and a mux for dffsre1.ff4
Warning: Emulating async set + reset with several FFs and a mux for dffsre1.ff3
Warning: Emulating async set + reset with several FFs and a mux for dffsre1.ff2
Warning: Emulating async set + reset with several FFs and a mux for dffsre1.ff1
Warning: Emulating async set + reset with several FFs and a mux for dffsre1.ff0
Warning: Emulating async set + reset with several FFs and a mux for dffsre0.ff4
Warning: Emulating async set + reset with several FFs and a mux for dffsre0.ff3
Warning: Emulating async set + reset with several FFs and a mux for dffsre0.ff2
Warning: Emulating async set + reset with several FFs and a mux for dffsre0.ff1
Warning: Emulating async set + reset with several FFs and a mux for dffsre0.ff0
Warning: Emulating async set + reset with several FFs and a mux for dffsr1.ff3
Warning: Emulating async set + reset with several FFs and a mux for dffsr1.ff2
Warning: Emulating async set + reset with several FFs and a mux for dffsr1.ff1
Warning: Emulating async set + reset with several FFs and a mux for dffsr1.ff0
Warning: Emulating async set + reset with several FFs and a mux for dffsr0.ff3
Warning: Emulating async set + reset with several FFs and a mux for dffsr0.ff2
Warning: Emulating async set + reset with several FFs and a mux for dffsr0.ff1
Warning: Emulating async set + reset with several FFs and a mux for dffsr0.ff0
Warning: Flipping D/Q/init and inserting priority fixup to legalize dffsre1.ff4 [$_DFFSRE_NPPP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize dffsre1.ff3 [$_DFFSRE_PNPP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize dffsre1.ff2 [$_DFFSRE_PPNP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize dffsre1.ff1 [$_DFFSRE_PPPN_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize dffsre1.ff0 [$_DFFSRE_PPPP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize dffsr1.ff3 [$_DFFSR_NPP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize dffsr1.ff2 [$_DFFSR_PNP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize dffsr1.ff1 [$_DFFSR_PPN_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize dffsr1.ff0 [$_DFFSR_PPP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize dffsre0.ff4 [$_DFFSRE_NPPP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize dffsre0.ff3 [$_DFFSRE_PNPP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize dffsre0.ff2 [$_DFFSRE_PPNP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize dffsre0.ff1 [$_DFFSRE_PPPN_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize dffsre0.ff0 [$_DFFSRE_PPPP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize dffsr0.ff3 [$_DFFSR_NPP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize dffsr0.ff2 [$_DFFSR_PNP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize dffsr0.ff1 [$_DFFSR_PPN_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize dffsr0.ff0 [$_DFFSR_PPP_].
Passed dfflegalize_dffsr_init.ys
Passed zinit.ys
Passed recursive_runtest.sh
Passed mem_simple_4x1_runtest.sh
Passed shiftx2mux.ys
Passed dfflegalize_dff_init.ys
make[3]: Leaving directory '/<<PKGBUILDDIR>>/tests/techmap'
cd tests/memories && bash run-test.sh "-A berkeley-abc" ""
make[3]: Entering directory '/<<PKGBUILDDIR>>/tests/memories'
Test: implicit_en -> ok
Test: firrtl_938 -> ok
Test: no_implicit_en -> ok
Test: amber23_sram_byte_en -> ok
Test: issue00335 -> ok
Test: issue00710 -> ok
Test: read_arst -> ok
Test: read_two_mux -> ok
Test: simple_sram_byte_en -> ok
Test: shared_ports -> ok
Test: trans_addr_enable -> ok
Test: wide_all -> ok
Test: trans_sdp -> ok
Test: trans_sp -> ok
Test: wide_read_async -> ok
Test: wide_read_mixed -> ok
Test: wide_read_sync -> ok
Test: wide_read_trans -> ok
Test: wide_thru_priority -> ok
Test: wide_write -> ok
make[3]: Leaving directory '/<<PKGBUILDDIR>>/tests/memories'
Testing expectations for amber23_sram_byte_en.v .. ok.
Testing expectations for implicit_en.v .. ok.
Testing expectations for issue00335.v .. ok.
Testing expectations for issue00710.v .. ok.
Testing expectations for no_implicit_en.v .. ok.
Testing expectations for read_arst.v .. ok.
Testing expectations for read_two_mux.v .. ok.
Testing expectations for shared_ports.v .. ok.
Testing expectations for simple_sram_byte_en.v .. ok.
Testing expectations for trans_addr_enable.v .. ok.
Testing expectations for trans_sdp.v .. ok.
Testing expectations for trans_sp.v .. ok.
Testing expectations for wide_all.v .. ok.
Testing expectations for wide_read_async.v .. ok.
Testing expectations for wide_read_mixed.v .. ok.
Testing expectations for wide_read_sync.v .. ok.
Testing expectations for wide_read_trans.v .. ok.
Testing expectations for wide_thru_priority.v .. ok.
Testing expectations for wide_write.v .. ok.
cd tests/memlib && bash run-test.sh ""
make[3]: Entering directory '/<<PKGBUILDDIR>>/tests/memlib'
Test: t_async_small -> ok
Test: t_async_small_block -> ok
Test: t_sync_big -> ok
Test: t_sync_big_sdp -> ok
Test: t_sync_small -> ok
Test: t_sync_small_block -> ok
Test: t_sync_small_block_attr -> ok
Test: t_tdp -> ok
Test: t_sync_2clk -> ok
Test: t_sync_shared -> ok
Test: t_sync_2clk_shared -> ok
Test: t_sync_trans_old_old -> ok
Test: t_sync_trans_old_new -> ok
Test: t_async_big -> ok
Test: t_sync_trans_old_none -> ok
Test: t_sync_trans_new_old -> ok
Test: t_sync_trans_new_new -> ok
Test: t_sync_trans_new_none -> ok
Test: t_sp_nc_none -> ok
Test: t_sp_new_none -> ok
Test: t_sync_big_lut -> ok
Test: t_sp_nc_nc -> ok
Test: t_sp_old_none -> ok
Test: t_sp_new_nc -> ok
Test: t_sp_nc_new -> ok
Test: t_sp_old_nc -> ok
Test: t_sp_new_new -> ok
Test: t_sp_nc_old -> ok
Test: t_sp_new_old -> ok
Test: t_sp_old_new -> ok
Test: t_sp_old_old -> ok
Test: t_sp_nc_new_only -> ok
Test: t_sp_new_new_only -> ok
Test: t_sp_new_new_only_be -> ok
Test: t_sp_old_new_only -> ok
Test: t_sp_nc_new_only_be -> ok
Test: t_sp_new_new_be -> ok
Test: t_sp_nc_new_be -> ok
Test: t_sp_old_new_only_be -> ok
Test: t_sp_nc_old_be -> ok
Test: t_sp_old_new_be -> ok
Test: t_sp_new_old_be -> ok
Test: t_sp_nc_nc_be -> ok
Test: t_sp_old_old_be -> ok
Test: t_sp_new_nc_be -> ok
Test: t_sp_new_auto -> ok
Test: t_sp_nc_auto -> ok
Test: t_sp_old_nc_be -> ok
Test: t_sp_old_auto -> ok
Test: t_sp_nc_auto_be -> ok
Test: t_sp_new_auto_be -> ok
Test: t_sp_old_auto_be -> ok
Test: t_sp_init_x_x -> ok
Test: t_sp_init_x_x_re -> ok
Test: t_sp_init_x_x_ce -> ok
Test: t_sp_init_0_x_re -> ok
Test: t_sp_init_0_x -> ok
Test: t_sp_init_0_0 -> ok
Test: t_sp_init_0_0_re -> ok
Test: t_sp_init_0_any -> ok
Test: t_sp_init_0_any_re -> ok
Test: t_sp_init_v_x -> ok
Test: t_sp_init_v_x_re -> ok
Test: t_sp_init_v_0 -> ok
Test: t_sp_init_v_0_re -> ok
Test: t_sp_init_v_any -> ok
Test: t_sp_init_v_any_re -> ok
Test: t_sp_arst_x_x -> ok
Test: t_sp_arst_x_x_re -> ok
Test: t_sp_arst_0_x -> ok
Test: t_sp_arst_0_x_re -> ok
Test: t_sp_arst_0_0 -> ok
Test: t_sp_arst_0_0_re -> ok
Test: t_sp_arst_0_any -> ok
Test: t_sp_arst_0_any_re -> ok
Test: t_sp_arst_0_init -> ok
Test: t_sp_arst_0_init_re -> ok
Test: t_sp_arst_v_x -> ok
Test: t_sp_arst_v_x_re -> ok
Test: t_sp_arst_v_0 -> ok
Test: t_sp_arst_v_0_re -> ok
Test: t_sp_arst_v_any -> ok
Test: t_sp_arst_v_any_re -> ok
Test: t_sp_arst_v_init -> ok
Test: t_sp_arst_v_init_re -> ok
Test: t_sp_arst_e_x -> ok
Test: t_sp_arst_e_x_re -> ok
Test: t_sp_arst_e_0 -> ok
Test: t_sp_arst_e_0_re -> ok
Test: t_sp_arst_e_any -> ok
Test: t_sp_arst_e_any_re -> ok
Test: t_sp_arst_e_init_re -> ok
Test: t_sp_arst_e_init -> ok
Test: t_sp_arst_n_x -> ok
Test: t_sp_arst_n_0 -> ok
Test: t_sp_arst_n_x_re -> ok
Test: t_sp_arst_n_0_re -> ok
Test: t_sp_arst_n_any -> ok
Test: t_sp_arst_n_any_re -> ok
Test: t_sp_arst_n_init -> ok
Test: t_sp_arst_n_init_re -> ok
Test: t_sp_srst_x_x -> ok
Test: t_sp_srst_x_x_re -> ok
Test: t_sp_srst_0_x -> ok
Test: t_sp_srst_0_x_re -> ok
Test: t_sp_srst_0_0 -> ok
Test: t_async_big_block -> ok
Test: t_sp_srst_0_0_re -> ok
Test: t_sp_srst_0_any -> ok
Test: t_sp_srst_0_any_re -> ok
Test: t_sp_srst_0_init -> ok
Test: t_sp_srst_0_init_re -> ok
Test: t_sp_srst_v_x -> ok
Test: t_sp_srst_v_x_re -> ok
Test: t_sp_srst_v_0 -> ok
Test: t_sp_srst_v_0_re -> ok
Test: t_sp_srst_v_any -> ok
Test: t_sp_srst_v_any_re -> ok
Test: t_sp_srst_v_any_re_gated -> ok
Test: t_sp_srst_v_any_ce -> ok
Test: t_sp_srst_v_any_ce_gated -> ok
Test: t_sp_srst_v_init -> ok
Test: t_sp_srst_v_init_re -> ok
Test: t_sp_srst_e_x -> ok
Test: t_sp_srst_e_x_re -> ok
Test: t_sp_srst_e_0 -> ok
Test: t_sp_srst_e_0_re -> ok
Test: t_sp_srst_e_any -> ok
Test: t_sp_srst_e_any_re -> ok
Test: t_sp_srst_e_init -> ok
Test: t_sp_srst_e_init_re -> ok
Test: t_sp_srst_n_x -> ok
Test: t_sp_srst_n_x_re -> ok
Test: t_sp_srst_n_0 -> ok
Test: t_sp_srst_n_0_re -> ok
Test: t_sp_srst_n_any -> ok
Test: t_sp_srst_n_any_re -> ok
Test: t_sp_srst_n_init -> ok
Test: t_sp_srst_n_init_re -> ok
Test: t_sp_srst_gv_x -> ok
Test: t_sp_srst_gv_x_re -> ok
Test: t_sp_srst_gv_0 -> ok
Test: t_sp_srst_gv_0_re -> ok
Test: t_sp_srst_gv_any_re -> ok
Test: t_sp_srst_gv_any -> ok
Test: t_sp_srst_gv_any_re_gated -> ok
Test: t_sp_srst_gv_any_ce -> ok
Test: t_sp_srst_gv_any_ce_gated -> ok
Test: t_sp_srst_gv_init_re -> ok
Test: t_sp_srst_gv_init -> ok
Test: t_wide_sdp_a6r1w1b1x1 -> ok
Test: t_wide_sdp_a7r1w1b1x1 -> ok
Test: t_wide_sdp_a6r0w0b0x0 -> ok
Test: t_wide_sdp_a8r1w1b1x1 -> ok
Test: t_wide_sdp_a6r2w0b0x0 -> ok
Test: t_wide_sdp_a6r1w0b0x0 -> ok
Test: t_wide_sdp_a6r3w0b0x0 -> ok
Test: t_wide_sdp_a6r4w0b0x0 -> ok
Test: t_wide_sdp_a6r0w1b0x0 -> ok
Test: t_wide_sdp_a6r0w1b1x0 -> ok
Test: t_wide_sdp_a6r5w0b0x0 -> ok
Test: t_wide_sdp_a6r0w2b0x0 -> ok
Test: t_wide_sdp_a6r0w2b2x0 -> ok
Test: t_wide_sdp_a6r0w3b2x0 -> ok
Test: t_wide_sdp_a6r0w4b2x0 -> ok
Test: t_wide_sdp_a7r0w0b0x0 -> ok
Test: t_wide_sdp_a7r1w0b0x0 -> ok
Test: t_wide_sdp_a6r0w5b2x0 -> ok
Test: t_wide_sdp_a7r2w0b0x0 -> ok
Test: t_wide_sdp_a7r3w0b0x0 -> ok
Test: t_wide_sdp_a7r4w0b0x0 -> ok
Test: t_wide_sdp_a7r5w0b0x0 -> ok
Test: t_wide_sdp_a7r0w1b0x0 -> ok
Test: t_wide_sdp_a7r0w1b1x0 -> ok
Test: t_wide_sdp_a7r0w2b0x0 -> ok
Test: t_wide_sdp_a7r0w2b2x0 -> ok
Test: t_wide_sdp_a7r0w3b2x0 -> ok
Test: t_wide_sdp_a7r0w4b2x0 -> ok
Test: t_wide_sp_mix_a7r1w1b1 -> ok
Test: t_wide_sp_mix_a6r1w1b1 -> ok
Test: t_wide_sdp_a7r0w5b2x0 -> ok
Test: t_wide_sp_mix_a8r1w1b1 -> ok
Test: t_wide_sp_mix_a6r0w0b0 -> ok
Test: t_wide_sp_mix_a6r1w0b0 -> ok
Test: t_wide_sp_mix_a6r2w0b0 -> ok
Test: t_wide_sp_mix_a6r3w0b0 -> ok
Test: t_wide_sp_mix_a6r4w0b0 -> ok
Test: t_wide_sp_mix_a6r0w1b0 -> ok
Test: t_wide_sp_mix_a6r5w0b0 -> ok
Test: t_wide_sp_mix_a6r0w1b1 -> ok
Test: t_wide_sp_mix_a6r0w2b0 -> ok
Test: t_wide_sp_mix_a6r0w2b2 -> ok
Test: t_wide_sp_mix_a6r0w3b2 -> ok
Test: t_wide_sp_mix_a6r0w4b2 -> ok
Test: t_wide_sp_mix_a7r0w0b0 -> ok
Test: t_wide_sp_mix_a7r1w0b0 -> ok
Test: t_wide_sp_mix_a6r0w5b2 -> ok
Test: t_wide_sp_mix_a7r2w0b0 -> ok
Test: t_wide_sp_mix_a7r3w0b0 -> ok
Test: t_wide_sp_mix_a7r4w0b0 -> ok
Test: t_wide_sp_mix_a7r0w1b1 -> ok
Test: t_wide_sp_mix_a7r0w1b0 -> ok
Test: t_wide_sp_mix_a7r5w0b0 -> ok
Test: t_wide_sp_mix_a7r0w2b0 -> ok
Test: t_wide_sp_mix_a7r0w2b2 -> ok
Test: t_wide_sp_mix_a7r0w3b2 -> ok
Test: t_wide_sp_mix_a7r0w4b2 -> ok
Test: t_wide_sp_tied_a6r1w1b1 -> ok
Test: t_wide_sp_tied_a7r1w1b1 -> ok
Test: t_wide_sp_mix_a7r0w5b2 -> ok
Test: t_wide_sp_tied_a8r1w1b1 -> ok
Test: t_wide_sp_tied_a6r0w0b0 -> ok
Test: t_wide_sp_tied_a6r1w0b0 -> ok
Test: t_wide_sp_tied_a6r2w0b0 -> ok
Test: t_wide_sp_tied_a6r3w0b0 -> ok
Test: t_wide_sp_tied_a6r4w0b0 -> ok
Test: t_wide_sp_tied_a6r0w1b0 -> ok
Test: t_wide_sp_tied_a6r0w1b1 -> ok
Test: t_wide_sp_tied_a6r5w0b0 -> ok
Test: t_wide_sp_tied_a6r0w2b0 -> ok
Test: t_wide_sp_tied_a6r0w2b2 -> ok
Test: t_wide_sp_tied_a6r0w3b2 -> ok
Test: t_wide_sp_tied_a7r0w0b0 -> ok
Test: t_wide_sp_tied_a6r0w4b2 -> ok
Test: t_wide_sp_tied_a6r0w5b2 -> ok
Test: t_wide_sp_tied_a7r1w0b0 -> ok
Test: t_wide_sp_tied_a7r2w0b0 -> ok
Test: t_wide_sp_tied_a7r3w0b0 -> ok
Test: t_wide_sp_tied_a7r0w1b0 -> ok
Test: t_wide_sp_tied_a7r4w0b0 -> ok
Test: t_wide_sp_tied_a7r0w1b1 -> ok
Test: t_wide_sp_tied_a7r5w0b0 -> ok
Test: t_wide_sp_tied_a7r0w2b0 -> ok
Test: t_wide_sp_tied_a7r0w2b2 -> ok
Test: t_wide_sp_tied_a7r0w3b2 -> ok
Test: t_wide_sp_tied_a7r0w4b2 -> ok
Test: t_wide_read_a6r1w1b1 -> ok
Test: t_wide_write_a6r1w1b1 -> ok
Test: t_wide_read_a7r1w1b1 -> ok
Test: t_wide_write_a7r1w1b1 -> ok
Test: t_wide_sp_tied_a7r0w5b2 -> ok
Test: t_wide_read_a8r1w1b1 -> ok
Test: t_wide_read_a6r0w0b0 -> ok
Test: t_wide_write_a6r0w0b0 -> ok
Test: t_wide_write_a8r1w1b1 -> ok
Test: t_wide_read_a6r1w0b0 -> ok
Test: t_wide_write_a6r1w0b0 -> ok
Test: t_wide_read_a6r2w0b0 -> ok
Test: t_wide_write_a6r2w0b0 -> ok
Test: t_wide_read_a6r3w0b0 -> ok
Test: t_wide_write_a6r3w0b0 -> ok
Test: t_wide_read_a6r4w0b0 -> ok
Test: t_wide_write_a6r4w0b0 -> ok
Test: t_wide_read_a6r0w1b0 -> ok
Test: t_wide_read_a6r5w0b0 -> ok
Test: t_wide_write_a6r0w1b0 -> ok
Test: t_wide_read_a6r0w1b1 -> ok
Test: t_wide_write_a6r5w0b0 -> ok
Test: t_wide_write_a6r0w1b1 -> ok
Test: t_wide_read_a6r0w2b0 -> ok
Test: t_wide_write_a6r0w2b0 -> ok
Test: t_wide_read_a6r0w2b2 -> ok
Test: t_wide_write_a6r0w2b2 -> ok
Test: t_wide_write_a6r0w3b2 -> ok
Test: t_wide_read_a6r0w3b2 -> ok
Test: t_wide_write_a6r0w4b2 -> ok
Test: t_wide_read_a6r0w4b2 -> ok
Test: t_wide_read_a7r0w0b0 -> ok
Test: t_wide_write_a7r0w0b0 -> ok
Test: t_wide_write_a6r0w5b2 -> ok
Test: t_wide_read_a6r0w5b2 -> ok
Test: t_wide_read_a7r1w0b0 -> ok
Test: t_wide_write_a7r1w0b0 -> ok
Test: t_wide_read_a7r2w0b0 -> ok
Test: t_wide_read_a7r3w0b0 -> ok
Test: t_wide_write_a7r2w0b0 -> ok
Test: t_wide_write_a7r3w0b0 -> ok
Test: t_wide_read_a7r4w0b0 -> ok
Test: t_wide_read_a7r5w0b0 -> ok
Test: t_wide_write_a7r4w0b0 -> ok
Test: t_wide_read_a7r0w1b0 -> ok
Test: t_wide_read_a7r0w1b1 -> ok
Test: t_wide_write_a7r5w0b0 -> ok
Test: t_wide_write_a7r0w1b0 -> ok
Test: t_wide_write_a7r0w1b1 -> ok
Test: t_wide_read_a7r0w2b0 -> ok
Test: t_wide_read_a7r0w2b2 -> ok
Test: t_wide_write_a7r0w2b0 -> ok
Test: t_wide_write_a7r0w2b2 -> ok
Test: t_wide_read_a7r0w3b2 -> ok
Test: t_wide_write_a7r0w3b2 -> ok
Test: t_wide_write_a7r0w4b2 -> ok
Test: t_wide_read_a7r0w4b2 -> ok
Test: t_wide_write_a7r0w5b2 -> ok
Test: t_wide_read_a7r0w5b2 -> ok
make[3]: Leaving directory '/<<PKGBUILDDIR>>/tests/memlib'
cd tests/bram && bash run-test.sh ""
generating tests..
PRNG seed: 982576
running tests..
make[3]: Entering directory '/<<PKGBUILDDIR>>/tests/bram'
../../techlibs/common/simlib.v:1373: warning: Port 1 (A) of $bmux expects 1 bits, got 32.
../../techlibs/common/simlib.v:1373:        : Pruning (signed) 31 high bits of the expression.
../../techlibs/common/simlib.v:1373: warning: Port 1 (A) of $bmux expects 1 bits, got 32.
../../techlibs/common/simlib.v:1373:        : Pruning (signed) 31 high bits of the expression.
../../techlibs/common/simlib.v:1373: warning: Port 1 (A) of $bmux expects 1 bits, got 32.
../../techlibs/common/simlib.v:1373:        : Pruning (signed) 31 high bits of the expression.
Passed memory_bram test 00_01.
Passed memory_bram test 00_03.
Passed memory_bram test 00_02.
../../techlibs/common/simlib.v:1373: warning: Port 1 (A) of $bmux expects 1 bits, got 32.
../../techlibs/common/simlib.v:1373:        : Pruning (signed) 31 high bits of the expression.
../../techlibs/common/simlib.v:1373: warning: Port 1 (A) of $bmux expects 1 bits, got 32.
../../techlibs/common/simlib.v:1373:        : Pruning (signed) 31 high bits of the expression.
../../techlibs/common/simlib.v:1373: warning: Port 1 (A) of $bmux expects 1 bits, got 32.
../../techlibs/common/simlib.v:1373:        : Pruning (signed) 31 high bits of the expression.
Passed memory_bram test 01_00.
../../techlibs/common/simlib.v:1373: warning: Port 1 (A) of $bmux expects 1 bits, got 32.
../../techlibs/common/simlib.v:1373:        : Pruning (signed) 31 high bits of the expression.
Passed memory_bram test 01_02.
Passed memory_bram test 01_03.
../../techlibs/common/simlib.v:1373: warning: Port 1 (A) of $bmux expects 1 bits, got 32.
../../techlibs/common/simlib.v:1373:        : Pruning (signed) 31 high bits of the expression.
../../techlibs/common/simlib.v:1373: warning: Port 1 (A) of $bmux expects 1 bits, got 32.
../../techlibs/common/simlib.v:1373:        : Pruning (signed) 31 high bits of the expression.
../../techlibs/common/simlib.v:1373: warning: Port 1 (A) of $bmux expects 1 bits, got 32.
../../techlibs/common/simlib.v:1373:        : Pruning (signed) 31 high bits of the expression.
Passed memory_bram test 02_00.
Passed memory_bram test 01_04.
Passed memory_bram test 00_04.
Passed memory_bram test 02_01.
../../techlibs/common/simlib.v:1373: warning: Port 1 (A) of $bmux expects 1 bits, got 32.
../../techlibs/common/simlib.v:1373:        : Pruning (signed) 31 high bits of the expression.
Passed memory_bram test 02_03.
../../techlibs/common/simlib.v:1373: warning: Port 1 (A) of $bmux expects 1 bits, got 32.
../../techlibs/common/simlib.v:1373:        : Pruning (signed) 31 high bits of the expression.
../../techlibs/common/simlib.v:1373: warning: Port 1 (A) of $bmux expects 1 bits, got 32.
../../techlibs/common/simlib.v:1373:        : Pruning (signed) 31 high bits of the expression.
Passed memory_bram test 03_00.
../../techlibs/common/simlib.v:1373: warning: Port 1 (A) of $bmux expects 1 bits, got 32.
../../techlibs/common/simlib.v:1373:        : Pruning (signed) 31 high bits of the expression.
Passed memory_bram test 03_02.
Passed memory_bram test 03_01.
../../techlibs/common/simlib.v:1373: warning: Port 1 (A) of $bmux expects 1 bits, got 32.
../../techlibs/common/simlib.v:1373:        : Pruning (signed) 31 high bits of the expression.
../../techlibs/common/simlib.v:1373: warning: Port 1 (A) of $bmux expects 1 bits, got 32.
../../techlibs/common/simlib.v:1373:        : Pruning (signed) 31 high bits of the expression.
Passed memory_bram test 04_00.
Passed memory_bram test 04_01.
../../techlibs/common/simlib.v:1373: warning: Port 1 (A) of $bmux expects 1 bits, got 32.
../../techlibs/common/simlib.v:1373:        : Pruning (signed) 31 high bits of the expression.
../../techlibs/common/simlib.v:1373: warning: Port 1 (A) of $bmux expects 1 bits, got 32.
../../techlibs/common/simlib.v:1373:        : Pruning (signed) 31 high bits of the expression.
Passed memory_bram test 04_02.
../../techlibs/common/simlib.v:1373: warning: Port 1 (A) of $bmux expects 1 bits, got 32.
../../techlibs/common/simlib.v:1373:        : Pruning (signed) 31 high bits of the expression.
../../techlibs/common/simlib.v:1373: warning: Port 1 (A) of $bmux expects 1 bits, got 32.
../../techlibs/common/simlib.v:1373:        : Pruning (signed) 31 high bits of the expression.
Passed memory_bram test 04_03.
Passed memory_bram test 03_04.
Passed memory_bram test 02_04.
make[3]: Leaving directory '/<<PKGBUILDDIR>>/tests/bram'
cd tests/various && bash run-test.sh
make[3]: Entering directory '/<<PKGBUILDDIR>>/tests/various'
Passed attrib05_port_conn.ys
Passed attrib07_func_call.ys
Passed aiger_dff.ys
Passed autoname.ys
Passed blackbox_wb.ys
Passed bug1496.ys
Passed bug1614.ys
Passed bug1710.ys
Warning: Yosys has only limited support for tri-state logic at the moment. (<<EOT:3)
<<EOT:3: ERROR: syntax error, unexpected TOK_CONSTVAL
Expected error pattern 'syntax error, unexpected TOK_CONSTVAL' found !!!
Passed bug1745.ys
Passed bug1876.ys
Passed bug1781.ys
Passed bug3462.ys
Passed bug2014.ys
Warning: Wire abc9_test027.$abc$91$o is used but has no driver.
Passed bug1531.ys
Passed const_arg_loop.ys
Passed const_func_block_var.ys
<<EOT:2: ERROR: syntax error, unexpected TOK_BASE
Expected error pattern 'syntax error, unexpected TOK_BASE' found !!!
Passed constcomment.ys
Warning: Yosys has only limited support for tri-state logic at the moment. (countbits.sv:3)
Warning: Yosys has only limited support for tri-state logic at the moment. (countbits.sv:4)
Warning: Yosys has only limited support for tri-state logic at the moment. (countbits.sv:5)
Warning: Yosys has only limited support for tri-state logic at the moment. (countbits.sv:6)
Warning: Yosys has only limited support for tri-state logic at the moment. (countbits.sv:7)
Warning: Yosys has only limited support for tri-state logic at the moment. (countbits.sv:8)
Warning: Yosys has only limited support for tri-state logic at the moment. (countbits.sv:9)
Warning: Yosys has only limited support for tri-state logic at the moment. (countbits.sv:10)
Warning: Yosys has only limited support for tri-state logic at the moment. (countbits.sv:11)
Warning: Yosys has only limited support for tri-state logic at the moment. (countbits.sv:12)
Warning: Yosys has only limited support for tri-state logic at the moment. (countbits.sv:13)
Warning: Yosys has only limited support for tri-state logic at the moment. (countbits.sv:14)
Warning: Yosys has only limited support for tri-state logic at the moment. (countbits.sv:15)
Warning: Yosys has only limited support for tri-state logic at the moment. (countbits.sv:52)
Warning: Yosys has only limited support for tri-state logic at the moment. (countbits.sv:53)
Passed constmsk_test.ys
Passed deminout_unused.ys
Passed design.ys
ERROR: No saved design 'foo' found!
Expected error pattern 'No saved design 'foo' found!' found !!!
Passed design1.ys
ERROR: No saved design 'foo' found!
Expected error pattern 'No saved design 'foo' found!' found !!!
Passed design2.ys
Passed countbits.ys
elab_sys_tasks.sv:8: Warning: X is 1.
elab_sys_tasks.sv:22: Warning: 
Passed elab_sys_tasks.ys
Warning: Yosys has only limited support for tri-state logic at the moment. (/<<PKGBUILDDIR>>/share/simcells.v:456)
Passed const_func.ys
Passed equiv_opt_undef.ys
ERROR: Command stdout did have a line matching given regex "giraffe".
Expected error pattern 'stdout did have a line' found !!!
Passed exec.ys
Passed equiv_opt_multiclock.ys
Passed fib.ys
Passed func_port_implied_dir.ys
Passed gen_if_null.ys
Passed global_scope.ys
Passed gzip_verilog.ys
Passed fib_tern.ys
Passed hierarchy_defer.ys
Passed hierarchy_param.ys
Passed help.ys
<<EOT:2: ERROR: syntax error, unexpected '[', expecting TOK_ID or TOK_SIGNED or TOK_UNSIGNED
Expected error pattern 'syntax error, unexpected' found !!!
Passed integer_range_bad_syntax.ys
<<EOT:2: ERROR: syntax error, unexpected TOK_REAL, expecting TOK_ID or TOK_SIGNED or TOK_UNSIGNED
Expected error pattern 'syntax error, unexpected TOK_REAL' found !!!
Passed integer_real_bad_syntax.ys
attribute \src "\" / \\ \010 \014 \n \015 \t \025 \033"
Passed json_escape_chars.ys
ERROR: Identifier `\b' is implicitly declared.
Expected error pattern 'is implicitly declared.' found !!!
Passed logger_error.ys
Passed logger_nowarning.ys
Warning: Found log message matching -W regex:
Added regex 'Successfully finished Verilog frontend.' for warnings to expected warning list.
<<EOF:2: Warning: Identifier `\b' is implicitly declared.
<<EOF:2: Warning: Identifier `\w' is implicitly declared.
Warning: Found log message matching -W regex:
Successfully finished Verilog frontend.
Passed logger_warn.ys
<<EOF:2: Warning: Identifier `\b' is implicitly declared.
<<EOF:2: Warning: Identifier `\w' is implicitly declared.
Passed logger_warning.ys
Passed logic_param_simple.ys
Passed mem2reg.ys
Passed abc9.ys
Passed memory_word_as_index.ys
Warning: Yosys has only limited support for tri-state logic at the moment. (/<<PKGBUILDDIR>>/share/simcells.v:456)
Passed muxpack.ys
Passed param_struct.ys
Passed peepopt.ys
Passed ice40_mince_abc9.ys
Passed pmux2shiftx.ys
Warning: Resizing cell port act.ou2.out from 3 bits to 2 bits.
Warning: Resizing cell port act.os2.out from 3 bits to 2 bits.
Warning: Resizing cell port act.ou1.out from 3 bits to 1 bits.
Warning: Resizing cell port act.os1.out from 3 bits to 1 bits.
Warning: Resizing cell port act.pt9.a from 3 bits to 4 bits.
Warning: Resizing cell port act.pt7.a from 3 bits to 4 bits.
Warning: Resizing cell port act.pt6.a from 3 bits to 4 bits.
Warning: Resizing cell port act.pt5.a from 2 bits to 4 bits.
Warning: Resizing cell port act.pt4.a from 1 bits to 4 bits.
Warning: Resizing cell port act.pt3.a from 1 bits to 4 bits.
Warning: Resizing cell port act.pt2.a from 1 bits to 4 bits.
Passed muxcover.ys
Passed primitives.ys
Passed printattr.ys
Passed rand_const.ys
Warning: wire '\o_reg' is assigned in a block at reg_wire_error.sv:26.9-26.21.
Warning: wire '\o_reg' is assigned in a block at reg_wire_error.sv:29.3-29.18.
Warning: reg '\l_reg' is assigned in a continuous assignment at reg_wire_error.sv:35.8-35.22.
Warning: wire '\mw2' is assigned in a block at reg_wire_error.sv:62.3-62.16.
Warning: wire '\mw3' is assigned in a block at reg_wire_error.sv:69.3-69.17.
Warning: Replacing memory \ml3 with list of registers. See reg_wire_error.sv:70
Warning: Replacing memory \mr3 with list of registers. See reg_wire_error.sv:68
Warning: Replacing memory \ml2 with list of registers. See reg_wire_error.sv:63
Warning: Replacing memory \mr2 with list of registers. See reg_wire_error.sv:61
Warning: Replacing memory \ml1 with list of registers. See reg_wire_error.sv:58
Passed reg_wire_error.ys
Passed rename_scramble_name.ys
Passed scratchpad.ys
Passed script.ys
Passed sformatf.ys
Passed port_sign_extend.ys
<<EOT:2: ERROR: syntax error, unexpected TOK_INTEGER, expecting TOK_ID or '['
Expected error pattern 'syntax error, unexpected TOK_INTEGER' found !!!
Passed signed.ys
Warning: Yosys has only limited support for tri-state logic at the moment. (<<EOT:4)
Warning: Yosys has only limited support for tri-state logic at the moment. (<<EOT:5)
Passed signext.ys
Passed sim_const.ys
specify.v:28: Warning: Replacing floating point parameter $specify$5.T_RISE_MIN = 1.500000 with string.
specify.v:28: Warning: Replacing floating point parameter $specify$5.T_RISE_TYP = 1.500000 with string.
specify.v:28: Warning: Replacing floating point parameter $specify$5.T_RISE_MAX = 1.500000 with string.
specify.v:28: Warning: Replacing floating point parameter $specify$5.T_FALL_MIN = 1.500000 with string.
specify.v:28: Warning: Replacing floating point parameter $specify$5.T_FALL_TYP = 1.500000 with string.
specify.v:28: Warning: Replacing floating point parameter $specify$5.T_FALL_MAX = 1.500000 with string.
Passed shregmap.ys
Warning: wire '\o' is assigned in a block at <<EOT:2.11-2.17.
Warning: wire '\p' is assigned in a block at <<EOT:3.11-3.16.
specify.out:49: Warning: Replacing floating point parameter $specify$24.T_RISE_MIN = 1.500000 with string.
specify.out:49: Warning: Replacing floating point parameter $specify$24.T_RISE_TYP = 1.500000 with string.
specify.out:49: Warning: Replacing floating point parameter $specify$24.T_RISE_MAX = 1.500000 with string.
specify.out:49: Warning: Replacing floating point parameter $specify$24.T_FALL_MIN = 1.500000 with string.
specify.out:49: Warning: Replacing floating point parameter $specify$24.T_FALL_TYP = 1.500000 with string.
specify.out:49: Warning: Replacing floating point parameter $specify$24.T_FALL_MAX = 1.500000 with string.
Passed src.ys
Warning: Critical-path does not terminate in a recognised endpoint.
Warning: Cell type 'const0' not recognised! Ignoring.
Passed sta.ys
Warning: No SAT model available for cell B_0 ($specrule).
Warning: No SAT model available for cell C_0 ($specrule).
Warning: No SAT model available for cell A_0 ($specify3).
Passed struct_access.ys
Warning: No SAT model available for cell A_0 ($specify2).
Warning: No SAT model available for cell B_0 ($specify2).
Passed specify.ys
Passed submod_extract.ys
Passed sv_defines.ys
ERROR: Duplicate macro arguments with name `x'.
Expected error pattern 'Duplicate macro arguments with name `x'' found !!!
Passed sv_defines_dup.ys
ERROR: Mismatched brackets in macro argument: [ and }.
Expected error pattern 'Mismatched brackets in macro argument: \[ and }.' found !!!
Passed sv_defines_mismatch.ys
Warning: Port directions for cell \s1 (\DFF) are unknown. Assuming inout for all ports.
Warning: Port directions for cell \s2 (\DFF) are unknown. Assuming inout for all ports.
Warning: Port directions for cell \s3 (\DFF) are unknown. Assuming inout for all ports.
ERROR: Cannot expand macro `foo by giving only 1 argument (argument 2 has no default).
Expected error pattern 'Cannot expand macro `foo by giving only 1 argument \(argument 2 has no default\).' found !!!
Passed submod.ys
Passed sv_defines_too_few.ys
Passed wreduce.ys
Passed write_gzip.ys
Passed xaiger.ys
Passed chparam.sh
Passed hierarchy.sh
Passed logger_fail.sh
Passed async.sh
Passed smtlib2_module.sh
Passed sv_implicit_ports.sh
Passed svalways.sh
Passed plugin.sh
Passed pmgen_reduce.ys
Passed dynamic_part_select.ys
make[3]: Leaving directory '/<<PKGBUILDDIR>>/tests/various'
cd tests/select && bash run-test.sh
Running blackboxes.ys..
Running no_warn_assert.ys..
Running no_warn_prefixed_arg_memb.ys..
Running no_warn_prefixed_empty_select_arg.ys..
Running unset.ys..
ERROR: Selection '\foo' does not exist!
Expected error pattern 'Selection '\\foo' does not exist!' found !!!
Running unset2.ys..
ERROR: Selection @foo is not defined!
Expected error pattern 'Selection @foo is not defined!' found !!!
Running warn_empty_select_arg.ys..
Warning: Selection "foo" did not match any module.
Warning: Selection "bar" did not match any object.
cd tests/sat && bash run-test.sh
make[3]: Entering directory '/<<PKGBUILDDIR>>/tests/sat'
Passed bug2595.ys
Warning: Complex async reset for dff `\q [12]'.
Warning: Complex async reset for dff `\q [8]'.
Passed asserts.ys
Passed asserts_seq.ys
Passed dff.ys
Passed expose_dff.ys
Passed counters.ys
Passed initval.ys
Passed grom.ys
Warning: Wire top.\cnt [7] is used but has no driver.
Warning: Wire top.\cnt [6] is used but has no driver.
Warning: Wire top.\cnt [5] is used but has no driver.
Warning: Wire top.\cnt [4] is used but has no driver.
Warning: Wire top.\cnt [3] is used but has no driver.
Warning: Wire top.\cnt [2] is used but has no driver.
Warning: Wire top.\cnt [1] is used but has no driver.
Warning: Wire top.\cnt [0] is used but has no driver.
Warning: Signal 'top.cnt' in file 8'x in simulation '8'00000000'
ERROR: Signal difference
Expected error pattern 'Signal difference' found !!!
Passed sim_counter.ys
Passed sizebits.ys
Passed splice.ys
Passed counters-repeat.ys
Passed share.ys
Passed clk2fflogic.ys
make[3]: Leaving directory '/<<PKGBUILDDIR>>/tests/sat'
cd tests/sim && bash run-test.sh
Generate FST for sim models
Test tb_adff
FST info: dumpfile tb_adff.fst opened for output.
Test tb_adffe
FST info: dumpfile tb_adffe.fst opened for output.
Test tb_adlatch
FST info: dumpfile tb_adlatch.fst opened for output.
Test tb_aldff
FST info: dumpfile tb_aldff.fst opened for output.
Test tb_aldffe
FST info: dumpfile tb_aldffe.fst opened for output.
Test tb_dff
FST info: dumpfile tb_dff.fst opened for output.
Test tb_dffe
FST info: dumpfile tb_dffe.fst opened for output.
Test tb_dffsr
FST info: dumpfile tb_dffsr.fst opened for output.
Test tb_dlatch
FST info: dumpfile tb_dlatch.fst opened for output.
Test tb_dlatchsr
FST info: dumpfile tb_dlatchsr.fst opened for output.
Test tb_sdff
FST info: dumpfile tb_sdff.fst opened for output.
Test tb_sdffce
FST info: dumpfile tb_sdffce.fst opened for output.
Test tb_sdffe
FST info: dumpfile tb_sdffe.fst opened for output.
make[3]: Entering directory '/<<PKGBUILDDIR>>/tests/sim'
Warning: Async reset value `\ad' is not constant!
Passed sim_adff.ys
Passed sim_adlatch.ys
Passed sim_adffe.ys
Passed sim_aldff.ys
Warning: Async reset value `\ad' is not constant!
Warning: Complex async reset for dff `\q'.
Passed sim_dff.ys
Passed sim_dffe.ys
Passed sim_aldffe.ys
Passed sim_dffsr.ys
Passed sim_dlatch.ys
Passed sim_dlatchsr.ys
Passed sim_sdff.ys
Passed sim_sdffce.ys
Passed sim_sdffe.ys
make[3]: Leaving directory '/<<PKGBUILDDIR>>/tests/sim'
cd tests/svinterfaces && bash run-test.sh ""
Test: svinterface1 -> ok
Test: svinterface_at_top -> ok
Test: load_and_derive ->ok
cd tests/svtypes && bash run-test.sh ""
make[3]: Entering directory '/<<PKGBUILDDIR>>/tests/svtypes'
<<EOT:1: ERROR: Static cast with zero or negative size!
Expected error pattern 'Static cast with zero or negative size' found !!!
<<EOT:7: ERROR: Insufficient number of array indices for a.
Expected error pattern 'Insufficient number of array indices for a.' found !!!
Passed multirange_subarray_access.ys
Passed static_cast_negative.ys
<<EOT:1: ERROR: Static cast is only supported in SystemVerilog mode.
<<EOT:1: ERROR: Static cast with non constant expression!
Expected error pattern 'Static cast with non constant expression' found !!!
Expected error pattern 'Static cast is only supported in SystemVerilog mode' found !!!
Passed static_cast_nonconst.ys
Passed static_cast_verilog.ys
<<EOT:1: ERROR: Static cast with zero or negative size!
Expected error pattern 'Static cast with zero or negative size' found !!!
Passed static_cast_zero.ys
Warning: reg '\var_12' is assigned in a continuous assignment at typedef_initial_and_assign.sv:67.9-67.19.
Warning: reg '\var_13' is assigned in a continuous assignment at typedef_initial_and_assign.sv:71.9-71.19.
Warning: reg '\var_14' is assigned in a continuous assignment at typedef_initial_and_assign.sv:74.9-74.19.
Warning: reg '\var_15' is assigned in a continuous assignment at typedef_initial_and_assign.sv:78.9-78.19.
Warning: reg '\var_16' is assigned in a continuous assignment at typedef_initial_and_assign.sv:81.9-81.19.
Warning: reg '\var_17' is assigned in a continuous assignment at typedef_initial_and_assign.sv:85.9-85.19.
Warning: reg '\var_18' is assigned in a continuous assignment at typedef_initial_and_assign.sv:88.9-88.19.
Warning: reg '\var_19' is assigned in a continuous assignment at typedef_initial_and_assign.sv:92.9-92.19.
Passed logic_rom.ys
Passed typedef_initial_and_assign.ys
Passed typedef_memory.ys
Passed typedef_memory_2.ys
Passed multirange_array.sv
Passed enum_simple.ys
Passed typedef_struct_port.ys
Passed struct_array.sv
Passed static_cast_simple.sv
Passed struct_simple.sv
Passed typedef_package.sv
Passed typedef_param.sv
Passed typedef_scopes.sv
Passed typedef_simple.sv
Passed typedef_struct.sv
Passed union_simple.sv
make[3]: Leaving directory '/<<PKGBUILDDIR>>/tests/svtypes'
cd tests/proc && bash run-test.sh
Running bug2619.ys..
Running bug2656.ys..
Warning: wire '\q1' is assigned in a block at <<EOT:8.3-8.11.
Warning: wire '\q2' is assigned in a block at <<EOT:12.3-12.10.
Warning: wire '\q2' is assigned in a block at <<EOT:14.3-14.11.
Running bug2962.ys..
Running bug_1268.ys..
Running proc_rom.ys..
Warning: wire '\d' is assigned in a block at <<EOT:7.10-7.20.
Warning: wire '\d' is assigned in a block at <<EOT:8.10-8.20.
Warning: wire '\d' is assigned in a block at <<EOT:9.10-9.20.
Warning: wire '\d' is assigned in a block at <<EOT:10.10-10.20.
Warning: wire '\d' is assigned in a block at <<EOT:11.10-11.20.
Warning: wire '\d' is assigned in a block at <<EOT:12.10-12.20.
Warning: wire '\d' is assigned in a block at <<EOT:13.10-13.20.
Warning: wire '\d' is assigned in a block at <<EOT:14.10-14.20.
Warning: wire '\d' is assigned in a block at <<EOT:15.10-15.20.
Warning: wire '\d' is assigned in a block at <<EOT:16.10-16.20.
Warning: wire '\d' is assigned in a block at <<EOT:17.10-17.20.
Warning: wire '\d' is assigned in a block at <<EOT:18.10-18.20.
Warning: wire '\d' is assigned in a block at <<EOT:19.10-19.20.
Warning: wire '\d' is assigned in a block at <<EOT:20.10-20.20.
Warning: wire '\d' is assigned in a block at <<EOT:21.10-21.20.
Warning: wire '\d' is assigned in a block at <<EOT:22.10-22.20.
Warning: wire '\d' is assigned in a block at <<EOT:25.3-25.9.
Warning: wire '\d' is assigned in a block at <<EOT:20.13-20.23.
Warning: wire '\d' is assigned in a block at <<EOT:23.3-23.9.
Warning: wire '\d' is assigned in a block at <<EOT:7.7-7.17.
Warning: wire '\d' is assigned in a block at <<EOT:8.7-8.17.
Warning: wire '\d' is assigned in a block at <<EOT:9.7-9.17.
Warning: wire '\d' is assigned in a block at <<EOT:10.7-10.17.
Warning: wire '\d' is assigned in a block at <<EOT:11.7-11.17.
Warning: wire '\d' is assigned in a block at <<EOT:12.7-12.17.
Warning: wire '\d' is assigned in a block at <<EOT:13.7-13.17.
Warning: wire '\d' is assigned in a block at <<EOT:14.7-14.17.
Warning: wire '\d' is assigned in a block at <<EOT:15.7-15.17.
Warning: wire '\d' is assigned in a block at <<EOT:16.7-16.17.
Warning: wire '\d' is assigned in a block at <<EOT:17.8-17.18.
Warning: wire '\d' is assigned in a block at <<EOT:18.8-18.18.
Warning: wire '\d' is assigned in a block at <<EOT:19.8-19.18.
Warning: wire '\d' is assigned in a block at <<EOT:7.9-7.19.
Warning: wire '\d' is assigned in a block at <<EOT:8.9-8.19.
Warning: wire '\d' is assigned in a block at <<EOT:9.9-9.19.
Warning: wire '\d' is assigned in a block at <<EOT:10.9-10.19.
Warning: wire '\d' is assigned in a block at <<EOT:11.9-11.19.
Warning: wire '\d' is assigned in a block at <<EOT:12.9-12.19.
Warning: wire '\d' is assigned in a block at <<EOT:13.9-13.19.
Warning: wire '\d' is assigned in a block at <<EOT:14.9-14.19.
Warning: wire '\d' is assigned in a block at <<EOT:15.9-15.19.
Warning: wire '\d' is assigned in a block at <<EOT:16.9-16.19.
Warning: wire '\d' is assigned in a block at <<EOT:17.9-17.19.
Warning: wire '\d' is assigned in a block at <<EOT:18.9-18.19.
Warning: wire '\d' is assigned in a block at <<EOT:19.9-19.19.
Warning: wire '\d' is assigned in a block at <<EOT:20.9-20.19.
Warning: wire '\d' is assigned in a block at <<EOT:21.9-21.19.
Warning: wire '\d' is assigned in a block at <<EOT:22.9-22.19.
Running rmdead.ys..
cd tests/blif && bash run-test.sh
Running bug2729.ys..
Running bug3374.ys..
ERROR: Syntax error in line 1!
Expected error pattern 'Syntax error in line 1!' found !!!
Running bug3385.ys..
ERROR: Syntax error in line 4: names' input plane must have fewer than 13 signals.
Expected error pattern 'Syntax error in line 4: names' input plane must have fewer than 13 signals.' found !!!
cd tests/opt && bash run-test.sh
make[3]: Entering directory '/<<PKGBUILDDIR>>/tests/opt'
Passed bug1525.ys
Passed bug1758.ys
Passed bug2221.ys
Passed bug2010.ys
Passed bug1854.ys
Passed bug2311.ys
Passed bug2623.ys
Passed bug2824.ys
Passed bug2765.ys
Passed bug2920.ys
Passed bug3047.ys
Passed bug3117.ys
Passed bug2318.ys
Passed memory_bmux2rom.ys
Passed opt_clean_init.ys
Passed opt_clean_mem.ys
Passed bug2766.ys
Passed opt_dff_clk.ys
Passed opt_dff_arst.ys
Passed memory_dff_trans.ys
<<EOT:19: Warning: Range select [13:12] out of bounds on signal `\Q': Setting all 2 result bits to undef.
<<EOT:20: Warning: Range select [15:14] out of bounds on signal `\Q': Setting all 2 result bits to undef.
Warning: Yosys has only limited support for tri-state logic at the moment. (<<EOT:2)
Passed opt_dff_dffmux.ys
Passed memory_map_offset.ys
<<EOT:24: Warning: Range select [21:20] out of bounds on signal `\Q': Setting all 2 result bits to undef.
<<EOT:25: Warning: Range select [23:22] out of bounds on signal `\Q': Setting all 2 result bits to undef.
Passed opt_dff_qd.ys
Passed opt_dff_const.ys
Passed opt_dff_srst.ys
Passed opt_dff_mux.ys
Passed opt_dff_en.ys
Passed opt_expr_and.ys
Passed opt_expr.ys
Warning: wire '\a' is assigned in a block at <<EOT:4.2-4.8.
Warning: wire '\a' is assigned in a block at <<EOT:5.2-5.8.
Passed opt_expr_cmp.ys
Warning: wire '\a' is assigned in a block at <<EOT:4.9-4.15.
Warning: wire '\a' is assigned in a block at <<EOT:5.9-5.15.
Passed opt_expr_constconn.ys
Passed opt_expr_consumex.ys
Passed opt_expr_alu.ys
Passed opt_expr_combined_assign.ys
Passed opt_expr_or.ys
Passed opt_expr_xor.ys
Passed opt_lut_elim.ys
Passed opt_lut_ins.ys
Passed opt_lut_port.ys
Passed opt_expr_xnor.ys
Passed opt_mem_priority.ys
Passed opt_merge_init.ys
Passed opt_merge_keep.ys
Passed opt_reduce_bmux.ys
Passed opt_reduce_demux.ys
Warning: Wire opt_rmdff_test.\Q [22] is used but has no driver.
Passed opt_dff_sr.ys
Passed opt_rmdff_sat.ys
Passed opt_share_add_sub.ys
Passed opt_share_bug2334.ys
Passed opt_share_bug2335.ys
Passed opt_share_bug2336.ys
Passed opt_share_bug2538.ys
Passed opt_share_cat.ys
Passed opt_rmdff.ys
Passed opt_share_diff_port_widths.ys
Warning: Yosys has only limited support for tri-state logic at the moment. (/<<PKGBUILDDIR>>/share/ice40/cells_sim.v:41)
Warning: Yosys has only limited support for tri-state logic at the moment. (/<<PKGBUILDDIR>>/share/ice40/cells_sim.v:86)
Warning: Yosys has only limited support for tri-state logic at the moment. (/<<PKGBUILDDIR>>/share/ice40/cells_sim.v:87)
Passed opt_share_cat_multiuser.ys
Warning: Yosys has only limited support for tri-state logic at the moment. (/<<PKGBUILDDIR>>/share/ice40/cells_sim.v:2153)
Warning: Yosys has only limited support for tri-state logic at the moment. (/<<PKGBUILDDIR>>/share/ice40/cells_sim.v:2154)
Warning: Yosys has only limited support for tri-state logic at the moment. (/<<PKGBUILDDIR>>/share/ice40/cells_sim.v:2155)
Warning: Yosys has only limited support for tri-state logic at the moment. (/<<PKGBUILDDIR>>/share/ice40/cells_sim.v:2156)
Warning: Yosys has only limited support for tri-state logic at the moment. (/<<PKGBUILDDIR>>/share/ice40/cells_sim.v:2157)
Warning: Yosys has only limited support for tri-state logic at the moment. (/<<PKGBUILDDIR>>/share/ice40/cells_sim.v:2158)
Warning: Yosys has only limited support for tri-state logic at the moment. (/<<PKGBUILDDIR>>/share/ice40/cells_sim.v:2925)
Warning: Yosys has only limited support for tri-state logic at the moment. (/<<PKGBUILDDIR>>/share/ice40/cells_sim.v:2926)
Warning: Yosys has only limited support for tri-state logic at the moment. (/<<PKGBUILDDIR>>/share/ice40/cells_sim.v:2988)
Warning: Yosys has only limited support for tri-state logic at the moment. (/<<PKGBUILDDIR>>/share/ice40/cells_sim.v:2989)
Warning: Yosys has only limited support for tri-state logic at the moment. (/<<PKGBUILDDIR>>/share/ice40/cells_sim.v:2990)
Warning: Yosys has only limited support for tri-state logic at the moment. (/<<PKGBUILDDIR>>/share/ice40/cells_sim.v:3203)
Warning: Yosys has only limited support for tri-state logic at the moment. (/<<PKGBUILDDIR>>/share/ice40/cells_sim.v:3210)
Passed opt_share_extend.ys
Passed opt_lut.ys
Passed opt_share_large_pmux_cat.ys
Passed opt_share_large_pmux_cat_multipart.ys
Passed opt_share_large_pmux_multipart.ys
Passed opt_share_mux_tree.ys
Passed opt_share_large_pmux_part.ys
Passed opt_mem_feedback.ys
make[3]: Leaving directory '/<<PKGBUILDDIR>>/tests/opt'
cd tests/aiger && bash run-test.sh "-A berkeley-abc"
Checking and_.aag.
Checking buffer.aag.
Checking cnt1.aag.
Warning: The new network has no primary inputs. It is recommended
to add a dummy PI to make sure all commands work correctly.
Checking cnt1e.aag.
Checking empty.aag.
Warning: The new network has no primary inputs. It is recommended
to add a dummy PI to make sure all commands work correctly.
Warning: The current network has no primary outputs. Some commands may not work correctly.
Checking false.aag.
Warning: The new network has no primary inputs. It is recommended
to add a dummy PI to make sure all commands work correctly.
Checking halfadder.aag.
Checking inverter.aag.
Checking notcnt1.aag.
Warning: The new network has no primary inputs. It is recommended
to add a dummy PI to make sure all commands work correctly.
Checking notcnt1e.aag.
Checking or_.aag.
Checking symbols.aag.
Checking toggle-re.aag.
Checking toggle.aag.
Warning: The new network has no primary inputs. It is recommended
to add a dummy PI to make sure all commands work correctly.
Checking true.aag.
Warning: The new network has no primary inputs. It is recommended
to add a dummy PI to make sure all commands work correctly.
Checking and_.aig.
Checking buffer.aig.
Checking cnt1.aig.
Warning: The new network has no primary inputs. It is recommended
to add a dummy PI to make sure all commands work correctly.
Checking cnt1e.aig.
Checking empty.aig.
Warning: The new network has no primary inputs. It is recommended
to add a dummy PI to make sure all commands work correctly.
Warning: The current network has no primary outputs. Some commands may not work correctly.
Checking false.aig.
Warning: The new network has no primary inputs. It is recommended
to add a dummy PI to make sure all commands work correctly.
Checking halfadder.aig.
Checking inverter.aig.
Checking notcnt1.aig.
Warning: The new network has no primary inputs. It is recommended
to add a dummy PI to make sure all commands work correctly.
Checking notcnt1e.aig.
Checking or_.aig.
Checking symbols.aig.
Checking toggle-re.aig.
Checking toggle.aig.
Warning: The new network has no primary inputs. It is recommended
to add a dummy PI to make sure all commands work correctly.
Checking true.aig.
Warning: The new network has no primary inputs. It is recommended
to add a dummy PI to make sure all commands work correctly.
Running neg.ys.
cd tests/arch && bash run-test.sh
Running syntax check on arch sim models
Test ../../techlibs/achronix/speedster22i/cells_sim.v -> ok
Test ../../techlibs/anlogic/cells_sim.v -> ok
Test ../../techlibs/coolrunner2/cells_sim.v -> ok
Test ../../techlibs/ecp5/cells_sim.v -> ok
Test ../../techlibs/efinix/cells_sim.v -> ok
Test ../../techlibs/gatemate/cells_sim.v -> ok
Test ../../techlibs/gowin/cells_sim.v -> ok
Test ../../techlibs/greenpak4/cells_sim.v -> ok
Test ../../techlibs/ice40/cells_sim.v -DICE40_HX ->../../techlibs/ice40/cells_sim.v:2231: warning: choosing typ expression.
../../techlibs/ice40/cells_sim.v:2231: warning: choosing typ expression.
../../techlibs/ice40/cells_sim.v:2233: warning: choosing typ expression.
../../techlibs/ice40/cells_sim.v:2233: warning: choosing typ expression.
../../techlibs/ice40/cells_sim.v:2235: warning: choosing typ expression.
../../techlibs/ice40/cells_sim.v:2235: warning: choosing typ expression.
../../techlibs/ice40/cells_sim.v:2237: warning: choosing typ expression.
../../techlibs/ice40/cells_sim.v:2237: warning: choosing typ expression.
../../techlibs/ice40/cells_sim.v:2239: warning: choosing typ expression.
../../techlibs/ice40/cells_sim.v:2239: warning: choosing typ expression.
 ok
Test ../../techlibs/ice40/cells_sim.v -DICE40_LP ->../../techlibs/ice40/cells_sim.v:2295: warning: choosing typ expression.
../../techlibs/ice40/cells_sim.v:2295: warning: choosing typ expression.
../../techlibs/ice40/cells_sim.v:2297: warning: choosing typ expression.
../../techlibs/ice40/cells_sim.v:2297: warning: choosing typ expression.
../../techlibs/ice40/cells_sim.v:2299: warning: choosing typ expression.
../../techlibs/ice40/cells_sim.v:2299: warning: choosing typ expression.
../../techlibs/ice40/cells_sim.v:2301: warning: choosing typ expression.
../../techlibs/ice40/cells_sim.v:2301: warning: choosing typ expression.
../../techlibs/ice40/cells_sim.v:2303: warning: choosing typ expression.
../../techlibs/ice40/cells_sim.v:2303: warning: choosing typ expression.
 ok
Test ../../techlibs/ice40/cells_sim.v -DICE40_U ->../../techlibs/ice40/cells_sim.v:2359: warning: choosing typ expression.
../../techlibs/ice40/cells_sim.v:2359: warning: choosing typ expression.
../../techlibs/ice40/cells_sim.v:2361: warning: choosing typ expression.
../../techlibs/ice40/cells_sim.v:2361: warning: choosing typ expression.
../../techlibs/ice40/cells_sim.v:2363: warning: choosing typ expression.
../../techlibs/ice40/cells_sim.v:2363: warning: choosing typ expression.
../../techlibs/ice40/cells_sim.v:2365: warning: choosing typ expression.
../../techlibs/ice40/cells_sim.v:2365: warning: choosing typ expression.
../../techlibs/ice40/cells_sim.v:2367: warning: choosing typ expression.
../../techlibs/ice40/cells_sim.v:2367: warning: choosing typ expression.
 ok
Test ../../techlibs/intel/cyclone10lp/cells_sim.v -> ok
Test ../../techlibs/intel/cycloneiv/cells_sim.v -> ok
Test ../../techlibs/intel/cycloneive/cells_sim.v -> ok
Test ../../techlibs/intel/max10/cells_sim.v -> ok
Test ../../techlibs/intel_alm/cyclonev/cells_sim.v -> ok
Test ../../techlibs/machxo2/cells_sim.v -> ok
Test ../../techlibs/nexus/cells_sim.v -> ok
Test ../../techlibs/quicklogic/cells_sim.v -> ok
Test ../../techlibs/sf2/cells_sim.v -> ok
Test ../../techlibs/xilinx/cells_sim.v -> ok
Test ../../techlibs/common/simcells.v -> ok
Test ../../techlibs/common/simlib.v ->../../techlibs/common/simlib.v:1373: warning: Port 1 (A) of $bmux expects 1 bits, got 32.
../../techlibs/common/simlib.v:1373:        : Pruning (signed) 31 high bits of the expression.
 ok
cd tests/arch/ice40 && bash run-test.sh ""
make[3]: Entering directory '/<<PKGBUILDDIR>>/tests/arch/ice40'
Warning: Resizing cell port SSCounter6o.l0.I3 from 32 bits to 1 bits.
Warning: Resizing cell port SSCounter6o.c0.CI from 32 bits to 1 bits.
Warning: Resizing cell port SSCounter6o.lien.I0 from 32 bits to 1 bits.
Warning: Resizing cell port SSCounter6o.lien.I1 from 32 bits to 1 bits.
Passed add_sub.ys
Passed bug1597.ys
Passed bug1598.ys
Passed bug1626.ys
Passed bug2061.ys
Passed counter.ys
Passed dffs.ys
Passed adffs.ys
Passed ice40_dsp.ys
Passed ice40_opt.ys
Passed ice40_wrapcarry.ys
Passed fsm.ys
Passed logic.ys
Passed latches.ys
Passed macc.ys
Passed dpram.ys
Passed mul.ys
Warning: wire '\data' is assigned in a block at rom.v:10.5-10.15.
Warning: wire '\data' is assigned in a block at rom.v:11.5-11.15.
Warning: wire '\data' is assigned in a block at rom.v:12.5-12.15.
Warning: wire '\data' is assigned in a block at rom.v:13.6-13.16.
Warning: wire '\data' is assigned in a block at rom.v:14.6-14.16.
Warning: wire '\data' is assigned in a block at rom.v:15.6-15.16.
Warning: wire '\data' is assigned in a block at rom.v:16.11-16.21.
Passed rom.ys
Passed shifter.ys
Passed tribuf.ys
Passed mux.ys
Passed bug1644.ys
Passed memories.ys
make[3]: Leaving directory '/<<PKGBUILDDIR>>/tests/arch/ice40'
cd tests/arch/xilinx && bash run-test.sh ""
make[3]: Entering directory '/<<PKGBUILDDIR>>/tests/arch/xilinx'
Warning: Resizing cell port block_ram.memory.0.0.DIADI from 64 bits to 16 bits.
Warning: Resizing cell port block_ram.memory.0.0.DOADO from 64 bits to 16 bits.
Warning: Resizing cell port block_ram.memory.0.0.DOBDO from 64 bits to 16 bits.
Warning: Resizing cell port block_ram.memory.0.0.DOPADOP from 8 bits to 2 bits.
Warning: Resizing cell port block_ram.memory.0.0.DOPBDOP from 8 bits to 2 bits.
Warning: Resizing cell port block_ram.memory.0.0.WEA from 4 bits to 2 bits.
Warning: Shift register inference not yet supported for family xc3s.
Passed add_sub.ys
Warning: Resizing cell port sync_ram_sdp.memory.0.0.DIADI from 64 bits to 16 bits.
Warning: Resizing cell port sync_ram_sdp.memory.0.0.DOADO from 64 bits to 16 bits.
Warning: Resizing cell port sync_ram_sdp.memory.0.0.DOBDO from 64 bits to 16 bits.
Warning: Resizing cell port sync_ram_sdp.memory.0.0.DOPADOP from 8 bits to 2 bits.
Warning: Resizing cell port sync_ram_sdp.memory.0.0.DOPBDOP from 8 bits to 2 bits.
Warning: Resizing cell port sync_ram_sdp.memory.0.0.WEA from 4 bits to 2 bits.
Warning: Whitebox '$paramod\FDRE\INIT=s32'00000000000000000000000000000001' with (* abc9_flop *) contains a $dff cell with non-zero initial state -- this is not supported for ABC9 sequential synthesis. Treating as a blackbox.
Warning: Whitebox 'FDSE' with (* abc9_flop *) contains a $dff cell with non-zero initial state -- this is not supported for ABC9 sequential synthesis. Treating as a blackbox.
Warning: Whitebox '$paramod\FDRE_1\INIT=s32'00000000000000000000000000000001' with (* abc9_flop *) contains a $dff cell with non-zero initial state -- this is not supported for ABC9 sequential synthesis. Treating as a blackbox.
Warning: Whitebox '$paramod\FDSE_1\INIT=s32'00000000000000000000000000000001' with (* abc9_flop *) contains a $dff cell with non-zero initial state -- this is not supported for ABC9 sequential synthesis. Treating as a blackbox.
Warning: Resizing cell port sync_ram_sdp.memory.0.0.DIPADIP from 8 bits to 2 bits.
Passed adffs.ys
Passed bug1460.ys
Passed bug1462.ys
Warning: Resizing cell port distributed_ram_manual.memory.0.0.DIADI from 64 bits to 16 bits.
Warning: Resizing cell port distributed_ram_manual.memory.0.0.DOADO from 64 bits to 16 bits.
Warning: Resizing cell port distributed_ram_manual.memory.0.0.DOBDO from 64 bits to 16 bits.
Warning: Resizing cell port distributed_ram_manual.memory.0.0.DOPADOP from 8 bits to 2 bits.
Warning: Resizing cell port distributed_ram_manual.memory.0.0.DOPBDOP from 8 bits to 2 bits.
Warning: Resizing cell port distributed_ram_manual.memory.0.0.WEA from 4 bits to 2 bits.
Passed attributes_test.ys
Passed bug1480.ys
Warning: Wire top.\t is used but has no driver.
Warning: Wire top.\in is used but has no driver.
Passed bug1598.ys
Passed bug1605.ys
Passed counter.ys
Warning: Resizing cell port sync_ram_sdp.memory.0.0.DIADI from 64 bits to 32 bits.
Warning: Resizing cell port sync_ram_sdp.memory.0.0.DIPADIP from 8 bits to 4 bits.
Warning: Resizing cell port sync_ram_sdp.memory.0.0.DOADO from 64 bits to 32 bits.
Warning: Resizing cell port sync_ram_sdp.memory.0.0.DOBDO from 64 bits to 32 bits.
Warning: Resizing cell port sync_ram_sdp.memory.0.0.DOPADOP from 8 bits to 4 bits.
Warning: Resizing cell port sync_ram_sdp.memory.0.0.DOPBDOP from 8 bits to 4 bits.
Passed abc9_dff.ys
Passed dsp_abc9.ys
/<<PKGBUILDDIR>>/share/xilinx/brams_xc3sda_map.v:220: Warning: Range [1:0] select out of bounds on signal `\PORT_W_WR_EN': Setting 1 MSB bits to undef.
/<<PKGBUILDDIR>>/share/xilinx/brams_xc3sda_map.v:221: Warning: Range select [3:2] out of bounds on signal `\PORT_W_WR_EN': Setting all 2 result bits to undef.
Passed dffs.ys
Passed dsp_fastfir.ys
Warning: Shift register inference not yet supported for family xc3se.
Passed dsp_simd.ys
Passed fsm.ys
Passed blockram.ys
Passed logic.ys
Passed latches.ys
Passed macc.ys
Warning: Replacing memory \M with list of registers. See mul_unsigned.v:25
/<<PKGBUILDDIR>>/share/xilinx/brams_xc3sda_map.v:220: Warning: Range [1:0] select out of bounds on signal `\PORT_W_WR_EN': Setting 1 MSB bits to undef.
/<<PKGBUILDDIR>>/share/xilinx/brams_xc3sda_map.v:221: Warning: Range select [3:2] out of bounds on signal `\PORT_W_WR_EN': Setting all 2 result bits to undef.
Passed mul.ys
/<<PKGBUILDDIR>>/share/xilinx/brams_xc3sda_map.v:220: Warning: Range [1:0] select out of bounds on signal `\PORT_W_WR_EN': Setting 1 MSB bits to undef.
/<<PKGBUILDDIR>>/share/xilinx/brams_xc3sda_map.v:221: Warning: Range select [3:2] out of bounds on signal `\PORT_W_WR_EN': Setting all 2 result bits to undef.
Passed mul_unsigned.ys
Warning: Shift register inference not yet supported for family xc3se.
Passed dsp_cascade.ys
Passed mux.ys
Passed opt_lut_ins.ys
Warning: Shift register inference not yet supported for family xc3s.
Passed nosrl.ys
Passed mux_lut4.ys
Passed lutram.ys
Passed shifter.ys
Passed xilinx_dsp.ys
Passed xilinx_srl.ys
Passed tribuf.ys
Passed xilinx_dffopt.ys
Passed pmgen_xilinx_srl.ys
Passed tribuf.sh
Passed macc.sh
make[3]: Leaving directory '/<<PKGBUILDDIR>>/tests/arch/xilinx'
cd tests/arch/ecp5 && bash run-test.sh ""
make[3]: Entering directory '/<<PKGBUILDDIR>>/tests/arch/ecp5'
Passed add_sub.ys
Passed bug1598.ys
Passed bug1459.ys
Passed bug1630.ys
Warning: Whitebox '$paramod\TRELLIS_FF\REGSET=t24'010100110100010101010100' with (* abc9_flop *) contains a $dff cell with non-zero initial state -- this is not supported for ABC9 sequential synthesis. Treating as a blackbox.
Passed bug2731.ys
Passed counter.ys
Passed bug2409.ys
Passed fsm.ys
Passed dffs.ys
Passed adffs.ys
Passed latches_abc9.ys
Passed dpram.ys
Passed logic.ys
Passed macc.ys
Passed latches.ys
Passed mul.ys
Passed opt_lut_ins.ys
Warning: wire '\data' is assigned in a block at rom.v:9.5-9.15.
Warning: wire '\data' is assigned in a block at rom.v:10.5-10.15.
Warning: wire '\data' is assigned in a block at rom.v:11.5-11.15.
Warning: wire '\data' is assigned in a block at rom.v:12.6-12.16.
Warning: wire '\data' is assigned in a block at rom.v:13.6-13.16.
Warning: wire '\data' is assigned in a block at rom.v:14.6-14.16.
Warning: wire '\data' is assigned in a block at rom.v:15.11-15.21.
Passed rom.ys
Passed shifter.ys
Passed tribuf.ys
Passed mux.ys
Passed lutram.ys
Passed memories.ys
make[3]: Leaving directory '/<<PKGBUILDDIR>>/tests/arch/ecp5'
cd tests/arch/machxo2 && bash run-test.sh ""
make[3]: Entering directory '/<<PKGBUILDDIR>>/tests/arch/machxo2'
Passed logic.ys
Passed add_sub.ys
Passed fsm.ys
Passed dffs.ys
Passed shifter.ys
Passed tribuf.ys
Passed mux.ys
make[3]: Leaving directory '/<<PKGBUILDDIR>>/tests/arch/machxo2'
cd tests/arch/efinix && bash run-test.sh ""
make[3]: Entering directory '/<<PKGBUILDDIR>>/tests/arch/efinix'
Passed counter.ys
Passed add_sub.ys
Passed dffs.ys
Passed logic.ys
Passed adffs.ys
Passed lutram.ys
Passed shifter.ys
Passed fsm.ys
Passed latches.ys
Passed tribuf.ys
Passed mux.ys
make[3]: Leaving directory '/<<PKGBUILDDIR>>/tests/arch/efinix'
cd tests/arch/anlogic && bash run-test.sh ""
make[3]: Entering directory '/<<PKGBUILDDIR>>/tests/arch/anlogic'
Passed counter.ys
Passed add_sub.ys
Passed dffs.ys
Passed fsm.ys
Passed logic.ys
Passed latches.ys
Passed lutram.ys
Passed shifter.ys
Passed tribuf.ys
Passed mux.ys
Passed blockram.ys
make[3]: Leaving directory '/<<PKGBUILDDIR>>/tests/arch/anlogic'
cd tests/arch/gowin && bash run-test.sh ""
make[3]: Entering directory '/<<PKGBUILDDIR>>/tests/arch/gowin'
Passed add_sub.ys
Passed counter.ys
Passed dffs.ys
ERROR: FF myDFFP.$auto$ff.cc:266:slice$662 (type $_DFF_PP1_) cannot be legalized: unsupported initial value and async reset value combination
Expected error pattern 'unsupported initial value and async reset value combination' found !!!
Passed init-error.ys
Passed fsm.ys
Passed logic.ys
Passed adffs.ys
Passed shifter.ys
Passed tribuf.ys
Passed init.ys
Passed mux.ys
Passed lutram.ys
make[3]: Leaving directory '/<<PKGBUILDDIR>>/tests/arch/gowin'
cd tests/arch/intel_alm && bash run-test.sh ""
make[3]: Entering directory '/<<PKGBUILDDIR>>/tests/arch/intel_alm'
Passed blockram.ys
Passed add_sub.ys
Passed counter.ys
Passed logic.ys
Passed dffs.ys
Passed adffs.ys
Passed fsm.ys
Passed quartus_ice.ys
Passed shifter.ys
Passed mul.ys
Passed tribuf.ys
Passed mux.ys
Passed lutram.ys
make[3]: Leaving directory '/<<PKGBUILDDIR>>/tests/arch/intel_alm'
cd tests/arch/nexus && bash run-test.sh ""
make[3]: Entering directory '/<<PKGBUILDDIR>>/tests/arch/nexus'
Passed counter.ys
Passed add_sub.ys
Passed blockram.ys
Passed dffs.ys
Passed logic.ys
Passed fsm.ys
Passed adffs.ys
Passed shifter.ys
Passed lutram.ys
Passed tribuf.ys
Passed mul.ys
Passed mux.ys
make[3]: Leaving directory '/<<PKGBUILDDIR>>/tests/arch/nexus'
cd tests/arch/quicklogic && bash run-test.sh ""
make[3]: Entering directory '/<<PKGBUILDDIR>>/tests/arch/quicklogic'
Warning: delay target has not been set via SDC or scratchpad; assuming 12 MHz clock.
Warning: delay target has not been set via SDC or scratchpad; assuming 12 MHz clock.
Warning: delay target has not been set via SDC or scratchpad; assuming 12 MHz clock.
Warning: delay target has not been set via SDC or scratchpad; assuming 12 MHz clock.
Warning: Complex async reset for dff `\Q'.
Warning: Complex async reset for dff `\Q'.
Warning: Complex async reset for dff `\Q'.
Warning: Complex async reset for dff `\Q'.
Passed add_sub.ys
Warning: delay target has not been set via SDC or scratchpad; assuming 12 MHz clock.
Warning: Complex async reset for dff `\Q'.
Passed counter.ys
Warning: delay target has not been set via SDC or scratchpad; assuming 12 MHz clock.
Warning: Complex async reset for dff `\Q'.
Passed dffs.ys
Warning: delay target has not been set via SDC or scratchpad; assuming 12 MHz clock.
Warning: Complex async reset for dff `\Q'.
Passed logic.ys
Warning: delay target has not been set via SDC or scratchpad; assuming 12 MHz clock.
Passed fsm.ys
Warning: Complex async reset for dff `\Q'.
Warning: delay target has not been set via SDC or scratchpad; assuming 12 MHz clock.
Warning: Complex async reset for dff `\Q'.
Passed latches.ys
Passed tribuf.ys
Passed adffs.ys
Passed mux.ys
make[3]: Leaving directory '/<<PKGBUILDDIR>>/tests/arch/quicklogic'
cd tests/arch/gatemate && bash run-test.sh ""
make[3]: Entering directory '/<<PKGBUILDDIR>>/tests/arch/gatemate'
Passed counter.ys
Passed add_sub.ys
Passed dffs.ys
Passed fsm.ys
Passed logic.ys
Passed adffs.ys
Passed latches.ys
Passed mux.ys
Passed mul.ys
Passed shifter.ys
Passed tribuf.ys
Passed luttrees.ys
Passed memory.ys
make[3]: Leaving directory '/<<PKGBUILDDIR>>/tests/arch/gatemate'
cd tests/rpc && bash run-test.sh
Running exec.ys..
cd tests/memfile && bash run-test.sh
Running from the parent directory with content1.dat
Running from the parent directory with temp/content2.dat
Running from the parent directory with memfile/temp/content2.dat
Running from the same directory with content1.dat
Running from the same directory with temp/content2.dat
Running from a child directory with content1.dat
Running from a child directory with temp/content2.dat
Running from a child directory with content2.dat
Checking a failure when zero length filename is provided
memory.v:0: ERROR: Can not open file `` for \$readmemb.
Execution failed, which is OK.
Checking a failure when not existing filename is provided
memory.v:0: ERROR: Can not open file `content3.dat` for \$readmemb.
Execution failed, which is OK.
cd tests/verilog && bash run-test.sh
make[3]: Entering directory '/<<PKGBUILDDIR>>/tests/verilog'
<<EOF:0: ERROR: Expression width 1073741824 exceeds implementation limit of 16777216!
<<EOF:0: ERROR: Expression width 1073741824 exceeds implementation limit of 16777216!
Expected error pattern 'Expression width 1073741824 exceeds implementation limit of 16777216!' found !!!
Expected error pattern 'Expression width 1073741824 exceeds implementation limit of 16777216!' found !!!
ERROR: Latch inferred for signal `\top.$unnamed_block$1.y' from always_comb process `\top.$proc$<<EOF:0$2'.
Expected error pattern '^Latch inferred for signal `\\top\.\$unnamed_block\$1\.y' from always_comb process' found !!!
Passed absurd_width.ys
Passed absurd_width_const.ys
ERROR: Latch inferred for signal `\top.$unnamed_block$1.y' from always_comb process `\top.$proc$<<EOF:0$2'.
Expected error pattern '^Latch inferred for signal `\\top\.\$unnamed_block\$1\.y' from always_comb process' found !!!
Passed always_comb_latch_1.ys
Passed always_comb_latch_2.ys
ERROR: Latch inferred for signal `\top.$unnamed_block$1.y' from always_comb process `\top.$proc$<<EOF:0$2'.
ERROR: Latch inferred for signal `\top.$unnamed_block$3.y' from always_comb process `\top.$proc$<<EOF:0$4'.
Expected error pattern '^Latch inferred for signal `\\top\.\$unnamed_block\$1\.y' from always_comb process' found !!!
Expected error pattern '^Latch inferred for signal `\\top\.\$unnamed_block\$3\.y' from always_comb process' found !!!
Passed always_comb_latch_3.ys
Passed always_comb_latch_4.ys
Passed always_comb_nolatch_1.ys
Passed always_comb_nolatch_2.ys
Passed always_comb_nolatch_4.ys
Passed always_comb_nolatch_3.ys
Passed always_comb_nolatch_6.ys
Passed always_comb_nolatch_5.ys
<<EOF:5: ERROR: Begin label missing where end label (incorrect_name) was given.
Expected error pattern 'Begin label missing where end label \(incorrect_name\) was given\.' found !!!
Passed block_end_label_only.ys
<<EOF:5: ERROR: Begin label (correct_name) and end label (incorrect_name) don't match.
Expected error pattern 'Begin label \(correct_name\) and end label \(incorrect_name\) don't match\.' found !!!
Passed block_end_label_wrong.ys
<<EOT:5: ERROR: Begin label (a) and end label (b) don't match.
Expected error pattern 'Begin label \(a\) and end label \(b\) don't match\.' found !!!
Passed block_labels.ys
Passed bug2037.ys
<<EOT:5: ERROR: task/function argument direction missing
Expected error pattern 'task/function argument direction missing' found !!!
Passed bug2042.ys
<<EOT:6: ERROR: Failed to detect width for identifier \genblk1.y!
Expected error pattern 'Failed to detect width for identifier \\genblk1\.y!' found !!!
Passed bug2493.ys
<<EOT:6: ERROR: syntax error, unexpected TOK_ENDTASK, expecting ';'
Expected error pattern 'syntax error, unexpected TOK_ENDTASK, expecting ';'' found !!!
Passed bug2042-sv.ys
<<EOT:4: ERROR: Cannot add procedural assertion `\x' because a signal with the same name was already created at <<EOT:2.10-2.11!
Expected error pattern 'Cannot add procedural assertion `\\x' because a signal with the same name was already created' found !!!
Passed atom_type_signedness.ys
Passed bug656.ys
Passed conflict_assert.ys
<<EOT:5: ERROR: Cannot add cell `\x' because a memory with the same name was already created at <<EOT:4.15-4.16!
Expected error pattern 'Cannot add cell `\\x' because a memory with the same name was already created' found !!!
Passed conflict_cell_memory.ys
<<EOT:0: ERROR: Cannot add interface port `\i' because a signal with the same name was already created at <<EOT:9.10-9.11!
Expected error pattern 'Cannot add interface port `\\i' because a signal with the same name was already created' found !!!
<<EOT:3: ERROR: Cannot add memory `\x' because a signal with the same name was already created at <<EOT:2.15-2.16!
Expected error pattern 'Cannot add memory `\\x' because a signal with the same name was already created' found !!!
<<EOT:3: Warning: Ignoring assignment to constant bits:
    old assignment: 2 = 1
    new assignment: { } = { }.
<<EOT:4: ERROR: Cannot add pwire `\x' because a signal with the same name was already created at <<EOT:2.10-2.11!
Expected error pattern 'Cannot add pwire `\\x' because a signal with the same name was already created' found !!!
Passed conflict_interface_port.ys
Passed conflict_memory_wire.ys
Passed conflict_pwire.ys
<<EOT:3: ERROR: Cannot add signal `\x' because a memory with the same name was already created at <<EOT:2.15-2.16!
Expected error pattern 'Cannot add signal `\\x' because a memory with the same name was already created' found !!!
Passed conflict_wire_memory.ys
Warning: Complex async reset for dff `\q'.
Warning: Yosys has only limited support for tri-state logic at the moment. (<<EOT:3)
Warning: Yosys has only limited support for tri-state logic at the moment. (<<EOT:3)
Passed delay_mintypmax.ys
Passed delay_risefall.ys
Passed delay_time_scale.ys
<<EOT:4: ERROR: For loop variable declaration is missing initialization!
Expected error pattern 'For loop variable declaration is missing initialization!' found !!!
Passed for_decl_no_init.ys
<<EOT:4: ERROR: For loop inline variable declaration is only supported in SystemVerilog mode!
Expected error pattern 'For loop inline variable declaration is only supported in SystemVerilog mode!' found !!!
Passed for_decl_no_sv.ys
Passed doubleslash.ys
<<EOT:8: ERROR: Incompatible re-declaration of wire \f$func$<<EOT:8$1.inp.
Expected error pattern 'Incompatible re-declaration of wire' found !!!
Passed func_arg_mismatch_1.ys
<<EOT:0: ERROR: Incompatible re-declaration of constant function wire \f$func$<<EOT:8$1.inp.
Expected error pattern 'Incompatible re-declaration of constant function wire' found !!!
Passed func_arg_mismatch_2.ys
Passed for_decl_shadow.ys
<<EOT:8: ERROR: Incompatible re-declaration of wire \f$func$<<EOT:8$1.inp.
Expected error pattern 'Incompatible re-declaration of wire' found !!!
Passed func_arg_mismatch_3.ys
<<EOT:0: ERROR: Incompatible re-declaration of constant function wire \f$func$<<EOT:8$1.inp.
Expected error pattern 'Incompatible re-declaration of constant function wire' found !!!
Passed func_arg_mismatch_4.ys
Passed const_sr.ys
Passed const_arst.ys
Passed func_typename_ret.ys
<<EOF:5: ERROR: Begin label missing where end label (incorrect_name) was given.
<<EOF:5: ERROR: Begin label (correct_name) and end label (incorrect_name) don't match.
Expected error pattern 'Begin label \(correct_name\) and end label \(incorrect_name\) don't match\.' found !!!
Expected error pattern 'Begin label missing where end label \(incorrect_name\) was given\.' found !!!
Passed gen_block_end_label_only.ys
Passed gen_block_end_label_wrong.ys
Passed func_tern_hint.ys
<<EOT:4: ERROR: Cannot declare module port `\x' within a generate block.
Expected error pattern 'Cannot declare module port `\\x' within a generate block\.' found !!!
Passed genblk_port_decl.ys
Passed genblk_case.ys
<<EOT:2: ERROR: Generate for loop variable declaration is missing initialization!
Expected error pattern 'Generate for loop variable declaration is missing initialization!' found !!!
Passed genfor_decl_no_init.ys
<<EOT:2: ERROR: Generate for loop inline variable declaration is only supported in SystemVerilog mode!
Expected error pattern 'Generate for loop inline variable declaration is only supported in SystemVerilog mode!' found !!!
Passed genfor_decl_no_sv.ys
Warning: reg '\y' is assigned in a continuous assignment at genvar_loop_decl_3.sv:13.12-13.21.
Warning: reg '\y' is assigned in a continuous assignment at genvar_loop_decl_3.sv:27.12-27.21.
Passed genvar_loop_decl_1.ys
Passed genvar_loop_decl_2.ys
<<EOF:0: ERROR: Can't find object for defparam `$1`!
Expected error pattern 'Can't find object for defparam' found !!!
<<EOT:7: ERROR: Identifier `\y' is implicitly declared and `default_nettype is set to none.
Expected error pattern 'Identifier `\\y' is implicitly declared and `default_nettype is set to none' found !!!
Passed global_parameter.ys
Passed hidden_decl.ys
Passed genvar_loop_decl_3.ys
ERROR: Unterminated preprocessor conditional!
Expected error pattern 'Unterminated preprocessor conditional!' found !!!
Passed ifdef_nest.ys
Passed ifdef_unterminated.ys
Passed include_self.ys
<<EOF:3: ERROR: localparam initialization is missing!
Expected error pattern 'localparam initialization is missing!' found !!!
Passed localparam_no_default_1.ys
<<EOF:2: ERROR: localparam initialization is missing!
Expected error pattern 'localparam initialization is missing!' found !!!
Passed localparam_no_default_2.ys
ERROR: Expected to find '(' to begin macro arguments for 'MACRO', but instead found ';'
Expected error pattern 'Expected to find '\(' to begin macro arguments for 'MACRO', but instead found ';'' found !!!
Passed macro_unapplied.ys
Passed macro_arg_tromp.ys
ERROR: Expected to find '(' to begin macro arguments for 'foo', but instead found '\x0a'
Expected error pattern 'Expected to find '\(' to begin macro arguments for 'foo', but instead found '\\x0a'' found !!!
Passed macro_unapplied_newline.ys
<<EOF:3: ERROR: Module name (correct_name) and end label (incorrect_name) don't match.
Expected error pattern 'Module name \(correct_name\) and end label \(incorrect_name\) don't match\.' found !!!
Passed module_end_label.ys
Passed net_types.ys
<<EOF:3: ERROR: Package name (correct_name) and end label (incorrect_name) don't match.
Expected error pattern 'Package name \(correct_name\) and end label \(incorrect_name\) don't match\.' found !!!
Passed package_end_label.ys
Passed package_task_func.ys
Passed param_int_types.ys
Passed param_no_default.ys
<<EOF:3: ERROR: Parameter defaults can only be omitted in SystemVerilog mode!
Expected error pattern 'Parameter defaults can only be omitted in SystemVerilog mode!' found !!!
Passed param_no_default_not_svmode.ys
<<EOF:2: ERROR: Parameter `\X' has no default value and has not been overridden!
Expected error pattern 'Parameter `\\X' has no default value and has not been overridden!' found !!!
Passed param_no_default_unbound_1.ys
<<EOF:2: ERROR: Parameter `\X' has no default value and has not been overridden!
Expected error pattern 'Parameter `\\X' has no default value and has not been overridden!' found !!!
Passed param_no_default_unbound_2.ys
<<EOF:2: ERROR: Parameter `\Y' has no default value and has not been overridden!
Expected error pattern 'Parameter `\\Y' has no default value and has not been overridden!' found !!!
Passed param_no_default_unbound_3.ys
<<EOF:2: ERROR: Parameter `\X' has no default value and has not been overridden!
Expected error pattern 'Parameter `\\X' has no default value and has not been overridden!' found !!!
Passed param_no_default_unbound_4.ys
<<EOF:2: ERROR: Parameter `\X' has no default value and has not been overridden!
Expected error pattern 'Parameter `\\X' has no default value and has not been overridden!' found !!!
Passed param_no_default_unbound_5.ys
Passed parameters_across_files.ys
Passed past_signedness.ys
Passed port_int_types.ys
Passed int_types.ys
Passed sign_array_query.ys
Passed size_cast.ys
Passed prefix.ys
<<EOT:6: ERROR: syntax error, unexpected ATTR_BEGIN
Expected error pattern 'syntax error, unexpected ATTR_BEGIN' found !!!
Passed task_attr.ys
Passed typedef_across_files.ys
Passed typedef_legacy_conflict.ys
Warning: Yosys has only limited support for tri-state logic at the moment. (unbased_unsized.sv:17)
Warning: Yosys has only limited support for tri-state logic at the moment. (unbased_unsized.sv:21)
Warning: Yosys has only limited support for tri-state logic at the moment. (unbased_unsized.sv:25)
Warning: Yosys has only limited support for tri-state logic at the moment. (unbased_unsized.sv:30)
Warning: Yosys has only limited support for tri-state logic at the moment. (unbased_unsized.sv:34)
Warning: Yosys has only limited support for tri-state logic at the moment. (unbased_unsized.sv:38)
Passed unbased_unsized.ys
Warning: Resizing cell port gate.pt4.out from 64 bits to 40 bits.
Warning: Resizing cell port gate.pt3.out from 64 bits to 40 bits.
Warning: Resizing cell port gate.pt2.out from 64 bits to 40 bits.
Warning: Resizing cell port gate.pt1.out from 64 bits to 40 bits.
Warning: Resizing cell port gold.pt4.out from 64 bits to 40 bits.
Warning: Resizing cell port gold.pt3.out from 64 bits to 40 bits.
Warning: Resizing cell port gold.pt2.out from 64 bits to 40 bits.
Warning: Resizing cell port gold.pt1.out from 64 bits to 40 bits.
Passed struct_access.ys
ERROR: Found `else outside of macro conditional branch!
Expected error pattern 'Found `else outside of macro conditional branch!' found !!!
Passed unmatched_else.ys
ERROR: Found `elsif outside of macro conditional branch!
Expected error pattern 'Found `elsif outside of macro conditional branch!' found !!!
Passed unmatched_elsif.ys
ERROR: Found `endif outside of macro conditional branch!
Expected error pattern 'Found `endif outside of macro conditional branch!' found !!!
Passed unmatched_endif.ys
ERROR: Found `endif outside of macro conditional branch!
Expected error pattern 'Found `endif outside of macro conditional branch!' found !!!
Passed unmatched_endif_2.ys
<<EOT:3: ERROR: Local declaration in unnamed block is only supported in SystemVerilog mode!
Expected error pattern 'Local declaration in unnamed block is only supported in SystemVerilog mode!' found !!!
Passed unnamed_block.ys
Passed unnamed_genblk.ys
Passed unreachable_case_sign.ys
Passed upto.ys
Warning: wire '\wire_1' is assigned in a block at wire_and_var.sv:21.41-21.51.
Warning: reg '\reg_2' is assigned in a continuous assignment at wire_and_var.sv:22.57-22.66.
Warning: reg '\var_reg_2' is assigned in a continuous assignment at wire_and_var.sv:26.77-26.90.
Warning: wire '\wire_logic_1' is assigned in a block at wire_and_var.sv:30.65-30.81.
Warning: wire '\wire_integer_1' is assigned in a block at wire_and_var.sv:31.73-31.91.
Passed wire_and_var.ys
Passed unbased_unsized_tern.ys
Passed mem_bounds.ys
Passed func_upto.ys
Passed dynamic_range_lhs.sh
make[3]: Leaving directory '/<<PKGBUILDDIR>>/tests/verilog'

  Passed "make test".

make[2]: Leaving directory '/<<PKGBUILDDIR>>'
make[1]: Leaving directory '/<<PKGBUILDDIR>>'
   create-stamp debian/debhelper-build-stamp
   dh_prep -a
   debian/rules override_dh_auto_install
make[1]: Entering directory '/<<PKGBUILDDIR>>'
dh_auto_install
	make -j4 install DESTDIR=/<<PKGBUILDDIR>>/debian/tmp AM_UPDATE_INFO_DIR=no "INSTALL=install --strip-program=true"
make[2]: Entering directory '/<<PKGBUILDDIR>>'
[Makefile.conf] CONFIG := gcc
[Makefile.conf] ABCEXTERNAL=berkeley-abc
[Makefile.conf] ABCPULL=0
[Makefile.conf] STRIP=:
mkdir -p /<<PKGBUILDDIR>>/debian/tmp/usr/bin
cp yosys yosys-config yosys-filterlib yosys-smtbmc yosys-witness /<<PKGBUILDDIR>>/debian/tmp/usr/bin
: -S /<<PKGBUILDDIR>>/debian/tmp/usr/bin/yosys
: /<<PKGBUILDDIR>>/debian/tmp/usr/bin/yosys-filterlib
mkdir -p /<<PKGBUILDDIR>>/debian/tmp/usr/share/yosys
cp -r share/. /<<PKGBUILDDIR>>/debian/tmp/usr/share/yosys/.
make[2]: Leaving directory '/<<PKGBUILDDIR>>'
chmod a-x debian/tmp/usr/share/yosys/achronix/speedster22i/cells*.v
make[1]: Leaving directory '/<<PKGBUILDDIR>>'
   dh_install -a
   dh_installdocs -a
   dh_installchangelogs -a
   debian/rules override_dh_installman
make[1]: Entering directory '/<<PKGBUILDDIR>>'
cd debian/man ; CHANGELOG_DATE="03 December 2022" ./genmanpages.sh
dh_installman
make[1]: Leaving directory '/<<PKGBUILDDIR>>'
   dh_python3 -a
I: dh_python3 tools:114: replacing shebang in debian/yosys/usr/bin/yosys-smtbmc
I: dh_python3 tools:114: replacing shebang in debian/yosys/usr/bin/yosys-witness
   dh_perl -a
   dh_link -a
   dh_strip_nondeterminism -a
   debian/rules override_dh_compress
make[1]: Entering directory '/<<PKGBUILDDIR>>'
dh_compress --exclude=.pdf
make[1]: Leaving directory '/<<PKGBUILDDIR>>'
   dh_fixperms -a
   dh_missing -a
   dh_dwz -a
dwz: Too few files for multifile optimization
dh_dwz: warning: No dwz multifile created, but not explicitly requested either so ignoring it.
dh_dwz: warning: Common issues include no debug information at all (missing -g) and
dh_dwz: warning: compressed debug information (#931891).
   dh_strip -a
   dh_makeshlibs -a
   dh_shlibdeps -a
dpkg-shlibdeps: warning: debian/yosys/usr/bin/yosys-filterlib contains an unresolvable reference to symbol __aeabi_atexit@CXXABI_ARM_1.3.3: it's probably a plugin
dpkg-shlibdeps: warning: debian/yosys/usr/bin/yosys contains an unresolvable reference to symbol __aeabi_atexit@CXXABI_ARM_1.3.3: it's probably a plugin
   dh_installdeb -a
   dh_gencontrol -a
dpkg-gencontrol: warning: Depends field of package yosys-dev: substitution variable ${shlibs:Depends} used, but is not defined
dpkg-gencontrol: warning: Depends field of package yosys-dev: substitution variable ${python3:Depends} used, but is not defined
   dh_md5sums -a
   dh_builddeb -a
dpkg-deb: building package 'yosys' in '../yosys_0.23-6_armhf.deb'.
dpkg-deb: building package 'yosys-dev' in '../yosys-dev_0.23-6_armhf.deb'.
dpkg-deb: building package 'yosys-dbgsym' in '../yosys-dbgsym_0.23-6_armhf.deb'.
 dpkg-genbuildinfo --build=any -O../yosys_0.23-6_armhf.buildinfo
 dpkg-genchanges --build=any -mRaspbian wandboard test autobuilder <root@raspbian.org> -O../yosys_0.23-6_armhf.changes
dpkg-genchanges: info: binary-only arch-specific upload (source code and arch-indep packages not included)
 dpkg-source --after-build .
dpkg-buildpackage: info: binary-only upload (no source included)
--------------------------------------------------------------------------------
Build finished at 2022-12-09T14:07:21Z

Finished
--------

I: Built successfully

+------------------------------------------------------------------------------+
| Post Build Chroot                                                            |
+------------------------------------------------------------------------------+


+------------------------------------------------------------------------------+
| Changes                                                                      |
+------------------------------------------------------------------------------+


yosys_0.23-6_armhf.changes:
---------------------------

Format: 1.8
Date: Sat, 03 Dec 2022 23:15:20 +0100
Source: yosys
Binary: yosys yosys-dbgsym yosys-dev
Architecture: armhf
Version: 0.23-6
Distribution: bookworm-staging
Urgency: medium
Maintainer: Raspbian wandboard test autobuilder <root@raspbian.org>
Changed-By: Daniel Gröber <dxld@darkboxed.org>
Description:
 yosys      - Framework for Verilog RTL synthesis
 yosys-dev  - Framework for Verilog RTL synthesis (development files)
Changes:
 yosys (0.23-6) unstable; urgency=medium
 .
   * Fix autotest error patch
   * Require berkeley-abc 1.01+20221019git70cb339+dfsg-3 to fix armhf
Checksums-Sha1:
 587999959a58659f04e74c3dbb0c6aef19bd9f64 69903812 yosys-dbgsym_0.23-6_armhf.deb
 8489299ee86d781cdac536b2181e0fda55a4064b 95268 yosys-dev_0.23-6_armhf.deb
 94fedad19bbedd6183da8e13d7c02f73d5f285fb 6240 yosys_0.23-6_armhf.buildinfo
 da89e1663193488b3117bf6d78f1b12c8e8ac5ad 2863172 yosys_0.23-6_armhf.deb
Checksums-Sha256:
 c6c6d8481837a3337edbf1a82c1174b40c05f4d653b82de1d9f3c2b2353ebdf9 69903812 yosys-dbgsym_0.23-6_armhf.deb
 82076ec914f0c9026a8f2f1b790e41b994c6ace36645b54fe50d5ab5d9d6b942 95268 yosys-dev_0.23-6_armhf.deb
 4791466b940d6595013e8ddeaccdd40c77e4af0918ccd6ffb1b95c35eefaaee8 6240 yosys_0.23-6_armhf.buildinfo
 404f0d1799bf13abec0113b108917319a2fd91d48dcf67ffc93a9194edb2f375 2863172 yosys_0.23-6_armhf.deb
Files:
 81c3e6b8710f13a2529757621d9ddeba 69903812 debug optional yosys-dbgsym_0.23-6_armhf.deb
 abf105e814c054a51d9d0d6675ba7f52 95268 electronics optional yosys-dev_0.23-6_armhf.deb
 bed901f8c44af6e9f216b2d903cec59b 6240 electronics optional yosys_0.23-6_armhf.buildinfo
 2821eed7a13d03a50ed004defd010e66 2863172 electronics optional yosys_0.23-6_armhf.deb

+------------------------------------------------------------------------------+
| Package contents                                                             |
+------------------------------------------------------------------------------+


yosys-dbgsym_0.23-6_armhf.deb
-----------------------------

 new Debian package, version 2.0.
 size 69903812 bytes: control archive=592 bytes.
     410 bytes,    12 lines      control              
     212 bytes,     2 lines      md5sums              
 Package: yosys-dbgsym
 Source: yosys
 Version: 0.23-6
 Auto-Built-Package: debug-symbols
 Architecture: armhf
 Maintainer: Debian Science Maintainers <debian-science-maintainers@lists.alioth.debian.org>
 Installed-Size: 71136
 Depends: yosys (= 0.23-6)
 Section: debug
 Priority: optional
 Description: debug symbols for yosys
 Build-Ids: 19741d0f76c33e07cb97a5326ed91dbf5c0f2f59 23e0ed6837043bee7486f1b7d0189b634ecafac1

drwxr-xr-x root/root         0 2022-12-03 22:15 ./
drwxr-xr-x root/root         0 2022-12-03 22:15 ./usr/
drwxr-xr-x root/root         0 2022-12-03 22:15 ./usr/lib/
drwxr-xr-x root/root         0 2022-12-03 22:15 ./usr/lib/debug/
drwxr-xr-x root/root         0 2022-12-03 22:15 ./usr/lib/debug/.build-id/
drwxr-xr-x root/root         0 2022-12-03 22:15 ./usr/lib/debug/.build-id/19/
-rw-r--r-- root/root    145132 2022-12-03 22:15 ./usr/lib/debug/.build-id/19/741d0f76c33e07cb97a5326ed91dbf5c0f2f59.debug
drwxr-xr-x root/root         0 2022-12-03 22:15 ./usr/lib/debug/.build-id/23/
-rw-r--r-- root/root  72686272 2022-12-03 22:15 ./usr/lib/debug/.build-id/23/e0ed6837043bee7486f1b7d0189b634ecafac1.debug
drwxr-xr-x root/root         0 2022-12-03 22:15 ./usr/share/
drwxr-xr-x root/root         0 2022-12-03 22:15 ./usr/share/doc/
lrwxrwxrwx root/root         0 2022-12-03 22:15 ./usr/share/doc/yosys-dbgsym -> yosys


yosys-dev_0.23-6_armhf.deb
--------------------------

 new Debian package, version 2.0.
 size 95268 bytes: control archive=1904 bytes.
     845 bytes,    20 lines      control              
    3168 bytes,    41 lines      md5sums              
 Package: yosys-dev
 Source: yosys
 Version: 0.23-6
 Architecture: armhf
 Maintainer: Debian Science Maintainers <debian-science-maintainers@lists.alioth.debian.org>
 Installed-Size: 475
 Depends: tcl-dev, libffi-dev, libreadline-dev
 Section: electronics
 Priority: optional
 Homepage: https://github.com/YosysHQ/yosys
 Description: Framework for Verilog RTL synthesis (development files)
  Yosys is a framework for Verilog RTL synthesis. It currently has extensive
  Verilog-2005 support and provides a basic set of synthesis algorithms for
  various application domains.
  .
  Yosys can be adapted to perform any synthesis job by combining the existing
  passes (algorithms) using synthesis scripts and adding additional passes as
  needed by extending the yosys C++ code base.
  .
  This package contains the headers and programs needed to build yosys plugins.

drwxr-xr-x root/root         0 2022-12-03 22:15 ./
drwxr-xr-x root/root         0 2022-12-03 22:15 ./usr/
drwxr-xr-x root/root         0 2022-12-03 22:15 ./usr/bin/
-rwxr-xr-x root/root      3446 2022-12-03 22:15 ./usr/bin/yosys-config
drwxr-xr-x root/root         0 2022-12-03 22:15 ./usr/share/
drwxr-xr-x root/root         0 2022-12-03 22:15 ./usr/share/doc/
drwxr-xr-x root/root         0 2022-12-03 22:15 ./usr/share/doc/yosys-dev/
-rw-r--r-- root/root      1455 2022-12-03 22:15 ./usr/share/doc/yosys-dev/changelog.Debian.gz
-rw-r--r-- root/root     14495 2022-11-08 06:55 ./usr/share/doc/yosys-dev/changelog.gz
-rw-r--r-- root/root      8978 2022-11-13 23:51 ./usr/share/doc/yosys-dev/copyright
drwxr-xr-x root/root         0 2022-12-03 22:15 ./usr/share/man/
drwxr-xr-x root/root         0 2022-12-03 22:15 ./usr/share/man/man1/
-rw-r--r-- root/root       898 2022-12-03 22:15 ./usr/share/man/man1/yosys-config.1.gz
drwxr-xr-x root/root         0 2022-12-03 22:15 ./usr/share/yosys/
drwxr-xr-x root/root         0 2022-12-03 22:15 ./usr/share/yosys/include/
drwxr-xr-x root/root         0 2022-12-03 22:15 ./usr/share/yosys/include/backends/
drwxr-xr-x root/root         0 2022-12-03 22:15 ./usr/share/yosys/include/backends/cxxrtl/
-rw-r--r-- root/root     54042 2022-12-03 22:15 ./usr/share/yosys/include/backends/cxxrtl/cxxrtl.h
-rw-r--r-- root/root      2951 2022-12-03 22:15 ./usr/share/yosys/include/backends/cxxrtl/cxxrtl_capi.cc
-rw-r--r-- root/root     13413 2022-12-03 22:15 ./usr/share/yosys/include/backends/cxxrtl/cxxrtl_capi.h
-rw-r--r-- root/root      8497 2022-12-03 22:15 ./usr/share/yosys/include/backends/cxxrtl/cxxrtl_vcd.h
-rw-r--r-- root/root      2816 2022-12-03 22:15 ./usr/share/yosys/include/backends/cxxrtl/cxxrtl_vcd_capi.cc
-rw-r--r-- root/root      4290 2022-12-03 22:15 ./usr/share/yosys/include/backends/cxxrtl/cxxrtl_vcd_capi.h
drwxr-xr-x root/root         0 2022-12-03 22:15 ./usr/share/yosys/include/backends/rtlil/
-rw-r--r-- root/root      2407 2022-12-03 22:15 ./usr/share/yosys/include/backends/rtlil/rtlil_backend.h
drwxr-xr-x root/root         0 2022-12-03 22:15 ./usr/share/yosys/include/frontends/
drwxr-xr-x root/root         0 2022-12-03 22:15 ./usr/share/yosys/include/frontends/ast/
-rw-r--r-- root/root     15157 2022-12-03 22:15 ./usr/share/yosys/include/frontends/ast/ast.h
-rw-r--r-- root/root      1756 2022-12-03 22:15 ./usr/share/yosys/include/frontends/ast/ast_binding.h
drwxr-xr-x root/root         0 2022-12-03 22:15 ./usr/share/yosys/include/frontends/blif/
-rw-r--r-- root/root      1139 2022-12-03 22:15 ./usr/share/yosys/include/frontends/blif/blifparse.h
drwxr-xr-x root/root         0 2022-12-03 22:15 ./usr/share/yosys/include/kernel/
-rw-r--r-- root/root      1947 2022-12-03 22:15 ./usr/share/yosys/include/kernel/binding.h
-rw-r--r-- root/root      2216 2022-12-03 22:15 ./usr/share/yosys/include/kernel/celledges.h
-rw-r--r-- root/root     17647 2022-12-03 22:15 ./usr/share/yosys/include/kernel/celltypes.h
-rw-r--r-- root/root     10913 2022-12-03 22:15 ./usr/share/yosys/include/kernel/consteval.h
-rw-r--r-- root/root      3399 2022-12-03 22:15 ./usr/share/yosys/include/kernel/constids.inc
-rw-r--r-- root/root      7574 2022-12-03 22:15 ./usr/share/yosys/include/kernel/ff.h
-rw-r--r-- root/root      3473 2022-12-03 22:15 ./usr/share/yosys/include/kernel/ffinit.h
-rw-r--r-- root/root      2465 2022-12-03 22:15 ./usr/share/yosys/include/kernel/fstdata.h
-rw-r--r-- root/root     29220 2022-12-03 22:15 ./usr/share/yosys/include/kernel/hashlib.h
-rw-r--r-- root/root     16606 2022-12-03 22:15 ./usr/share/yosys/include/kernel/log.h
-rw-r--r-- root/root      6991 2022-12-03 22:15 ./usr/share/yosys/include/kernel/macc.h
-rw-r--r-- root/root      9176 2022-12-03 22:15 ./usr/share/yosys/include/kernel/mem.h
-rw-r--r-- root/root     14369 2022-12-03 22:15 ./usr/share/yosys/include/kernel/modtools.h
-rw-r--r-- root/root      2804 2022-12-03 22:15 ./usr/share/yosys/include/kernel/qcsat.h
-rw-r--r-- root/root      5387 2022-12-03 22:15 ./usr/share/yosys/include/kernel/register.h
-rw-r--r-- root/root     82137 2022-12-03 22:15 ./usr/share/yosys/include/kernel/rtlil.h
-rw-r--r-- root/root     10457 2022-12-03 22:15 ./usr/share/yosys/include/kernel/satgen.h
-rw-r--r-- root/root      7504 2022-12-03 22:15 ./usr/share/yosys/include/kernel/sigtools.h
-rw-r--r-- root/root      5032 2022-12-03 22:15 ./usr/share/yosys/include/kernel/utils.h
-rw-r--r-- root/root     12266 2022-12-03 22:15 ./usr/share/yosys/include/kernel/yosys.h
drwxr-xr-x root/root         0 2022-12-03 22:15 ./usr/share/yosys/include/libs/
drwxr-xr-x root/root         0 2022-12-03 22:15 ./usr/share/yosys/include/libs/ezsat/
-rw-r--r-- root/root      2098 2022-12-03 22:15 ./usr/share/yosys/include/libs/ezsat/ezminisat.h
-rw-r--r-- root/root     14523 2022-12-03 22:15 ./usr/share/yosys/include/libs/ezsat/ezsat.h
drwxr-xr-x root/root         0 2022-12-03 22:15 ./usr/share/yosys/include/libs/fst/
-rw-r--r-- root/root     16653 2022-12-03 22:15 ./usr/share/yosys/include/libs/fst/fstapi.h
drwxr-xr-x root/root         0 2022-12-03 22:15 ./usr/share/yosys/include/libs/json11/
-rw-r--r-- root/root      9268 2022-12-03 22:15 ./usr/share/yosys/include/libs/json11/json11.hpp
drwxr-xr-x root/root         0 2022-12-03 22:15 ./usr/share/yosys/include/libs/sha1/
-rw-r--r-- root/root      1382 2022-12-03 22:15 ./usr/share/yosys/include/libs/sha1/sha1.h
drwxr-xr-x root/root         0 2022-12-03 22:15 ./usr/share/yosys/include/passes/
drwxr-xr-x root/root         0 2022-12-03 22:15 ./usr/share/yosys/include/passes/fsm/
-rw-r--r-- root/root      6753 2022-12-03 22:15 ./usr/share/yosys/include/passes/fsm/fsmdata.h


yosys_0.23-6_armhf.deb
----------------------

 new Debian package, version 2.0.
 size 2863172 bytes: control archive=6004 bytes.
     913 bytes,    17 lines      control              
   14366 bytes,   203 lines      md5sums              
     289 bytes,    12 lines   *  postinst             #!/bin/sh
     370 bytes,    12 lines   *  prerm                #!/bin/sh
 Package: yosys
 Version: 0.23-6
 Architecture: armhf
 Maintainer: Debian Science Maintainers <debian-science-maintainers@lists.alioth.debian.org>
 Installed-Size: 12930
 Depends: libc6 (>= 2.35), libffi8 (>= 3.4), libgcc-s1 (>= 3.5), libreadline8 (>= 6.0), libstdc++6 (>= 11), libtcl8.6 (>= 8.6.0), zlib1g (>= 1:1.2.0), python3:any, python3-click, berkeley-abc (>= 1.01+20221019git70cb339+dfsg-3), xdot
 Section: electronics
 Priority: optional
 Homepage: https://github.com/YosysHQ/yosys
 Description: Framework for Verilog RTL synthesis
  This is a framework for Verilog RTL synthesis. It currently has extensive
  Verilog-2005 support and provides a basic set of synthesis algorithms for
  various application domains.
  .
  Yosys can be adapted to perform any synthesis job by combining the existing
  passes (algorithms) using synthesis scripts and adding additional passes as
  needed by extending the yosys C++ code base.

drwxr-xr-x root/root         0 2022-12-03 22:15 ./
drwxr-xr-x root/root         0 2022-12-03 22:15 ./usr/
drwxr-xr-x root/root         0 2022-12-03 22:15 ./usr/bin/
-rwxr-xr-x root/root   8985000 2022-12-03 22:15 ./usr/bin/yosys
lrwxrwxrwx root/root         0 2022-12-03 22:15 ./usr/bin/yosys-abc -> berkeley-abc
-rwxr-xr-x root/root     67028 2022-12-03 22:15 ./usr/bin/yosys-filterlib
-rwxr-xr-x root/root     69371 2022-12-03 22:15 ./usr/bin/yosys-smtbmc
-rwxr-xr-x root/root      8780 2022-12-03 22:15 ./usr/bin/yosys-witness
drwxr-xr-x root/root         0 2022-12-03 22:15 ./usr/share/
drwxr-xr-x root/root         0 2022-12-03 22:15 ./usr/share/doc/
drwxr-xr-x root/root         0 2022-12-03 22:15 ./usr/share/doc/yosys/
-rw-r--r-- root/root      9409 2022-11-08 06:55 ./usr/share/doc/yosys/README.md.gz
-rw-r--r-- root/root      1455 2022-12-03 22:15 ./usr/share/doc/yosys/changelog.Debian.gz
-rw-r--r-- root/root     14495 2022-11-08 06:55 ./usr/share/doc/yosys/changelog.gz
-rw-r--r-- root/root      8978 2022-11-13 23:51 ./usr/share/doc/yosys/copyright
drwxr-xr-x root/root         0 2022-12-03 22:15 ./usr/share/man/
drwxr-xr-x root/root         0 2022-12-03 22:15 ./usr/share/man/man1/
-rw-r--r-- root/root       560 2022-12-03 22:15 ./usr/share/man/man1/yosys-abc.1.gz
-rw-r--r-- root/root       963 2022-12-03 22:15 ./usr/share/man/man1/yosys-filterlib.1.gz
-rw-r--r-- root/root       653 2022-12-03 22:15 ./usr/share/man/man1/yosys-smtbmc.1.gz
-rw-r--r-- root/root       447 2022-12-03 22:15 ./usr/share/man/man1/yosys-witness.1.gz
-rw-r--r-- root/root      1785 2022-12-03 22:15 ./usr/share/man/man1/yosys.1.gz
drwxr-xr-x root/root         0 2022-12-03 22:15 ./usr/share/python3/
drwxr-xr-x root/root         0 2022-12-03 22:15 ./usr/share/python3/runtime.d/
-rwxr-xr-x root/root       125 2022-12-03 22:15 ./usr/share/python3/runtime.d/yosys.rtupdate
drwxr-xr-x root/root         0 2022-12-03 22:15 ./usr/share/yosys/
-rw-r--r-- root/root       923 2022-12-03 22:15 ./usr/share/yosys/abc9_map.v
-rw-r--r-- root/root       653 2022-12-03 22:15 ./usr/share/yosys/abc9_model.v
-rw-r--r-- root/root       638 2022-12-03 22:15 ./usr/share/yosys/abc9_unmap.v
drwxr-xr-x root/root         0 2022-12-03 22:15 ./usr/share/yosys/achronix/
drwxr-xr-x root/root         0 2022-12-03 22:15 ./usr/share/yosys/achronix/speedster22i/
-rw-r--r-- root/root      2629 2022-12-03 22:15 ./usr/share/yosys/achronix/speedster22i/cells_map.v
-rw-r--r-- root/root      2343 2022-12-03 22:15 ./usr/share/yosys/achronix/speedster22i/cells_sim.v
-rw-r--r-- root/root       575 2022-12-03 22:15 ./usr/share/yosys/adff2dff.v
drwxr-xr-x root/root         0 2022-12-03 22:15 ./usr/share/yosys/anlogic/
-rw-r--r-- root/root      2473 2022-12-03 22:15 ./usr/share/yosys/anlogic/arith_map.v
-rw-r--r-- root/root      1137 2022-12-03 22:15 ./usr/share/yosys/anlogic/brams.txt
-rw-r--r-- root/root     12152 2022-12-03 22:15 ./usr/share/yosys/anlogic/brams_map.v
-rw-r--r-- root/root      3928 2022-12-03 22:15 ./usr/share/yosys/anlogic/cells_map.v
-rw-r--r-- root/root      3209 2022-12-03 22:15 ./usr/share/yosys/anlogic/cells_sim.v
-rw-r--r-- root/root     33586 2022-12-03 22:15 ./usr/share/yosys/anlogic/eagle_bb.v
-rw-r--r-- root/root       151 2022-12-03 22:15 ./usr/share/yosys/anlogic/lutrams.txt
-rw-r--r-- root/root       661 2022-12-03 22:15 ./usr/share/yosys/anlogic/lutrams_map.v
-rw-r--r-- root/root      2432 2022-12-03 22:15 ./usr/share/yosys/cells.lib
-rw-r--r-- root/root      5260 2022-12-03 22:15 ./usr/share/yosys/cmp2lcu.v
-rw-r--r-- root/root      2540 2022-12-03 22:15 ./usr/share/yosys/cmp2lut.v
drwxr-xr-x root/root         0 2022-12-03 22:15 ./usr/share/yosys/coolrunner2/
-rw-r--r-- root/root      4884 2022-12-03 22:15 ./usr/share/yosys/coolrunner2/cells_counter_map.v
-rw-r--r-- root/root       367 2022-12-03 22:15 ./usr/share/yosys/coolrunner2/cells_latch.v
-rw-r--r-- root/root      5435 2022-12-03 22:15 ./usr/share/yosys/coolrunner2/cells_sim.v
-rw-r--r-- root/root       465 2022-12-03 22:15 ./usr/share/yosys/coolrunner2/tff_extract.v
-rw-r--r-- root/root       839 2022-12-03 22:15 ./usr/share/yosys/coolrunner2/xc2_dff.lib
-rw-r--r-- root/root       299 2022-12-03 22:15 ./usr/share/yosys/dff2ff.v
drwxr-xr-x root/root         0 2022-12-03 22:15 ./usr/share/yosys/ecp5/
-rw-r--r-- root/root      2591 2022-12-03 22:15 ./usr/share/yosys/ecp5/arith_map.v
-rw-r--r-- root/root       822 2022-12-03 22:15 ./usr/share/yosys/ecp5/brams.txt
-rw-r--r-- root/root     11917 2022-12-03 22:15 ./usr/share/yosys/ecp5/brams_map.v
-rw-r--r-- root/root     36242 2022-12-03 22:15 ./usr/share/yosys/ecp5/cells_bb.v
-rw-r--r-- root/root      6129 2022-12-03 22:15 ./usr/share/yosys/ecp5/cells_ff.vh
-rw-r--r-- root/root      1748 2022-12-03 22:15 ./usr/share/yosys/ecp5/cells_io.vh
-rw-r--r-- root/root     15010 2022-12-03 22:15 ./usr/share/yosys/ecp5/cells_map.v
-rw-r--r-- root/root     25657 2022-12-03 22:15 ./usr/share/yosys/ecp5/cells_sim.v
-rw-r--r-- root/root      1436 2022-12-03 22:15 ./usr/share/yosys/ecp5/dsp_map.v
-rw-r--r-- root/root       258 2022-12-03 22:15 ./usr/share/yosys/ecp5/latches_map.v
-rw-r--r-- root/root       145 2022-12-03 22:15 ./usr/share/yosys/ecp5/lutrams.txt
-rw-r--r-- root/root       519 2022-12-03 22:15 ./usr/share/yosys/ecp5/lutrams_map.v
drwxr-xr-x root/root         0 2022-12-03 22:15 ./usr/share/yosys/efinix/
-rw-r--r-- root/root      2437 2022-12-03 22:15 ./usr/share/yosys/efinix/arith_map.v
-rw-r--r-- root/root       296 2022-12-03 22:15 ./usr/share/yosys/efinix/brams.txt
-rw-r--r-- root/root      3603 2022-12-03 22:15 ./usr/share/yosys/efinix/brams_map.v
-rw-r--r-- root/root      2693 2022-12-03 22:15 ./usr/share/yosys/efinix/cells_map.v
-rw-r--r-- root/root      5391 2022-12-03 22:15 ./usr/share/yosys/efinix/cells_sim.v
-rw-r--r-- root/root       131 2022-12-03 22:15 ./usr/share/yosys/efinix/gbuf_map.v
-rw-r--r-- root/root      1447 2022-12-03 22:15 ./usr/share/yosys/gate2lut.v
drwxr-xr-x root/root         0 2022-12-03 22:15 ./usr/share/yosys/gatemate/
-rw-r--r-- root/root      2016 2022-12-03 22:15 ./usr/share/yosys/gatemate/arith_map.v
-rw-r--r-- root/root      1230 2022-12-03 22:15 ./usr/share/yosys/gatemate/brams.txt
-rw-r--r-- root/root      4480 2022-12-03 22:15 ./usr/share/yosys/gatemate/brams_init_20.vh
-rw-r--r-- root/root     17970 2022-12-03 22:15 ./usr/share/yosys/gatemate/brams_init_40.vh
-rw-r--r-- root/root     29326 2022-12-03 22:15 ./usr/share/yosys/gatemate/brams_map.v
-rw-r--r-- root/root      5023 2022-12-03 22:15 ./usr/share/yosys/gatemate/cells_bb.v
-rw-r--r-- root/root     54692 2022-12-03 22:15 ./usr/share/yosys/gatemate/cells_sim.v
-rw-r--r-- root/root       180 2022-12-03 22:15 ./usr/share/yosys/gatemate/inv_map.v
-rw-r--r-- root/root      1558 2022-12-03 22:15 ./usr/share/yosys/gatemate/lut_map.v
-rw-r--r-- root/root      6422 2022-12-03 22:15 ./usr/share/yosys/gatemate/lut_tree_cells.genlib
-rw-r--r-- root/root     19119 2022-12-03 22:15 ./usr/share/yosys/gatemate/lut_tree_map.v
-rw-r--r-- root/root      2029 2022-12-03 22:15 ./usr/share/yosys/gatemate/mul_map.v
-rw-r--r-- root/root      1493 2022-12-03 22:15 ./usr/share/yosys/gatemate/mux_map.v
-rw-r--r-- root/root      1655 2022-12-03 22:15 ./usr/share/yosys/gatemate/reg_map.v
drwxr-xr-x root/root         0 2022-12-03 22:15 ./usr/share/yosys/gowin/
-rw-r--r-- root/root      2088 2022-12-03 22:15 ./usr/share/yosys/gowin/arith_map.v
-rw-r--r-- root/root      1225 2022-12-03 22:15 ./usr/share/yosys/gowin/brams.txt
-rw-r--r-- root/root      9019 2022-12-03 22:15 ./usr/share/yosys/gowin/brams_map.v
-rw-r--r-- root/root      6100 2022-12-03 22:15 ./usr/share/yosys/gowin/cells_map.v
-rw-r--r-- root/root     37288 2022-12-03 22:15 ./usr/share/yosys/gowin/cells_sim.v
-rw-r--r-- root/root       160 2022-12-03 22:15 ./usr/share/yosys/gowin/lutrams.txt
-rw-r--r-- root/root      1122 2022-12-03 22:15 ./usr/share/yosys/gowin/lutrams_map.v
drwxr-xr-x root/root         0 2022-12-03 22:15 ./usr/share/yosys/greenpak4/
-rw-r--r-- root/root       365 2022-12-03 22:15 ./usr/share/yosys/greenpak4/cells_blackbox.v
-rw-r--r-- root/root       248 2022-12-03 22:15 ./usr/share/yosys/greenpak4/cells_latch.v
-rw-r--r-- root/root      5298 2022-12-03 22:15 ./usr/share/yosys/greenpak4/cells_map.v
-rw-r--r-- root/root       105 2022-12-03 22:15 ./usr/share/yosys/greenpak4/cells_sim.v
-rw-r--r-- root/root      2072 2022-12-03 22:15 ./usr/share/yosys/greenpak4/cells_sim_ams.v
-rw-r--r-- root/root     15006 2022-12-03 22:15 ./usr/share/yosys/greenpak4/cells_sim_digital.v
-rw-r--r-- root/root      2926 2022-12-03 22:15 ./usr/share/yosys/greenpak4/cells_sim_wip.v
-rw-r--r-- root/root       998 2022-12-03 22:15 ./usr/share/yosys/greenpak4/gp_dff.lib
drwxr-xr-x root/root         0 2022-12-03 22:15 ./usr/share/yosys/ice40/
-rw-r--r-- root/root      3612 2022-12-03 22:15 ./usr/share/yosys/ice40/abc9_model.v
-rw-r--r-- root/root      2172 2022-12-03 22:15 ./usr/share/yosys/ice40/arith_map.v
-rw-r--r-- root/root       300 2022-12-03 22:15 ./usr/share/yosys/ice40/brams.txt
-rw-r--r-- root/root      3958 2022-12-03 22:15 ./usr/share/yosys/ice40/brams_map.v
-rw-r--r-- root/root      1087 2022-12-03 22:15 ./usr/share/yosys/ice40/cells_map.v
-rw-r--r-- root/root    153943 2022-12-03 22:15 ./usr/share/yosys/ice40/cells_sim.v
-rw-r--r-- root/root       789 2022-12-03 22:15 ./usr/share/yosys/ice40/dsp_map.v
-rw-r--r-- root/root      3043 2022-12-03 22:15 ./usr/share/yosys/ice40/ff_map.v
-rw-r--r-- root/root       258 2022-12-03 22:15 ./usr/share/yosys/ice40/latches_map.v
-rw-r--r-- root/root       153 2022-12-03 22:15 ./usr/share/yosys/ice40/spram.txt
-rw-r--r-- root/root       475 2022-12-03 22:15 ./usr/share/yosys/ice40/spram_map.v
drwxr-xr-x root/root         0 2022-12-03 22:15 ./usr/share/yosys/intel/
drwxr-xr-x root/root         0 2022-12-03 22:15 ./usr/share/yosys/intel/common/
-rw-r--r-- root/root     14302 2022-12-03 22:15 ./usr/share/yosys/intel/common/altpll_bb.v
-rw-r--r-- root/root       518 2022-12-03 22:15 ./usr/share/yosys/intel/common/brams_m9k.txt
-rw-r--r-- root/root      4319 2022-12-03 22:15 ./usr/share/yosys/intel/common/brams_map_m9k.v
-rw-r--r-- root/root       630 2022-12-03 22:15 ./usr/share/yosys/intel/common/ff_map.v
-rw-r--r-- root/root      3076 2022-12-03 22:15 ./usr/share/yosys/intel/common/m9k_bb.v
drwxr-xr-x root/root         0 2022-12-03 22:15 ./usr/share/yosys/intel/cyclone10lp/
-rw-r--r-- root/root      3442 2022-12-03 22:15 ./usr/share/yosys/intel/cyclone10lp/cells_map.v
-rw-r--r-- root/root      4373 2022-12-03 22:15 ./usr/share/yosys/intel/cyclone10lp/cells_sim.v
drwxr-xr-x root/root         0 2022-12-03 22:15 ./usr/share/yosys/intel/cycloneiv/
-rw-r--r-- root/root      2302 2022-12-03 22:15 ./usr/share/yosys/intel/cycloneiv/cells_map.v
-rw-r--r-- root/root      9690 2022-12-03 22:15 ./usr/share/yosys/intel/cycloneiv/cells_sim.v
drwxr-xr-x root/root         0 2022-12-03 22:15 ./usr/share/yosys/intel/cycloneive/
-rw-r--r-- root/root      3437 2022-12-03 22:15 ./usr/share/yosys/intel/cycloneive/cells_map.v
-rw-r--r-- root/root      9839 2022-12-03 22:15 ./usr/share/yosys/intel/cycloneive/cells_sim.v
drwxr-xr-x root/root         0 2022-12-03 22:15 ./usr/share/yosys/intel/max10/
-rw-r--r-- root/root      2318 2022-12-03 22:15 ./usr/share/yosys/intel/max10/cells_map.v
-rw-r--r-- root/root      9538 2022-12-03 22:15 ./usr/share/yosys/intel/max10/cells_sim.v
drwxr-xr-x root/root         0 2022-12-03 22:15 ./usr/share/yosys/intel_alm/
drwxr-xr-x root/root         0 2022-12-03 22:15 ./usr/share/yosys/intel_alm/common/
-rw-r--r-- root/root       632 2022-12-03 22:15 ./usr/share/yosys/intel_alm/common/abc9_map.v
-rw-r--r-- root/root       342 2022-12-03 22:15 ./usr/share/yosys/intel_alm/common/abc9_model.v
-rw-r--r-- root/root       352 2022-12-03 22:15 ./usr/share/yosys/intel_alm/common/abc9_unmap.v
-rw-r--r-- root/root      1504 2022-12-03 22:15 ./usr/share/yosys/intel_alm/common/alm_map.v
-rw-r--r-- root/root     16884 2022-12-03 22:15 ./usr/share/yosys/intel_alm/common/alm_sim.v
-rw-r--r-- root/root      2096 2022-12-03 22:15 ./usr/share/yosys/intel_alm/common/arith_alm_map.v
-rw-r--r-- root/root       588 2022-12-03 22:15 ./usr/share/yosys/intel_alm/common/bram_m10k.txt
-rw-r--r-- root/root       501 2022-12-03 22:15 ./usr/share/yosys/intel_alm/common/bram_m10k_map.v
-rw-r--r-- root/root       780 2022-12-03 22:15 ./usr/share/yosys/intel_alm/common/bram_m20k.txt
-rw-r--r-- root/root       696 2022-12-03 22:15 ./usr/share/yosys/intel_alm/common/bram_m20k_map.v
-rw-r--r-- root/root       579 2022-12-03 22:15 ./usr/share/yosys/intel_alm/common/dff_map.v
-rw-r--r-- root/root      4803 2022-12-03 22:15 ./usr/share/yosys/intel_alm/common/dff_sim.v
-rw-r--r-- root/root       891 2022-12-03 22:15 ./usr/share/yosys/intel_alm/common/dsp_map.v
-rw-r--r-- root/root      2238 2022-12-03 22:15 ./usr/share/yosys/intel_alm/common/dsp_sim.v
-rw-r--r-- root/root       316 2022-12-03 22:15 ./usr/share/yosys/intel_alm/common/lutram_mlab.txt
-rw-r--r-- root/root     23589 2022-12-03 22:15 ./usr/share/yosys/intel_alm/common/megafunction_bb.v
-rw-r--r-- root/root      5295 2022-12-03 22:15 ./usr/share/yosys/intel_alm/common/mem_sim.v
-rw-r--r-- root/root       562 2022-12-03 22:15 ./usr/share/yosys/intel_alm/common/misc_sim.v
-rw-r--r-- root/root      7552 2022-12-03 22:15 ./usr/share/yosys/intel_alm/common/quartus_rename.v
drwxr-xr-x root/root         0 2022-12-03 22:15 ./usr/share/yosys/intel_alm/cyclonev/
-rw-r--r-- root/root      5522 2022-12-03 22:15 ./usr/share/yosys/intel_alm/cyclonev/cells_sim.v
drwxr-xr-x root/root         0 2022-12-03 22:15 ./usr/share/yosys/machxo2/
-rw-r--r-- root/root       776 2022-12-03 22:15 ./usr/share/yosys/machxo2/brams.txt
-rw-r--r-- root/root      9158 2022-12-03 22:15 ./usr/share/yosys/machxo2/brams_map.v
-rw-r--r-- root/root      1369 2022-12-03 22:15 ./usr/share/yosys/machxo2/cells_map.v
-rw-r--r-- root/root     10873 2022-12-03 22:15 ./usr/share/yosys/machxo2/cells_sim.v
-rw-r--r-- root/root       143 2022-12-03 22:15 ./usr/share/yosys/machxo2/lutrams.txt
-rw-r--r-- root/root       424 2022-12-03 22:15 ./usr/share/yosys/machxo2/lutrams_map.v
-rw-r--r-- root/root      9065 2022-12-03 22:15 ./usr/share/yosys/mul2dsp.v
drwxr-xr-x root/root         0 2022-12-03 22:15 ./usr/share/yosys/nexus/
-rw-r--r-- root/root      2804 2022-12-03 22:15 ./usr/share/yosys/nexus/arith_map.v
-rw-r--r-- root/root       882 2022-12-03 22:15 ./usr/share/yosys/nexus/brams.txt
-rw-r--r-- root/root     15573 2022-12-03 22:15 ./usr/share/yosys/nexus/brams_map.v
-rw-r--r-- root/root      3947 2022-12-03 22:15 ./usr/share/yosys/nexus/cells_map.v
-rw-r--r-- root/root     25106 2022-12-03 22:15 ./usr/share/yosys/nexus/cells_sim.v
-rw-r--r-- root/root   1161486 2022-12-03 22:15 ./usr/share/yosys/nexus/cells_xtra.v
-rw-r--r-- root/root      1671 2022-12-03 22:15 ./usr/share/yosys/nexus/dsp_map.v
-rw-r--r-- root/root       258 2022-12-03 22:15 ./usr/share/yosys/nexus/latches_map.v
-rw-r--r-- root/root       324 2022-12-03 22:15 ./usr/share/yosys/nexus/lrams.txt
-rw-r--r-- root/root      8557 2022-12-03 22:15 ./usr/share/yosys/nexus/lrams_map.v
-rw-r--r-- root/root       148 2022-12-03 22:15 ./usr/share/yosys/nexus/lutrams.txt
-rw-r--r-- root/root       428 2022-12-03 22:15 ./usr/share/yosys/nexus/lutrams_map.v
-rw-r--r-- root/root       855 2022-12-03 22:15 ./usr/share/yosys/nexus/parse_init.vh
-rw-r--r-- root/root       342 2022-12-03 22:15 ./usr/share/yosys/pmux2mux.v
drwxr-xr-x root/root         0 2022-12-03 22:15 ./usr/share/yosys/quicklogic/
-rw-r--r-- root/root       771 2022-12-03 22:15 ./usr/share/yosys/quicklogic/abc9_map.v
-rw-r--r-- root/root       197 2022-12-03 22:15 ./usr/share/yosys/quicklogic/abc9_model.v
-rw-r--r-- root/root       384 2022-12-03 22:15 ./usr/share/yosys/quicklogic/abc9_unmap.v
-rw-r--r-- root/root       366 2022-12-03 22:15 ./usr/share/yosys/quicklogic/cells_sim.v
-rw-r--r-- root/root      1862 2022-12-03 22:15 ./usr/share/yosys/quicklogic/lut_sim.v
-rw-r--r-- root/root       499 2022-12-03 22:15 ./usr/share/yosys/quicklogic/pp3_cells_map.v
-rw-r--r-- root/root      6064 2022-12-03 22:15 ./usr/share/yosys/quicklogic/pp3_cells_sim.v
-rw-r--r-- root/root       194 2022-12-03 22:15 ./usr/share/yosys/quicklogic/pp3_ffs_map.v
-rw-r--r-- root/root       258 2022-12-03 22:15 ./usr/share/yosys/quicklogic/pp3_latches_map.v
-rw-r--r-- root/root       928 2022-12-03 22:15 ./usr/share/yosys/quicklogic/pp3_lut_map.v
drwxr-xr-x root/root         0 2022-12-03 22:15 ./usr/share/yosys/sf2/
-rw-r--r-- root/root      1942 2022-12-03 22:15 ./usr/share/yosys/sf2/arith_map.v
-rw-r--r-- root/root      1745 2022-12-03 22:15 ./usr/share/yosys/sf2/cells_map.v
-rw-r--r-- root/root      8449 2022-12-03 22:15 ./usr/share/yosys/sf2/cells_sim.v
-rw-r--r-- root/root     87795 2022-12-03 22:15 ./usr/share/yosys/simcells.v
-rw-r--r-- root/root     62424 2022-12-03 22:15 ./usr/share/yosys/simlib.v
-rw-r--r-- root/root     44820 2022-12-03 22:15 ./usr/share/yosys/smtio.py
-rw-r--r-- root/root       654 2022-12-03 22:15 ./usr/share/yosys/smtmap.v
-rw-r--r-- root/root     16915 2022-12-03 22:15 ./usr/share/yosys/techmap.v
drwxr-xr-x root/root         0 2022-12-03 22:15 ./usr/share/yosys/xilinx/
-rw-r--r-- root/root      1497 2022-12-03 22:15 ./usr/share/yosys/xilinx/abc9_model.v
-rw-r--r-- root/root      4593 2022-12-03 22:15 ./usr/share/yosys/xilinx/arith_map.v
-rw-r--r-- root/root     15515 2022-12-03 22:15 ./usr/share/yosys/xilinx/brams_defs.vh
-rw-r--r-- root/root       665 2022-12-03 22:15 ./usr/share/yosys/xilinx/brams_xc2v.txt
-rw-r--r-- root/root     11752 2022-12-03 22:15 ./usr/share/yosys/xilinx/brams_xc2v_map.v
-rw-r--r-- root/root      2357 2022-12-03 22:15 ./usr/share/yosys/xilinx/brams_xc3sda.txt
-rw-r--r-- root/root      5591 2022-12-03 22:15 ./usr/share/yosys/xilinx/brams_xc3sda_map.v
-rw-r--r-- root/root      3268 2022-12-03 22:15 ./usr/share/yosys/xilinx/brams_xc4v.txt
-rw-r--r-- root/root      3501 2022-12-03 22:15 ./usr/share/yosys/xilinx/brams_xc4v_map.v
-rw-r--r-- root/root      5590 2022-12-03 22:15 ./usr/share/yosys/xilinx/brams_xc5v_map.v
-rw-r--r-- root/root      7211 2022-12-03 22:15 ./usr/share/yosys/xilinx/brams_xc6v_map.v
-rw-r--r-- root/root      5949 2022-12-03 22:15 ./usr/share/yosys/xilinx/brams_xcu_map.v
-rw-r--r-- root/root       302 2022-12-03 22:15 ./usr/share/yosys/xilinx/brams_xcv.txt
-rw-r--r-- root/root      5210 2022-12-03 22:15 ./usr/share/yosys/xilinx/brams_xcv_map.v
-rw-r--r-- root/root     16017 2022-12-03 22:15 ./usr/share/yosys/xilinx/cells_map.v
-rw-r--r-- root/root    161090 2022-12-03 22:15 ./usr/share/yosys/xilinx/cells_sim.v
-rw-r--r-- root/root   1374948 2022-12-03 22:15 ./usr/share/yosys/xilinx/cells_xtra.v
-rw-r--r-- root/root      4943 2022-12-03 22:15 ./usr/share/yosys/xilinx/ff_map.v
-rw-r--r-- root/root      3503 2022-12-03 22:15 ./usr/share/yosys/xilinx/lut_map.v
-rw-r--r-- root/root      1364 2022-12-03 22:15 ./usr/share/yosys/xilinx/lutrams_xc5v.txt
-rw-r--r-- root/root     19522 2022-12-03 22:15 ./usr/share/yosys/xilinx/lutrams_xc5v_map.v
-rw-r--r-- root/root      2167 2022-12-03 22:15 ./usr/share/yosys/xilinx/lutrams_xcu.txt
-rw-r--r-- root/root       797 2022-12-03 22:15 ./usr/share/yosys/xilinx/lutrams_xcv.txt
-rw-r--r-- root/root      3209 2022-12-03 22:15 ./usr/share/yosys/xilinx/lutrams_xcv_map.v
-rw-r--r-- root/root      2498 2022-12-03 22:15 ./usr/share/yosys/xilinx/mux_map.v
-rw-r--r-- root/root       617 2022-12-03 22:15 ./usr/share/yosys/xilinx/urams.txt
-rw-r--r-- root/root      3552 2022-12-03 22:15 ./usr/share/yosys/xilinx/urams_map.v
-rw-r--r-- root/root       266 2022-12-03 22:15 ./usr/share/yosys/xilinx/xc3s_mult_map.v
-rw-r--r-- root/root       560 2022-12-03 22:15 ./usr/share/yosys/xilinx/xc3sda_dsp_map.v
-rw-r--r-- root/root       661 2022-12-03 22:15 ./usr/share/yosys/xilinx/xc4v_dsp_map.v
-rw-r--r-- root/root       785 2022-12-03 22:15 ./usr/share/yosys/xilinx/xc5v_dsp_map.v
-rw-r--r-- root/root       562 2022-12-03 22:15 ./usr/share/yosys/xilinx/xc6s_dsp_map.v
-rw-r--r-- root/root       884 2022-12-03 22:15 ./usr/share/yosys/xilinx/xc7_dsp_map.v
-rw-r--r-- root/root       882 2022-12-03 22:15 ./usr/share/yosys/xilinx/xcu_dsp_map.v
-rw-r--r-- root/root     11164 2022-12-03 22:15 ./usr/share/yosys/ywio.py


+------------------------------------------------------------------------------+
| Post Build                                                                   |
+------------------------------------------------------------------------------+


+------------------------------------------------------------------------------+
| Cleanup                                                                      |
+------------------------------------------------------------------------------+

Purging /<<BUILDDIR>>
Not cleaning session: cloned chroot in use

+------------------------------------------------------------------------------+
| Summary                                                                      |
+------------------------------------------------------------------------------+

Build Architecture: armhf
Build-Space: 1641544
Build-Time: 11737
Distribution: bookworm-staging
Host Architecture: armhf
Install-Time: 594
Job: yosys_0.23-6
Machine Architecture: armhf
Package: yosys
Package-Time: 12404
Source-Version: 0.23-6
Space: 1641544
Status: successful
Version: 0.23-6
--------------------------------------------------------------------------------
Finished at 2022-12-09T14:07:21Z
Build needed 03:26:44, 1641544k disc space