Raspbian Package Auto-Building

Build log for yosys (0.7-8+b3) on armhf

yosys0.7-8+b3armhf → 2018-09-22 11:12:17

sbuild (Debian sbuild) 0.72.0 (25 Oct 2016) on mb-lxc-02

+==============================================================================+
| yosys 0.7-8+b3 (armhf)                       Sat, 22 Sep 2018 10:53:34 +0000 |
+==============================================================================+

Package: yosys
Version: 0.7-8+b3
Source Version: 0.7-8
Distribution: buster-staging
Machine Architecture: armhf
Host Architecture: armhf
Build Architecture: armhf

I: NOTICE: Log filtering will replace 'var/lib/schroot/mount/buster-staging-armhf-sbuild-36184d03-48e5-4191-b16b-257a9efa9bc0' with '<<CHROOT>>'

+------------------------------------------------------------------------------+
| Update chroot                                                                |
+------------------------------------------------------------------------------+

Get:1 http://172.17.0.1/private buster-staging InRelease [11.3 kB]
Get:2 http://172.17.0.1/private buster-staging/main Sources [11.1 MB]
Get:3 http://172.17.0.1/private buster-staging/main armhf Packages [12.8 MB]
Fetched 23.9 MB in 11s (2219 kB/s)
Reading package lists...
W: No sandbox user '_apt' on the system, can not drop privileges

+------------------------------------------------------------------------------+
| Fetch source files                                                           |
+------------------------------------------------------------------------------+


Check APT
---------

Checking available source versions...

Download source files with APT
------------------------------

Reading package lists...
NOTICE: 'yosys' packaging is maintained in the 'Git' version control system at:
https://salsa.debian.org/science-team/yosys.git
Please use:
git clone https://salsa.debian.org/science-team/yosys.git
to retrieve the latest (possibly unreleased) updates to the package.
Need to get 978 kB of source archives.
Get:1 http://172.17.0.1/private buster-staging/main yosys 0.7-8 (dsc) [2608 B]
Get:2 http://172.17.0.1/private buster-staging/main yosys 0.7-8 (tar) [959 kB]
Get:3 http://172.17.0.1/private buster-staging/main yosys 0.7-8 (diff) [16.0 kB]
Fetched 978 kB in 0s (2634 kB/s)
Download complete and in download only mode
I: NOTICE: Log filtering will replace 'build/yosys-ihHSGH/yosys-0.7' with '<<PKGBUILDDIR>>'
I: NOTICE: Log filtering will replace 'build/yosys-ihHSGH' with '<<BUILDDIR>>'

+------------------------------------------------------------------------------+
| Install build-essential                                                      |
+------------------------------------------------------------------------------+


Setup apt archive
-----------------

Merged Build-Depends: build-essential, fakeroot
Filtered Build-Depends: build-essential, fakeroot
dpkg-deb: building package 'sbuild-build-depends-core-dummy' in '/<<BUILDDIR>>/resolver-90uT7O/apt_archive/sbuild-build-depends-core-dummy.deb'.
dpkg-scanpackages: warning: Packages in archive but missing from override file:
dpkg-scanpackages: warning:   sbuild-build-depends-core-dummy
dpkg-scanpackages: info: Wrote 1 entries to output Packages file.
gpg: keybox '/<<BUILDDIR>>/resolver-90uT7O/gpg/pubring.kbx' created
gpg: /<<BUILDDIR>>/resolver-90uT7O/gpg/trustdb.gpg: trustdb created
gpg: key 37145E60F90AF620: public key "Sbuild Signer (Sbuild Build Dependency Archive Key) <buildd-tools-devel@lists.alioth.debian.org>" imported
gpg: Total number processed: 1
gpg:               imported: 1
gpg: key 37145E60F90AF620: "Sbuild Signer (Sbuild Build Dependency Archive Key) <buildd-tools-devel@lists.alioth.debian.org>" not changed
gpg: key 37145E60F90AF620: secret key imported
gpg: Total number processed: 1
gpg:              unchanged: 1
gpg:       secret keys read: 1
gpg:   secret keys imported: 1
gpg: using "Sbuild Signer" as default secret key for signing
Ign:1 copy:/<<BUILDDIR>>/resolver-90uT7O/apt_archive ./ InRelease
Get:2 copy:/<<BUILDDIR>>/resolver-90uT7O/apt_archive ./ Release [957 B]
Get:3 copy:/<<BUILDDIR>>/resolver-90uT7O/apt_archive ./ Release.gpg [370 B]
Get:4 copy:/<<BUILDDIR>>/resolver-90uT7O/apt_archive ./ Sources [349 B]
Get:5 copy:/<<BUILDDIR>>/resolver-90uT7O/apt_archive ./ Packages [432 B]
Fetched 2108 B in 0s (10.5 kB/s)
Reading package lists...
W: No sandbox user '_apt' on the system, can not drop privileges
Reading package lists...

Install core build dependencies (apt-based resolver)
----------------------------------------------------

Installing build dependencies
Reading package lists...
Building dependency tree...
Reading state information...
The following packages were automatically installed and are no longer required:
  ca-certificates dbus dbus-user-session e2fsprogs-l10n libexpat1
  libnss-systemd libpam-systemd libsasl2-modules libssl1.1 openssl
  systemd-sysv
Use 'apt autoremove' to remove them.
The following NEW packages will be installed:
  sbuild-build-depends-core-dummy
0 upgraded, 1 newly installed, 0 to remove and 73 not upgraded.
Need to get 852 B of archives.
After this operation, 0 B of additional disk space will be used.
Get:1 copy:/<<BUILDDIR>>/resolver-90uT7O/apt_archive ./ sbuild-build-depends-core-dummy 0.invalid.0 [852 B]
debconf: delaying package configuration, since apt-utils is not installed
Fetched 852 B in 0s (0 B/s)
Selecting previously unselected package sbuild-build-depends-core-dummy.
(Reading database ... 15689 files and directories currently installed.)
Preparing to unpack .../sbuild-build-depends-core-dummy_0.invalid.0_armhf.deb ...
Unpacking sbuild-build-depends-core-dummy (0.invalid.0) ...
Setting up sbuild-build-depends-core-dummy (0.invalid.0) ...
W: No sandbox user '_apt' on the system, can not drop privileges

+------------------------------------------------------------------------------+
| Check architectures                                                          |
+------------------------------------------------------------------------------+

Arch check ok (armhf included in any all)

+------------------------------------------------------------------------------+
| Install package build dependencies                                           |
+------------------------------------------------------------------------------+


Setup apt archive
-----------------

Merged Build-Depends: debhelper (>= 11), dh-python, tcl-dev, libreadline-dev, bison, flex, gawk, libffi-dev, pkg-config, txt2man, iverilog (>= 10.1), python3, berkeley-abc (>= 1.01+20161002hgeb6eca6+dfsg)
Filtered Build-Depends: debhelper (>= 11), dh-python, tcl-dev, libreadline-dev, bison, flex, gawk, libffi-dev, pkg-config, txt2man, iverilog (>= 10.1), python3, berkeley-abc (>= 1.01+20161002hgeb6eca6+dfsg)
dpkg-deb: building package 'sbuild-build-depends-yosys-dummy' in '/<<BUILDDIR>>/resolver-90uT7O/apt_archive/sbuild-build-depends-yosys-dummy.deb'.
dpkg-scanpackages: warning: Packages in archive but missing from override file:
dpkg-scanpackages: warning:   sbuild-build-depends-core-dummy sbuild-build-depends-yosys-dummy
dpkg-scanpackages: info: Wrote 2 entries to output Packages file.
gpg: using "Sbuild Signer" as default secret key for signing
Ign:1 copy:/<<BUILDDIR>>/resolver-90uT7O/apt_archive ./ InRelease
Get:2 copy:/<<BUILDDIR>>/resolver-90uT7O/apt_archive ./ Release [963 B]
Get:3 copy:/<<BUILDDIR>>/resolver-90uT7O/apt_archive ./ Release.gpg [370 B]
Get:4 copy:/<<BUILDDIR>>/resolver-90uT7O/apt_archive ./ Sources [586 B]
Get:5 copy:/<<BUILDDIR>>/resolver-90uT7O/apt_archive ./ Packages [670 B]
Fetched 2589 B in 0s (12.9 kB/s)
Reading package lists...
W: No sandbox user '_apt' on the system, can not drop privileges
Reading package lists...

Install yosys build dependencies (apt-based resolver)
-----------------------------------------------------

Installing build dependencies
Reading package lists...
Building dependency tree...
Reading state information...
The following packages were automatically installed and are no longer required:
  ca-certificates dbus dbus-user-session e2fsprogs-l10n libnss-systemd
  libpam-systemd libsasl2-modules openssl systemd-sysv
Use 'apt autoremove' to remove them.
The following additional packages will be installed:
  autoconf automake autopoint autotools-dev berkeley-abc bison bsdmainutils
  debhelper dh-autoreconf dh-python dh-strip-nondeterminism dwz file flex gawk
  gettext gettext-base groff-base intltool-debian iverilog libarchive-zip-perl
  libbison-dev libbsd0 libcroco3 libelf1 libffi-dev
  libfile-stripnondeterminism-perl libfreetype6 libglib2.0-0 libgraphite2-3
  libharfbuzz0b libicu-le-hb0 libicu60 libmagic-mgc libmagic1 libmpdec2
  libncurses-dev libpipeline1 libpython3-stdlib libpython3.6-minimal
  libpython3.6-stdlib libreadline-dev libsigsegv2 libtcl8.6 libtimedate-perl
  libtool libxml2 m4 man-db mime-support pkg-config po-debconf python3
  python3-distutils python3-lib2to3 python3-minimal python3.6
  python3.6-minimal tcl tcl-dev tcl8.6 tcl8.6-dev txt2man zlib1g-dev
Suggested packages:
  autoconf-archive gnu-standards autoconf-doc bison-doc wamerican | wordlist
  whois vacation dh-make flex-doc gawk-doc gettext-doc libasprintf-dev
  libgettextpo-dev groff gtkwave ncurses-doc readline-doc libtool-doc gfortran
  | fortran95-compiler gcj-jdk m4-doc apparmor less www-browser
  libmail-box-perl python3-doc python3-tk python3-venv python3.6-venv
  python3.6-doc binfmt-support tcl-doc tcl-tclreadline tcl8.6-doc
Recommended packages:
  libfl-dev curl | wget | lynx libarchive-cpio-perl libglib2.0-data
  shared-mime-info xdg-user-dirs libltdl-dev libmail-sendmail-perl
The following NEW packages will be installed:
  autoconf automake autopoint autotools-dev berkeley-abc bison bsdmainutils
  debhelper dh-autoreconf dh-python dh-strip-nondeterminism dwz file flex gawk
  gettext gettext-base groff-base intltool-debian iverilog libarchive-zip-perl
  libbison-dev libbsd0 libcroco3 libelf1 libffi-dev
  libfile-stripnondeterminism-perl libfreetype6 libglib2.0-0 libgraphite2-3
  libharfbuzz0b libicu-le-hb0 libicu60 libmagic-mgc libmagic1 libmpdec2
  libncurses-dev libpipeline1 libpython3-stdlib libpython3.6-minimal
  libpython3.6-stdlib libreadline-dev libsigsegv2 libtcl8.6 libtimedate-perl
  libtool libxml2 m4 man-db mime-support pkg-config po-debconf python3
  python3-distutils python3-lib2to3 python3-minimal python3.6
  python3.6-minimal sbuild-build-depends-yosys-dummy tcl tcl-dev tcl8.6
  tcl8.6-dev txt2man zlib1g-dev
0 upgraded, 65 newly installed, 0 to remove and 73 not upgraded.
Need to get 33.4 MB/34.0 MB of archives.
After this operation, 125 MB of additional disk space will be used.
Get:1 copy:/<<BUILDDIR>>/resolver-90uT7O/apt_archive ./ sbuild-build-depends-yosys-dummy 0.invalid.0 [964 B]
Get:2 http://172.17.0.1/private buster-staging/main armhf libbsd0 armhf 0.9.1-1 [104 kB]
Get:3 http://172.17.0.1/private buster-staging/main armhf bsdmainutils armhf 11.1.2 [182 kB]
Get:4 http://172.17.0.1/private buster-staging/main armhf groff-base armhf 1.22.3-10 [1005 kB]
Get:5 http://172.17.0.1/private buster-staging/main armhf libpipeline1 armhf 1.5.0-1 [24.6 kB]
Get:6 http://172.17.0.1/private buster-staging/main armhf man-db armhf 2.8.4-2 [1161 kB]
Get:7 http://172.17.0.1/private buster-staging/main armhf libsigsegv2 armhf 2.12-2 [32.3 kB]
Get:8 http://172.17.0.1/private buster-staging/main armhf m4 armhf 1.4.18-1 [185 kB]
Get:9 http://172.17.0.1/private buster-staging/main armhf flex armhf 2.6.4-6.2 [427 kB]
Get:10 http://172.17.0.1/private buster-staging/main armhf gawk armhf 1:4.1.4+dfsg-1+b1 [506 kB]
Get:11 http://172.17.0.1/private buster-staging/main armhf libpython3.6-minimal armhf 3.6.6-1 [565 kB]
Get:12 http://172.17.0.1/private buster-staging/main armhf python3.6-minimal armhf 3.6.6-1 [1383 kB]
Get:13 http://172.17.0.1/private buster-staging/main armhf python3-minimal armhf 3.6.5-3 [36.2 kB]
Get:14 http://172.17.0.1/private buster-staging/main armhf mime-support all 3.61 [37.1 kB]
Get:15 http://172.17.0.1/private buster-staging/main armhf libmpdec2 armhf 2.4.2-2 [67.2 kB]
Get:16 http://172.17.0.1/private buster-staging/main armhf libpython3.6-stdlib armhf 3.6.6-1 [1638 kB]
Get:17 http://172.17.0.1/private buster-staging/main armhf python3.6 armhf 3.6.6-1 [234 kB]
Get:18 http://172.17.0.1/private buster-staging/main armhf libpython3-stdlib armhf 3.6.5-3 [19.7 kB]
Get:19 http://172.17.0.1/private buster-staging/main armhf python3 armhf 3.6.5-3 [24.1 kB]
Get:20 http://172.17.0.1/private buster-staging/main armhf libmagic-mgc armhf 1:5.34-2 [239 kB]
Get:21 http://172.17.0.1/private buster-staging/main armhf libmagic1 armhf 1:5.34-2 [107 kB]
Get:22 http://172.17.0.1/private buster-staging/main armhf file armhf 1:5.34-2 [65.0 kB]
Get:23 http://172.17.0.1/private buster-staging/main armhf gettext-base armhf 0.19.8.1-7 [117 kB]
Get:24 http://172.17.0.1/private buster-staging/main armhf autoconf all 2.69-11 [341 kB]
Get:25 http://172.17.0.1/private buster-staging/main armhf autotools-dev all 20180224.1 [77.0 kB]
Get:26 http://172.17.0.1/private buster-staging/main armhf automake all 1:1.16.1-1.1 [771 kB]
Get:27 http://172.17.0.1/private buster-staging/main armhf autopoint all 0.19.8.1-7 [434 kB]
Get:28 http://172.17.0.1/private buster-staging/main armhf berkeley-abc armhf 1.01+20180801git3fb3c6b+dfsg-1 [4191 kB]
Get:29 http://172.17.0.1/private buster-staging/main armhf libbison-dev armhf 2:3.0.4.dfsg-1+b1 [433 kB]
Get:30 http://172.17.0.1/private buster-staging/main armhf bison armhf 2:3.0.4.dfsg-1+b1 [743 kB]
Get:31 http://172.17.0.1/private buster-staging/main armhf libtool all 2.4.6-4 [547 kB]
Get:32 http://172.17.0.1/private buster-staging/main armhf dh-autoreconf all 19 [16.9 kB]
Get:33 http://172.17.0.1/private buster-staging/main armhf libarchive-zip-perl all 1.64-1 [96.8 kB]
Get:34 http://172.17.0.1/private buster-staging/main armhf libfile-stripnondeterminism-perl all 0.042-1 [20.1 kB]
Get:35 http://172.17.0.1/private buster-staging/main armhf libtimedate-perl all 2.3000-2 [42.2 kB]
Get:36 http://172.17.0.1/private buster-staging/main armhf dh-strip-nondeterminism all 0.042-1 [12.1 kB]
Get:37 http://172.17.0.1/private buster-staging/main armhf libelf1 armhf 0.170-0.5 [160 kB]
Get:38 http://172.17.0.1/private buster-staging/main armhf dwz armhf 0.12-2 [67.4 kB]
Get:39 http://172.17.0.1/private buster-staging/main armhf libglib2.0-0 armhf 2.58.0-4 [1051 kB]
Get:40 http://172.17.0.1/private buster-staging/main armhf libgraphite2-3 armhf 1.3.12-1 [69.1 kB]
Get:41 http://172.17.0.1/private buster-staging/main armhf libharfbuzz0b armhf 1.8.8-2+rpi1 [860 kB]
Get:42 http://172.17.0.1/private buster-staging/main armhf libicu-le-hb0 armhf 1.0.3+git161113-5 [12.8 kB]
Get:43 http://172.17.0.1/private buster-staging/main armhf libicu60 armhf 60.2-6 [7789 kB]
Get:44 http://172.17.0.1/private buster-staging/main armhf libxml2 armhf 2.9.4+dfsg1-7 [602 kB]
Get:45 http://172.17.0.1/private buster-staging/main armhf gettext armhf 0.19.8.1-7 [1218 kB]
Get:46 http://172.17.0.1/private buster-staging/main armhf intltool-debian all 0.35.0+20060710.4 [26.3 kB]
Get:47 http://172.17.0.1/private buster-staging/main armhf po-debconf all 1.0.20 [247 kB]
Get:48 http://172.17.0.1/private buster-staging/main armhf debhelper all 11.3.5 [981 kB]
Get:49 http://172.17.0.1/private buster-staging/main armhf python3-lib2to3 all 3.6.6-1 [79.1 kB]
Get:50 http://172.17.0.1/private buster-staging/main armhf python3-distutils all 3.6.6-1 [144 kB]
Get:51 http://172.17.0.1/private buster-staging/main armhf dh-python all 3.20180723 [94.2 kB]
Get:52 http://172.17.0.1/private buster-staging/main armhf iverilog armhf 10.2-1 [1462 kB]
Get:53 http://172.17.0.1/private buster-staging/main armhf libffi-dev armhf 3.2.1-8 [159 kB]
Get:54 http://172.17.0.1/private buster-staging/main armhf libncurses-dev armhf 6.1+20180714-1 [279 kB]
Get:55 http://172.17.0.1/private buster-staging/main armhf libreadline-dev armhf 7.0-5 [110 kB]
Get:56 http://172.17.0.1/private buster-staging/main armhf libtcl8.6 armhf 8.6.8+dfsg-4 [863 kB]
Get:57 http://172.17.0.1/private buster-staging/main armhf tcl8.6 armhf 8.6.8+dfsg-4 [123 kB]
Get:58 http://172.17.0.1/private buster-staging/main armhf tcl armhf 8.6.0+9 [5502 B]
Get:59 http://172.17.0.1/private buster-staging/main armhf zlib1g-dev armhf 1:1.2.11.dfsg-1 [206 kB]
Get:60 http://172.17.0.1/private buster-staging/main armhf tcl8.6-dev armhf 8.6.8+dfsg-4 [892 kB]
Get:61 http://172.17.0.1/private buster-staging/main armhf tcl-dev armhf 8.6.0+9 [7986 B]
Get:62 http://172.17.0.1/private buster-staging/main armhf txt2man all 1.6.0-2 [31.7 kB]
debconf: delaying package configuration, since apt-utils is not installed
Fetched 33.4 MB in 19s (1791 kB/s)
Selecting previously unselected package libbsd0:armhf.
(Reading database ... 15689 files and directories currently installed.)
Preparing to unpack .../0-libbsd0_0.9.1-1_armhf.deb ...
Unpacking libbsd0:armhf (0.9.1-1) ...
Selecting previously unselected package bsdmainutils.
Preparing to unpack .../1-bsdmainutils_11.1.2_armhf.deb ...
Unpacking bsdmainutils (11.1.2) ...
Selecting previously unselected package groff-base.
Preparing to unpack .../2-groff-base_1.22.3-10_armhf.deb ...
Unpacking groff-base (1.22.3-10) ...
Selecting previously unselected package libpipeline1:armhf.
Preparing to unpack .../3-libpipeline1_1.5.0-1_armhf.deb ...
Unpacking libpipeline1:armhf (1.5.0-1) ...
Selecting previously unselected package man-db.
Preparing to unpack .../4-man-db_2.8.4-2_armhf.deb ...
Unpacking man-db (2.8.4-2) ...
Selecting previously unselected package libsigsegv2:armhf.
Preparing to unpack .../5-libsigsegv2_2.12-2_armhf.deb ...
Unpacking libsigsegv2:armhf (2.12-2) ...
Selecting previously unselected package m4.
Preparing to unpack .../6-m4_1.4.18-1_armhf.deb ...
Unpacking m4 (1.4.18-1) ...
Selecting previously unselected package flex.
Preparing to unpack .../7-flex_2.6.4-6.2_armhf.deb ...
Unpacking flex (2.6.4-6.2) ...
Setting up libsigsegv2:armhf (2.12-2) ...
Selecting previously unselected package gawk.
(Reading database ... 16469 files and directories currently installed.)
Preparing to unpack .../0-gawk_1%3a4.1.4+dfsg-1+b1_armhf.deb ...
Unpacking gawk (1:4.1.4+dfsg-1+b1) ...
Selecting previously unselected package libpython3.6-minimal:armhf.
Preparing to unpack .../1-libpython3.6-minimal_3.6.6-1_armhf.deb ...
Unpacking libpython3.6-minimal:armhf (3.6.6-1) ...
Selecting previously unselected package python3.6-minimal.
Preparing to unpack .../2-python3.6-minimal_3.6.6-1_armhf.deb ...
Unpacking python3.6-minimal (3.6.6-1) ...
Selecting previously unselected package python3-minimal.
Preparing to unpack .../3-python3-minimal_3.6.5-3_armhf.deb ...
Unpacking python3-minimal (3.6.5-3) ...
Selecting previously unselected package mime-support.
Preparing to unpack .../4-mime-support_3.61_all.deb ...
Unpacking mime-support (3.61) ...
Selecting previously unselected package libmpdec2:armhf.
Preparing to unpack .../5-libmpdec2_2.4.2-2_armhf.deb ...
Unpacking libmpdec2:armhf (2.4.2-2) ...
Selecting previously unselected package libpython3.6-stdlib:armhf.
Preparing to unpack .../6-libpython3.6-stdlib_3.6.6-1_armhf.deb ...
Unpacking libpython3.6-stdlib:armhf (3.6.6-1) ...
Selecting previously unselected package python3.6.
Preparing to unpack .../7-python3.6_3.6.6-1_armhf.deb ...
Unpacking python3.6 (3.6.6-1) ...
Selecting previously unselected package libpython3-stdlib:armhf.
Preparing to unpack .../8-libpython3-stdlib_3.6.5-3_armhf.deb ...
Unpacking libpython3-stdlib:armhf (3.6.5-3) ...
Setting up libpython3.6-minimal:armhf (3.6.6-1) ...
Setting up python3.6-minimal (3.6.6-1) ...
Setting up python3-minimal (3.6.5-3) ...
Selecting previously unselected package python3.
(Reading database ... 17295 files and directories currently installed.)
Preparing to unpack .../00-python3_3.6.5-3_armhf.deb ...
Unpacking python3 (3.6.5-3) ...
Selecting previously unselected package libmagic-mgc.
Preparing to unpack .../01-libmagic-mgc_1%3a5.34-2_armhf.deb ...
Unpacking libmagic-mgc (1:5.34-2) ...
Selecting previously unselected package libmagic1:armhf.
Preparing to unpack .../02-libmagic1_1%3a5.34-2_armhf.deb ...
Unpacking libmagic1:armhf (1:5.34-2) ...
Selecting previously unselected package file.
Preparing to unpack .../03-file_1%3a5.34-2_armhf.deb ...
Unpacking file (1:5.34-2) ...
Selecting previously unselected package gettext-base.
Preparing to unpack .../04-gettext-base_0.19.8.1-7_armhf.deb ...
Unpacking gettext-base (0.19.8.1-7) ...
Selecting previously unselected package autoconf.
Preparing to unpack .../05-autoconf_2.69-11_all.deb ...
Unpacking autoconf (2.69-11) ...
Selecting previously unselected package autotools-dev.
Preparing to unpack .../06-autotools-dev_20180224.1_all.deb ...
Unpacking autotools-dev (20180224.1) ...
Selecting previously unselected package automake.
Preparing to unpack .../07-automake_1%3a1.16.1-1.1_all.deb ...
Unpacking automake (1:1.16.1-1.1) ...
Selecting previously unselected package autopoint.
Preparing to unpack .../08-autopoint_0.19.8.1-7_all.deb ...
Unpacking autopoint (0.19.8.1-7) ...
Selecting previously unselected package berkeley-abc.
Preparing to unpack .../09-berkeley-abc_1.01+20180801git3fb3c6b+dfsg-1_armhf.deb ...
Unpacking berkeley-abc (1.01+20180801git3fb3c6b+dfsg-1) ...
Selecting previously unselected package libbison-dev:armhf.
Preparing to unpack .../10-libbison-dev_2%3a3.0.4.dfsg-1+b1_armhf.deb ...
Unpacking libbison-dev:armhf (2:3.0.4.dfsg-1+b1) ...
Selecting previously unselected package bison.
Preparing to unpack .../11-bison_2%3a3.0.4.dfsg-1+b1_armhf.deb ...
Unpacking bison (2:3.0.4.dfsg-1+b1) ...
Selecting previously unselected package libtool.
Preparing to unpack .../12-libtool_2.4.6-4_all.deb ...
Unpacking libtool (2.4.6-4) ...
Selecting previously unselected package dh-autoreconf.
Preparing to unpack .../13-dh-autoreconf_19_all.deb ...
Unpacking dh-autoreconf (19) ...
Selecting previously unselected package libarchive-zip-perl.
Preparing to unpack .../14-libarchive-zip-perl_1.64-1_all.deb ...
Unpacking libarchive-zip-perl (1.64-1) ...
Selecting previously unselected package libfile-stripnondeterminism-perl.
Preparing to unpack .../15-libfile-stripnondeterminism-perl_0.042-1_all.deb ...
Unpacking libfile-stripnondeterminism-perl (0.042-1) ...
Selecting previously unselected package libtimedate-perl.
Preparing to unpack .../16-libtimedate-perl_2.3000-2_all.deb ...
Unpacking libtimedate-perl (2.3000-2) ...
Selecting previously unselected package dh-strip-nondeterminism.
Preparing to unpack .../17-dh-strip-nondeterminism_0.042-1_all.deb ...
Unpacking dh-strip-nondeterminism (0.042-1) ...
Selecting previously unselected package libelf1:armhf.
Preparing to unpack .../18-libelf1_0.170-0.5_armhf.deb ...
Unpacking libelf1:armhf (0.170-0.5) ...
Selecting previously unselected package dwz.
Preparing to unpack .../19-dwz_0.12-2_armhf.deb ...
Unpacking dwz (0.12-2) ...
Selecting previously unselected package libglib2.0-0:armhf.
Preparing to unpack .../20-libglib2.0-0_2.58.0-4_armhf.deb ...
Unpacking libglib2.0-0:armhf (2.58.0-4) ...
Selecting previously unselected package libfreetype6:armhf.
Preparing to unpack .../21-libfreetype6_2.8.1-2_armhf.deb ...
Unpacking libfreetype6:armhf (2.8.1-2) ...
Selecting previously unselected package libgraphite2-3:armhf.
Preparing to unpack .../22-libgraphite2-3_1.3.12-1_armhf.deb ...
Unpacking libgraphite2-3:armhf (1.3.12-1) ...
Selecting previously unselected package libharfbuzz0b:armhf.
Preparing to unpack .../23-libharfbuzz0b_1.8.8-2+rpi1_armhf.deb ...
Unpacking libharfbuzz0b:armhf (1.8.8-2+rpi1) ...
Selecting previously unselected package libicu-le-hb0:armhf.
Preparing to unpack .../24-libicu-le-hb0_1.0.3+git161113-5_armhf.deb ...
Unpacking libicu-le-hb0:armhf (1.0.3+git161113-5) ...
Selecting previously unselected package libicu60:armhf.
Preparing to unpack .../25-libicu60_60.2-6_armhf.deb ...
Unpacking libicu60:armhf (60.2-6) ...
Selecting previously unselected package libxml2:armhf.
Preparing to unpack .../26-libxml2_2.9.4+dfsg1-7_armhf.deb ...
Unpacking libxml2:armhf (2.9.4+dfsg1-7) ...
Selecting previously unselected package libcroco3:armhf.
Preparing to unpack .../27-libcroco3_0.6.12-2_armhf.deb ...
Unpacking libcroco3:armhf (0.6.12-2) ...
Selecting previously unselected package gettext.
Preparing to unpack .../28-gettext_0.19.8.1-7_armhf.deb ...
Unpacking gettext (0.19.8.1-7) ...
Selecting previously unselected package intltool-debian.
Preparing to unpack .../29-intltool-debian_0.35.0+20060710.4_all.deb ...
Unpacking intltool-debian (0.35.0+20060710.4) ...
Selecting previously unselected package po-debconf.
Preparing to unpack .../30-po-debconf_1.0.20_all.deb ...
Unpacking po-debconf (1.0.20) ...
Selecting previously unselected package debhelper.
Preparing to unpack .../31-debhelper_11.3.5_all.deb ...
Unpacking debhelper (11.3.5) ...
Selecting previously unselected package python3-lib2to3.
Preparing to unpack .../32-python3-lib2to3_3.6.6-1_all.deb ...
Unpacking python3-lib2to3 (3.6.6-1) ...
Selecting previously unselected package python3-distutils.
Preparing to unpack .../33-python3-distutils_3.6.6-1_all.deb ...
Unpacking python3-distutils (3.6.6-1) ...
Selecting previously unselected package dh-python.
Preparing to unpack .../34-dh-python_3.20180723_all.deb ...
Unpacking dh-python (3.20180723) ...
Selecting previously unselected package iverilog.
Preparing to unpack .../35-iverilog_10.2-1_armhf.deb ...
Unpacking iverilog (10.2-1) ...
Selecting previously unselected package libffi-dev:armhf.
Preparing to unpack .../36-libffi-dev_3.2.1-8_armhf.deb ...
Unpacking libffi-dev:armhf (3.2.1-8) ...
Selecting previously unselected package libncurses-dev:armhf.
Preparing to unpack .../37-libncurses-dev_6.1+20180714-1_armhf.deb ...
Unpacking libncurses-dev:armhf (6.1+20180714-1) ...
Selecting previously unselected package libreadline-dev:armhf.
Preparing to unpack .../38-libreadline-dev_7.0-5_armhf.deb ...
Unpacking libreadline-dev:armhf (7.0-5) ...
Selecting previously unselected package libtcl8.6:armhf.
Preparing to unpack .../39-libtcl8.6_8.6.8+dfsg-4_armhf.deb ...
Unpacking libtcl8.6:armhf (8.6.8+dfsg-4) ...
Selecting previously unselected package pkg-config.
Preparing to unpack .../40-pkg-config_0.29-4_armhf.deb ...
Unpacking pkg-config (0.29-4) ...
Selecting previously unselected package tcl8.6.
Preparing to unpack .../41-tcl8.6_8.6.8+dfsg-4_armhf.deb ...
Unpacking tcl8.6 (8.6.8+dfsg-4) ...
Selecting previously unselected package tcl.
Preparing to unpack .../42-tcl_8.6.0+9_armhf.deb ...
Unpacking tcl (8.6.0+9) ...
Selecting previously unselected package zlib1g-dev:armhf.
Preparing to unpack .../43-zlib1g-dev_1%3a1.2.11.dfsg-1_armhf.deb ...
Unpacking zlib1g-dev:armhf (1:1.2.11.dfsg-1) ...
Selecting previously unselected package tcl8.6-dev:armhf.
Preparing to unpack .../44-tcl8.6-dev_8.6.8+dfsg-4_armhf.deb ...
Unpacking tcl8.6-dev:armhf (8.6.8+dfsg-4) ...
Selecting previously unselected package tcl-dev:armhf.
Preparing to unpack .../45-tcl-dev_8.6.0+9_armhf.deb ...
Unpacking tcl-dev:armhf (8.6.0+9) ...
Selecting previously unselected package txt2man.
Preparing to unpack .../46-txt2man_1.6.0-2_all.deb ...
Unpacking txt2man (1.6.0-2) ...
Selecting previously unselected package sbuild-build-depends-yosys-dummy.
Preparing to unpack .../47-sbuild-build-depends-yosys-dummy_0.invalid.0_armhf.deb ...
Unpacking sbuild-build-depends-yosys-dummy (0.invalid.0) ...
Setting up berkeley-abc (1.01+20180801git3fb3c6b+dfsg-1) ...
Setting up libarchive-zip-perl (1.64-1) ...
Setting up mime-support (3.61) ...
Installing new version of config file /etc/mime.types ...
Setting up libtimedate-perl (2.3000-2) ...
Setting up libelf1:armhf (0.170-0.5) ...
Setting up groff-base (1.22.3-10) ...
Setting up libglib2.0-0:armhf (2.58.0-4) ...
No schema files found: removed existing output file.
Setting up libffi-dev:armhf (3.2.1-8) ...
Setting up gettext-base (0.19.8.1-7) ...
Setting up iverilog (10.2-1) ...
Setting up libpipeline1:armhf (1.5.0-1) ...
Setting up m4 (1.4.18-1) ...
Setting up gawk (1:4.1.4+dfsg-1+b1) ...
Setting up libbsd0:armhf (0.9.1-1) ...
Setting up libfreetype6:armhf (2.8.1-2) ...
Setting up libmagic-mgc (1:5.34-2) ...
Setting up libmagic1:armhf (1:5.34-2) ...
Setting up libgraphite2-3:armhf (1.3.12-1) ...
Setting up pkg-config (0.29-4) ...
Processing triggers for libc-bin (2.27-5+rpi1) ...
Setting up dwz (0.12-2) ...
Setting up autotools-dev (20180224.1) ...
Setting up libbison-dev:armhf (2:3.0.4.dfsg-1+b1) ...
Setting up libtcl8.6:armhf (8.6.8+dfsg-4) ...
Setting up bison (2:3.0.4.dfsg-1+b1) ...
update-alternatives: using /usr/bin/bison.yacc to provide /usr/bin/yacc (yacc) in auto mode
Setting up bsdmainutils (11.1.2) ...
update-alternatives: using /usr/bin/bsd-write to provide /usr/bin/write (write) in auto mode
update-alternatives: using /usr/bin/bsd-from to provide /usr/bin/from (from) in auto mode
Setting up libncurses-dev:armhf (6.1+20180714-1) ...
Setting up autopoint (0.19.8.1-7) ...
Setting up libmpdec2:armhf (2.4.2-2) ...
Setting up zlib1g-dev:armhf (1:1.2.11.dfsg-1) ...
Setting up libfile-stripnondeterminism-perl (0.042-1) ...
Setting up libpython3.6-stdlib:armhf (3.6.6-1) ...
Setting up python3.6 (3.6.6-1) ...
Setting up txt2man (1.6.0-2) ...
Setting up flex (2.6.4-6.2) ...
Setting up libharfbuzz0b:armhf (1.8.8-2+rpi1) ...
Setting up autoconf (2.69-11) ...
Setting up file (1:5.34-2) ...
Setting up tcl8.6 (8.6.8+dfsg-4) ...
Setting up automake (1:1.16.1-1.1) ...
update-alternatives: using /usr/bin/automake-1.16 to provide /usr/bin/automake (automake) in auto mode
Setting up libreadline-dev:armhf (7.0-5) ...
Setting up man-db (2.8.4-2) ...
Not building database; man-db/auto-update is not 'true'.
Setting up tcl8.6-dev:armhf (8.6.8+dfsg-4) ...
Setting up libtool (2.4.6-4) ...
Setting up libpython3-stdlib:armhf (3.6.5-3) ...
Setting up python3 (3.6.5-3) ...
Setting up tcl (8.6.0+9) ...
Setting up python3-lib2to3 (3.6.6-1) ...
Setting up tcl-dev:armhf (8.6.0+9) ...
Setting up python3-distutils (3.6.6-1) ...
Setting up dh-python (3.20180723) ...
Setting up libicu-le-hb0:armhf (1.0.3+git161113-5) ...
Setting up dh-strip-nondeterminism (0.042-1) ...
Setting up libicu60:armhf (60.2-6) ...
Setting up libxml2:armhf (2.9.4+dfsg1-7) ...
Setting up libcroco3:armhf (0.6.12-2) ...
Setting up gettext (0.19.8.1-7) ...
Setting up intltool-debian (0.35.0+20060710.4) ...
Setting up po-debconf (1.0.20) ...
Setting up debhelper (11.3.5) ...
Setting up dh-autoreconf (19) ...
Setting up sbuild-build-depends-yosys-dummy (0.invalid.0) ...
Processing triggers for libc-bin (2.27-5+rpi1) ...
W: No sandbox user '_apt' on the system, can not drop privileges

+------------------------------------------------------------------------------+
| Build environment                                                            |
+------------------------------------------------------------------------------+

Kernel: Linux 4.4.0-124-generic armhf (armv8l)
Toolchain package versions: binutils_2.31.1-2+rpi1 dpkg-dev_1.19.0.5 g++-8_8.1.0-12+rpi1 gcc-8_8.1.0-12+rpi1 libc6-dev_2.27-5+rpi1 libstdc++-8-dev_8.1.0-12+rpi1 libstdc++6_8.1.0-12+rpi1 linux-libc-dev_4.16.16-2+rpi1
Package versions: adduser_3.117 apt_1.6.3 autoconf_2.69-11 automake_1:1.16.1-1.1 autopoint_0.19.8.1-7 autotools-dev_20180224.1 base-files_10.1+rpi1 base-passwd_3.5.45 bash_4.4.18-3.1 berkeley-abc_1.01+20180801git3fb3c6b+dfsg-1 binutils_2.31.1-2+rpi1 binutils-arm-linux-gnueabihf_2.31.1-2+rpi1 binutils-common_2.31.1-2+rpi1 bison_2:3.0.4.dfsg-1+b1 bsdmainutils_11.1.2 bsdutils_1:2.32.1-0.1 build-essential_12.5 bzip2_1.0.6-8.1 ca-certificates_20170717 coreutils_8.28-1 cpio_2.12+dfsg-6 cpp_4:8.1.0-1+rpi1 cpp-8_8.1.0-12+rpi1 dash_0.5.8-2.10 dbus_1.12.10-1 dbus-user-session_1.12.10-1 debconf_1.5.69 debhelper_11.3.5 debianutils_4.8.6 dh-autoreconf_19 dh-python_3.20180723 dh-strip-nondeterminism_0.042-1 diffutils_1:3.6-1 dirmngr_2.2.9-1+rpi1 dmsetup_2:1.02.145-4.1+b4 dpkg_1.19.0.5 dpkg-dev_1.19.0.5 dwz_0.12-2 e2fslibs_1.44.3-1 e2fsprogs_1.44.3-1 e2fsprogs-l10n_1.44.3-1 fakeroot_1.23-1 fdisk_2.32.1-0.1 file_1:5.34-2 findutils_4.6.0+git+20171230-2 flex_2.6.4-6.2 g++_4:8.1.0-1+rpi1 g++-8_8.1.0-12+rpi1 gawk_1:4.1.4+dfsg-1+b1 gcc_4:8.1.0-1+rpi1 gcc-4.6-base_4.6.4-5+rpi1 gcc-4.7-base_4.7.3-11+rpi1 gcc-4.8-base_4.8.5-4 gcc-4.9-base_4.9.4-2+rpi1+b19 gcc-5-base_5.5.0-8 gcc-8_8.1.0-12+rpi1 gcc-8-base_8.1.0-12+rpi1 gettext_0.19.8.1-7 gettext-base_0.19.8.1-7 gnupg_2.2.9-1+rpi1 gnupg-agent_2.2.9-1+rpi1 gnupg-l10n_2.2.9-1+rpi1 gnupg-utils_2.2.9-1+rpi1 gpg_2.2.9-1+rpi1 gpg-agent_2.2.9-1+rpi1 gpg-wks-client_2.2.9-1+rpi1 gpg-wks-server_2.2.9-1+rpi1 gpgconf_2.2.9-1+rpi1 gpgsm_2.2.9-1+rpi1 gpgv_2.2.9-1+rpi1 grep_3.1-2 groff-base_1.22.3-10 gzip_1.9-2 hostname_3.20 inetutils-ping_2:1.9.4-3 init-system-helpers_1.51 initramfs-tools_0.132 initramfs-tools-core_0.132 intltool-debian_0.35.0+20060710.4 iverilog_10.2-1 klibc-utils_2.0.4-14+rpi1 kmod_25-1 libacl1_2.2.52-3 libapparmor1_2.13-8 libapt-pkg5.0_1.6.3 libarchive-zip-perl_1.64-1 libargon2-1_0~20171227-0.1 libasan5_8.1.0-12+rpi1 libassuan0_2.5.1-2 libatomic1_8.1.0-12+rpi1 libattr1_1:2.4.47-2 libaudit-common_1:2.8.3-1 libaudit1_1:2.8.3-1 libbinutils_2.31.1-2+rpi1 libbison-dev_2:3.0.4.dfsg-1+b1 libblkid1_2.32.1-0.1 libbsd0_0.9.1-1 libbz2-1.0_1.0.6-8.1 libc-bin_2.27-5+rpi1 libc-dev-bin_2.27-5+rpi1 libc6_2.27-5+rpi1 libc6-dev_2.27-5+rpi1 libcap-ng0_0.7.9-1 libcap2_1:2.25-1.2 libcc1-0_8.1.0-12+rpi1 libcom-err2_1.44.3-1 libcroco3_0.6.12-2 libcryptsetup12_2:2.0.4-2 libcryptsetup4_2:1.7.5-1 libdb5.3_5.3.28-13.1 libdbus-1-3_1.12.10-1 libdebconfclient0_0.244 libdevmapper1.02.1_2:1.02.145-4.1+b4 libdpkg-perl_1.19.0.5 libdrm-common_2.4.92-1+rpi1 libdrm2_2.4.92-1+rpi1 libelf1_0.170-0.5 libexpat1_2.2.5-3 libext2fs2_1.44.3-1 libfakeroot_1.23-1 libfdisk1_2.32.1-0.1 libffi-dev_3.2.1-8 libffi6_3.2.1-8 libfile-stripnondeterminism-perl_0.042-1 libfreetype6_2.8.1-2 libgcc-8-dev_8.1.0-12+rpi1 libgcc1_1:8.1.0-12+rpi1 libgcrypt20_1.8.3-1 libgdbm-compat4_1.14.1-6 libgdbm3_1.8.3-14 libgdbm5_1.14.1-6 libglib2.0-0_2.58.0-4 libgmp10_2:6.1.2+dfsg-3 libgnutls30_3.5.19-1 libgomp1_8.1.0-12+rpi1 libgpg-error0_1.32-1 libgraphite2-3_1.3.12-1 libharfbuzz0b_1.8.8-2+rpi1 libhogweed4_3.4-1 libicu-le-hb0_1.0.3+git161113-5 libicu60_60.2-6 libidn11_1.33-2.2 libidn2-0_2.0.4-2.2 libip4tc0_1.6.2-1.1 libisl19_0.20-1 libjson-c3_0.12.1-1.3 libklibc_2.0.4-14+rpi1 libkmod2_25-1 libksba8_1.3.5-2 libldap-2.4-2_2.4.46+dfsg-5+rpi1 libldap-common_2.4.46+dfsg-5+rpi1 liblz4-1_1.8.2-1+rpi1 liblzma5_5.2.2-1.3 libmagic-mgc_1:5.34-2 libmagic1_1:5.34-2 libmount1_2.32.1-0.1 libmpc3_1.1.0-1 libmpdec2_2.4.2-2 libmpfr6_4.0.1-1 libncurses-dev_6.1+20180714-1 libncurses5_6.1+20180714-1 libncurses6_6.1+20180714-1 libncursesw5_6.1+20180714-1 libncursesw6_6.1+20180714-1 libnettle6_3.4-1 libnpth0_1.6-1 libnss-systemd_239-7 libp11-kit0_0.23.12-2 libpam-modules_1.1.8-3.7 libpam-modules-bin_1.1.8-3.7 libpam-runtime_1.1.8-3.7 libpam-systemd_239-7 libpam0g_1.1.8-3.7 libpcre3_2:8.39-10 libperl5.24_5.24.1-7 libperl5.26_5.26.2-7 libpipeline1_1.5.0-1 libplymouth4_0.9.3-3 libpng16-16_1.6.34-2+rpi1 libprocps7_2:3.3.15-2 libpython3-stdlib_3.6.5-3 libpython3.6-minimal_3.6.6-1 libpython3.6-stdlib_3.6.6-1 libreadline-dev_7.0-5 libreadline7_7.0-5 libsasl2-2_2.1.27~101-g0780600+dfsg-3.1 libsasl2-modules_2.1.27~101-g0780600+dfsg-3.1 libsasl2-modules-db_2.1.27~101-g0780600+dfsg-3.1 libseccomp2_2.3.3-3 libselinux1_2.8-1 libsemanage-common_2.8-1 libsemanage1_2.8-1 libsepol1_2.8-1 libsigsegv2_2.12-2 libsmartcols1_2.32.1-0.1 libsqlite3-0_3.24.0-1 libss2_1.44.3-1 libssl1.1_1.1.0h-4 libstdc++-8-dev_8.1.0-12+rpi1 libstdc++6_8.1.0-12+rpi1 libsystemd0_239-7 libtasn1-6_4.13-3 libtcl8.6_8.6.8+dfsg-4 libtimedate-perl_2.3000-2 libtinfo5_6.1+20180714-1 libtinfo6_6.1+20180714-1 libtool_2.4.6-4 libubsan1_8.1.0-12+rpi1 libudev1_239-7 libunistring2_0.9.10-1 libustr-1.0-1_1.0.4-6 libuuid1_2.32.1-0.1 libxml2_2.9.4+dfsg1-7 libzstd1_1.3.4+dfsg-3+rpi1 linux-base_4.5 linux-libc-dev_4.16.16-2+rpi1 login_1:4.5-1.1 lsb-base_9.20170808+rpi1 m4_1.4.18-1 make_4.2.1-1.2 makedev_2.3.1-93 man-db_2.8.4-2 mawk_1.3.3-17 mime-support_3.61 mount_2.32.1-0.1 multiarch-support_2.27-5+rpi1 nano_2.9.8-1 ncurses-base_6.1+20180714-1 ncurses-bin_6.1+20180714-1 netbase_5.4 openssl_1.1.0h-4 passwd_1:4.5-1.1 patch_2.7.6-3 perl_5.26.2-7 perl-base_5.26.2-7 perl-modules-5.24_5.24.1-7 perl-modules-5.26_5.26.2-7 pinentry-curses_1.1.0-1 pkg-config_0.29-4 plymouth_0.9.3-3 po-debconf_1.0.20 procps_2:3.3.15-2 python3_3.6.5-3 python3-distutils_3.6.6-1 python3-lib2to3_3.6.6-1 python3-minimal_3.6.5-3 python3.6_3.6.6-1 python3.6-minimal_3.6.6-1 raspbian-archive-keyring_20120528.2 readline-common_7.0-5 sbuild-build-depends-core-dummy_0.invalid.0 sbuild-build-depends-yosys-dummy_0.invalid.0 sed_4.5-1 sensible-utils_0.0.12 systemd_239-7 systemd-sysv_239-7 sysvinit-utils_2.88dsf-59.10 tar_1.30+dfsg-2 tcl_8.6.0+9 tcl-dev_8.6.0+9 tcl8.6_8.6.8+dfsg-4 tcl8.6-dev_8.6.8+dfsg-4 txt2man_1.6.0-2 tzdata_2018e-1 udev_239-7 util-linux_2.32.1-0.1 xz-utils_5.2.2-1.3 zlib1g_1:1.2.11.dfsg-1 zlib1g-dev_1:1.2.11.dfsg-1

+------------------------------------------------------------------------------+
| Build                                                                        |
+------------------------------------------------------------------------------+


Unpack source
-------------

gpgv: unknown type of key resource 'trustedkeys.kbx'
gpgv: keyblock resource '/sbuild-nonexistent/.gnupg/trustedkeys.kbx': General error
gpgv: Signature made Tue Sep  4 18:15:22 2018 UTC
gpgv:                using RSA key 3474C4096729ED0C51807D3CE69822C7E02958CD
gpgv:                issuer "ruben.undheim@gmail.com"
gpgv: Can't check signature: No public key
dpkg-source: warning: failed to verify signature on ./yosys_0.7-8.dsc
dpkg-source: info: extracting yosys in /<<PKGBUILDDIR>>
dpkg-source: info: unpacking yosys_0.7.orig.tar.gz
dpkg-source: info: unpacking yosys_0.7-8.debian.tar.xz
dpkg-source: info: applying 01_gitrevision.patch
dpkg-source: info: applying 02_removeabc.patch
dpkg-source: info: applying 05_abc_executable.patch
dpkg-source: info: applying switch-to-free-font.patch
dpkg-source: info: applying manual-build.patch
dpkg-source: info: applying kfreebsd-support.patch
dpkg-source: info: applying 0007-Disable-pretty-build.patch
dpkg-source: info: applying 0009-Some-spelling-errors-fixed.patch
dpkg-source: info: applying 0010-Fix-reproducibility-of-PDF-documents-in-yosys-doc.patch
dpkg-source: info: applying 0010-Fix-adding-of-sys.path-in-yosys-smtbmc.patch
dpkg-source: info: applying 0011-Do-not-show-g-build-flags-in-Version-string.patch
dpkg-source: info: applying 0012-Skip-non-deterministic-test-causing-random-FTBFS-on-.patch

Check disk space
----------------

Sufficient free space for build

Hack binNMU version
-------------------

Created changelog entry for binNMU version 0.7-8+b3

User Environment
----------------

APT_CONFIG=/var/lib/sbuild/apt.conf
DEB_BUILD_OPTIONS=parallel=4
HOME=/sbuild-nonexistent
LC_ALL=POSIX
LOGNAME=buildd
PATH=/usr/local/sbin:/usr/local/bin:/usr/sbin:/usr/bin:/sbin:/bin:/usr/games
SCHROOT_ALIAS_NAME=buster-staging-armhf-sbuild
SCHROOT_CHROOT_NAME=buster-staging-armhf-sbuild
SCHROOT_COMMAND=env
SCHROOT_GID=112
SCHROOT_GROUP=buildd
SCHROOT_SESSION_ID=buster-staging-armhf-sbuild-36184d03-48e5-4191-b16b-257a9efa9bc0
SCHROOT_UID=107
SCHROOT_USER=buildd
SHELL=/bin/sh
USER=buildd

dpkg-buildpackage
-----------------

dpkg-buildpackage: info: source package yosys
dpkg-buildpackage: info: source version 0.7-8+b3
dpkg-buildpackage: info: source distribution buster-staging
 dpkg-source --before-build yosys-0.7
dpkg-buildpackage: info: host architecture armhf
 fakeroot debian/rules clean
PREFIX=/usr dh clean --parallel --with=python3
   debian/rules override_dh_auto_clean
make[1]: Entering directory '/<<PKGBUILDDIR>>'
dh_auto_clean
	make -j4 clean
make[2]: Entering directory '/<<PKGBUILDDIR>>'
rm -rf share
if test -d manual; then cd manual && sh clean.sh; fi
find ./PRESENTATION_ExAdv/ -name '*.dot' | xargs rm -f
find ./PRESENTATION_Prog/ -name 'my_cmd.so' | xargs rm -f
find ./PRESENTATION_Prog/ -name 'my_cmd.d' | xargs rm -f
find ./PRESENTATION_Intro/ -name 'counter_00.dot' | xargs rm -f
find ./PRESENTATION_Intro/ -name 'counter_01.dot' | xargs rm -f
find ./PRESENTATION_Intro/ -name 'counter_02.dot' | xargs rm -f
find ./PRESENTATION_Intro/ -name 'counter_03.dot' | xargs rm -f
find ./CHAPTER_Prog/ -name 'stubnets.so' | xargs rm -f
find ./CHAPTER_Prog/ -name 'stubnets.d' | xargs rm -f
find ./CHAPTER_Prog/ -name '*.log' | xargs rm -f
find ./PRESENTATION_ExOth/ -name '*.dot' | xargs rm -f
find ./ -name '*.aux' | xargs rm -f
find ./ -name '*.bbl' | xargs rm -f
find ./ -name '*.blg' | xargs rm -f
find ./ -name '*.idx' | xargs rm -f
find ./ -name '*.log' | xargs rm -f
find ./ -name '*.out' | xargs rm -f
find ./ -name '*.pdf' | xargs rm -f
find ./ -name '*.toc' | xargs rm -f
find ./ -name '*.snm' | xargs rm -f
find ./ -name '*.nav' | xargs rm -f
find ./ -name '*.vrb' | xargs rm -f
find ./ -name '*.ok' | xargs rm -f
find ./PRESENTATION_ExSyn/ -name '*.dot' | xargs rm -f
rm -f kernel/version_61f6811.o kernel/driver.o kernel/register.o kernel/rtlil.o kernel/log.o kernel/calc.o kernel/yosys.o kernel/cellaigs.o kernel/celledges.o libs/bigint/BigIntegerAlgorithms.o libs/bigint/BigInteger.o libs/bigint/BigIntegerUtils.o libs/bigint/BigUnsigned.o libs/bigint/BigUnsignedInABase.o libs/sha1/sha1.o libs/subcircuit/subcircuit.o libs/ezsat/ezsat.o libs/ezsat/ezminisat.o libs/minisat/Options.o libs/minisat/SimpSolver.o libs/minisat/Solver.o libs/minisat/System.o frontends/vhdl2verilog/vhdl2verilog.o frontends/ilang/ilang_parser.tab.o frontends/ilang/ilang_lexer.o frontends/ilang/ilang_frontend.o frontends/verilog/verilog_parser.tab.o frontends/verilog/verilog_lexer.o frontends/verilog/preproc.o frontends/verilog/verilog_frontend.o frontends/verilog/const2ast.o frontends/ast/ast.o frontends/ast/simplify.o frontends/ast/genrtlil.o frontends/ast/dpicall.o frontends/blif/blifparse.o frontends/verific/verific.o frontends/liberty/liberty.o passes/tests/test_autotb.o passes/tests/test_cell.o passes/tests/test_abcloop.o passes/sat/sat.o passes/sat/freduce.o passes/sat/eval.o passes/sat/miter.o passes/sat/expose.o passes/sat/assertpmux.o passes/sat/clk2fflogic.o passes/cmds/add.o passes/cmds/delete.o passes/cmds/design.o passes/cmds/select.o passes/cmds/show.o passes/cmds/rename.o passes/cmds/connect.o passes/cmds/scatter.o passes/cmds/setundef.o passes/cmds/splitnets.o passes/cmds/stat.o passes/cmds/setattr.o passes/cmds/copy.o passes/cmds/splice.o passes/cmds/scc.o passes/cmds/torder.o passes/cmds/logcmd.o passes/cmds/tee.o passes/cmds/write_file.o passes/cmds/connwrappers.o passes/cmds/cover.o passes/cmds/trace.o passes/cmds/plugin.o passes/cmds/check.o passes/cmds/qwp.o passes/cmds/edgetypes.o passes/memory/memory.o passes/memory/memory_dff.o passes/memory/memory_share.o passes/memory/memory_collect.o passes/memory/memory_unpack.o passes/memory/memory_bram.o passes/memory/memory_map.o passes/memory/memory_memx.o passes/techmap/techmap.o passes/techmap/simplemap.o passes/techmap/dfflibmap.o passes/techmap/maccmap.o passes/techmap/libparse.o passes/techmap/abc.o passes/techmap/iopadmap.o passes/techmap/hilomap.o passes/techmap/extract.o passes/techmap/alumacc.o passes/techmap/dff2dffe.o passes/techmap/dffinit.o passes/techmap/pmuxtree.o passes/techmap/muxcover.o passes/techmap/aigmap.o passes/techmap/tribuf.o passes/techmap/lut2mux.o passes/techmap/nlutmap.o passes/techmap/dffsr2dff.o passes/techmap/shregmap.o passes/techmap/deminout.o passes/techmap/insbuf.o passes/techmap/attrmvcp.o passes/techmap/attrmap.o passes/techmap/zinit.o passes/opt/opt.o passes/opt/opt_merge.o passes/opt/opt_muxtree.o passes/opt/opt_reduce.o passes/opt/opt_rmdff.o passes/opt/opt_clean.o passes/opt/opt_expr.o passes/opt/share.o passes/opt/wreduce.o passes/hierarchy/hierarchy.o passes/hierarchy/singleton.o passes/hierarchy/submod.o passes/equiv/equiv_make.o passes/equiv/equiv_miter.o passes/equiv/equiv_simple.o passes/equiv/equiv_status.o passes/equiv/equiv_add.o passes/equiv/equiv_remove.o passes/equiv/equiv_induct.o passes/equiv/equiv_struct.o passes/equiv/equiv_purge.o passes/equiv/equiv_mark.o passes/fsm/fsm.o passes/fsm/fsm_detect.o passes/fsm/fsm_extract.o passes/fsm/fsm_opt.o passes/fsm/fsm_expand.o passes/fsm/fsm_recode.o passes/fsm/fsm_info.o passes/fsm/fsm_export.o passes/fsm/fsm_map.o passes/proc/proc.o passes/proc/proc_clean.o passes/proc/proc_rmdead.o passes/proc/proc_init.o passes/proc/proc_arst.o passes/proc/proc_mux.o passes/proc/proc_dlatch.o passes/proc/proc_dff.o backends/edif/edif.o backends/smt2/smt2.o backends/btor/btor.o backends/intersynth/intersynth.o backends/ilang/ilang_backend.o backends/json/json.o backends/verilog/verilog_backend.o backends/smv/smv.o backends/blif/blif.o backends/spice/spice.o techlibs/xilinx/synth_xilinx.o techlibs/common/synth.o techlibs/common/prep.o techlibs/ice40/synth_ice40.o techlibs/ice40/ice40_ffssr.o techlibs/ice40/ice40_ffinit.o techlibs/ice40/ice40_opt.o techlibs/greenpak4/synth_greenpak4.o techlibs/greenpak4/greenpak4_counters.o techlibs/greenpak4/greenpak4_dffinv.o techlibs/gowin/synth_gowin.o  frontends/ilang/ilang_parser.tab.cc frontends/ilang/ilang_parser.tab.h frontends/ilang/ilang_parser.output frontends/ilang/ilang_lexer.cc frontends/verilog/verilog_parser.tab.cc frontends/verilog/verilog_parser.tab.h frontends/verilog/verilog_parser.output frontends/verilog/verilog_lexer.cc passes/techmap/techmap.inc techlibs/xilinx/brams_init_36.vh techlibs/xilinx/brams_init_32.vh techlibs/xilinx/brams_init_18.vh techlibs/xilinx/brams_init_16.vh techlibs/common/simlib_help.inc techlibs/common/simcells_help.inc techlibs/ice40/brams_init1.vh techlibs/ice40/brams_init2.vh techlibs/ice40/brams_init3.vh yosys yosys-config yosys-filterlib yosys-smtbmc  share/include/kernel/yosys.h share/include/kernel/hashlib.h share/include/kernel/log.h share/include/kernel/rtlil.h share/include/kernel/register.h share/include/kernel/celltypes.h share/include/kernel/celledges.h share/include/kernel/consteval.h share/include/kernel/sigtools.h share/include/kernel/modtools.h share/include/kernel/macc.h share/include/kernel/utils.h share/include/kernel/satgen.h share/include/libs/ezsat/ezsat.h share/include/libs/ezsat/ezminisat.h share/include/libs/sha1/sha1.h share/include/passes/fsm/fsmdata.h share/include/frontends/ast/ast.h share/include/backends/ilang/ilang_backend.h share/python3/smtio.py share/xilinx/cells_map.v share/xilinx/cells_sim.v share/xilinx/cells_xtra.v share/xilinx/brams.txt share/xilinx/brams_map.v share/xilinx/brams_bb.v share/xilinx/drams.txt share/xilinx/drams_map.v share/xilinx/drams_bb.v share/xilinx/arith_map.v share/xilinx/brams_init_36.vh share/xilinx/brams_init_32.vh share/xilinx/brams_init_18.vh share/xilinx/brams_init_16.vh share/simlib.v share/simcells.v share/techmap.v share/pmux2mux.v share/adff2dff.v share/cells.lib share/ice40/arith_map.v share/ice40/cells_map.v share/ice40/cells_sim.v share/ice40/latches_map.v share/ice40/brams.txt share/ice40/brams_map.v share/ice40/brams_init1.vh share/ice40/brams_init2.vh share/ice40/brams_init3.vh share/greenpak4/cells_map.v share/greenpak4/cells_sim.v share/greenpak4/gp_dff.lib share/gowin/cells_map.v share/gowin/cells_sim.v  passes/techmap/filterlib.o techlibs/xilinx/brams_init.mk techlibs/ice40/brams_init.mk
rm -f kernel/version_*.o kernel/version_*.cc abc/abc-[0-9a-f]*
rm -f libs/*/*.d frontends/*/*.d passes/*/*.d backends/*/*.d kernel/*.d techlibs/*/*.d
make[2]: Leaving directory '/<<PKGBUILDDIR>>'
rm -f debian/man/*.1
make[1]: Leaving directory '/<<PKGBUILDDIR>>'
   dh_clean
 debian/rules build-arch
PREFIX=/usr dh build-arch --parallel --with=python3
   dh_update_autotools_config -a -O--parallel
   dh_autoreconf -a -O--parallel
   debian/rules override_dh_auto_configure
make[1]: Entering directory '/<<PKGBUILDDIR>>'
make config-gcc
make[2]: Entering directory '/<<PKGBUILDDIR>>'
rm -rf share
if test -d manual; then cd manual && sh clean.sh; fi
find ./PRESENTATION_ExAdv/ -name '*.dot' | xargs rm -f
find ./PRESENTATION_Prog/ -name 'my_cmd.so' | xargs rm -f
find ./PRESENTATION_Prog/ -name 'my_cmd.d' | xargs rm -f
find ./PRESENTATION_Intro/ -name 'counter_00.dot' | xargs rm -f
find ./PRESENTATION_Intro/ -name 'counter_01.dot' | xargs rm -f
find ./PRESENTATION_Intro/ -name 'counter_02.dot' | xargs rm -f
find ./PRESENTATION_Intro/ -name 'counter_03.dot' | xargs rm -f
find ./CHAPTER_Prog/ -name 'stubnets.so' | xargs rm -f
find ./CHAPTER_Prog/ -name 'stubnets.d' | xargs rm -f
find ./CHAPTER_Prog/ -name '*.log' | xargs rm -f
find ./PRESENTATION_ExOth/ -name '*.dot' | xargs rm -f
find ./ -name '*.aux' | xargs rm -f
find ./ -name '*.bbl' | xargs rm -f
find ./ -name '*.blg' | xargs rm -f
find ./ -name '*.idx' | xargs rm -f
find ./ -name '*.log' | xargs rm -f
find ./ -name '*.out' | xargs rm -f
find ./ -name '*.pdf' | xargs rm -f
find ./ -name '*.toc' | xargs rm -f
find ./ -name '*.snm' | xargs rm -f
find ./ -name '*.nav' | xargs rm -f
find ./ -name '*.vrb' | xargs rm -f
find ./ -name '*.ok' | xargs rm -f
find ./PRESENTATION_ExSyn/ -name '*.dot' | xargs rm -f
rm -f kernel/version_61f6811.o kernel/driver.o kernel/register.o kernel/rtlil.o kernel/log.o kernel/calc.o kernel/yosys.o kernel/cellaigs.o kernel/celledges.o libs/bigint/BigIntegerAlgorithms.o libs/bigint/BigInteger.o libs/bigint/BigIntegerUtils.o libs/bigint/BigUnsigned.o libs/bigint/BigUnsignedInABase.o libs/sha1/sha1.o libs/subcircuit/subcircuit.o libs/ezsat/ezsat.o libs/ezsat/ezminisat.o libs/minisat/Options.o libs/minisat/SimpSolver.o libs/minisat/Solver.o libs/minisat/System.o frontends/vhdl2verilog/vhdl2verilog.o frontends/ilang/ilang_parser.tab.o frontends/ilang/ilang_lexer.o frontends/ilang/ilang_frontend.o frontends/verilog/verilog_parser.tab.o frontends/verilog/verilog_lexer.o frontends/verilog/preproc.o frontends/verilog/verilog_frontend.o frontends/verilog/const2ast.o frontends/ast/ast.o frontends/ast/simplify.o frontends/ast/genrtlil.o frontends/ast/dpicall.o frontends/blif/blifparse.o frontends/verific/verific.o frontends/liberty/liberty.o passes/tests/test_autotb.o passes/tests/test_cell.o passes/tests/test_abcloop.o passes/sat/sat.o passes/sat/freduce.o passes/sat/eval.o passes/sat/miter.o passes/sat/expose.o passes/sat/assertpmux.o passes/sat/clk2fflogic.o passes/cmds/add.o passes/cmds/delete.o passes/cmds/design.o passes/cmds/select.o passes/cmds/show.o passes/cmds/rename.o passes/cmds/connect.o passes/cmds/scatter.o passes/cmds/setundef.o passes/cmds/splitnets.o passes/cmds/stat.o passes/cmds/setattr.o passes/cmds/copy.o passes/cmds/splice.o passes/cmds/scc.o passes/cmds/torder.o passes/cmds/logcmd.o passes/cmds/tee.o passes/cmds/write_file.o passes/cmds/connwrappers.o passes/cmds/cover.o passes/cmds/trace.o passes/cmds/plugin.o passes/cmds/check.o passes/cmds/qwp.o passes/cmds/edgetypes.o passes/memory/memory.o passes/memory/memory_dff.o passes/memory/memory_share.o passes/memory/memory_collect.o passes/memory/memory_unpack.o passes/memory/memory_bram.o passes/memory/memory_map.o passes/memory/memory_memx.o passes/techmap/techmap.o passes/techmap/simplemap.o passes/techmap/dfflibmap.o passes/techmap/maccmap.o passes/techmap/libparse.o passes/techmap/abc.o passes/techmap/iopadmap.o passes/techmap/hilomap.o passes/techmap/extract.o passes/techmap/alumacc.o passes/techmap/dff2dffe.o passes/techmap/dffinit.o passes/techmap/pmuxtree.o passes/techmap/muxcover.o passes/techmap/aigmap.o passes/techmap/tribuf.o passes/techmap/lut2mux.o passes/techmap/nlutmap.o passes/techmap/dffsr2dff.o passes/techmap/shregmap.o passes/techmap/deminout.o passes/techmap/insbuf.o passes/techmap/attrmvcp.o passes/techmap/attrmap.o passes/techmap/zinit.o passes/opt/opt.o passes/opt/opt_merge.o passes/opt/opt_muxtree.o passes/opt/opt_reduce.o passes/opt/opt_rmdff.o passes/opt/opt_clean.o passes/opt/opt_expr.o passes/opt/share.o passes/opt/wreduce.o passes/hierarchy/hierarchy.o passes/hierarchy/singleton.o passes/hierarchy/submod.o passes/equiv/equiv_make.o passes/equiv/equiv_miter.o passes/equiv/equiv_simple.o passes/equiv/equiv_status.o passes/equiv/equiv_add.o passes/equiv/equiv_remove.o passes/equiv/equiv_induct.o passes/equiv/equiv_struct.o passes/equiv/equiv_purge.o passes/equiv/equiv_mark.o passes/fsm/fsm.o passes/fsm/fsm_detect.o passes/fsm/fsm_extract.o passes/fsm/fsm_opt.o passes/fsm/fsm_expand.o passes/fsm/fsm_recode.o passes/fsm/fsm_info.o passes/fsm/fsm_export.o passes/fsm/fsm_map.o passes/proc/proc.o passes/proc/proc_clean.o passes/proc/proc_rmdead.o passes/proc/proc_init.o passes/proc/proc_arst.o passes/proc/proc_mux.o passes/proc/proc_dlatch.o passes/proc/proc_dff.o backends/edif/edif.o backends/smt2/smt2.o backends/btor/btor.o backends/intersynth/intersynth.o backends/ilang/ilang_backend.o backends/json/json.o backends/verilog/verilog_backend.o backends/smv/smv.o backends/blif/blif.o backends/spice/spice.o techlibs/xilinx/synth_xilinx.o techlibs/common/synth.o techlibs/common/prep.o techlibs/ice40/synth_ice40.o techlibs/ice40/ice40_ffssr.o techlibs/ice40/ice40_ffinit.o techlibs/ice40/ice40_opt.o techlibs/greenpak4/synth_greenpak4.o techlibs/greenpak4/greenpak4_counters.o techlibs/greenpak4/greenpak4_dffinv.o techlibs/gowin/synth_gowin.o  frontends/ilang/ilang_parser.tab.cc frontends/ilang/ilang_parser.tab.h frontends/ilang/ilang_parser.output frontends/ilang/ilang_lexer.cc frontends/verilog/verilog_parser.tab.cc frontends/verilog/verilog_parser.tab.h frontends/verilog/verilog_parser.output frontends/verilog/verilog_lexer.cc passes/techmap/techmap.inc techlibs/xilinx/brams_init_36.vh techlibs/xilinx/brams_init_32.vh techlibs/xilinx/brams_init_18.vh techlibs/xilinx/brams_init_16.vh techlibs/common/simlib_help.inc techlibs/common/simcells_help.inc techlibs/ice40/brams_init1.vh techlibs/ice40/brams_init2.vh techlibs/ice40/brams_init3.vh yosys yosys-config yosys-filterlib yosys-smtbmc  share/include/kernel/yosys.h share/include/kernel/hashlib.h share/include/kernel/log.h share/include/kernel/rtlil.h share/include/kernel/register.h share/include/kernel/celltypes.h share/include/kernel/celledges.h share/include/kernel/consteval.h share/include/kernel/sigtools.h share/include/kernel/modtools.h share/include/kernel/macc.h share/include/kernel/utils.h share/include/kernel/satgen.h share/include/libs/ezsat/ezsat.h share/include/libs/ezsat/ezminisat.h share/include/libs/sha1/sha1.h share/include/passes/fsm/fsmdata.h share/include/frontends/ast/ast.h share/include/backends/ilang/ilang_backend.h share/python3/smtio.py share/xilinx/cells_map.v share/xilinx/cells_sim.v share/xilinx/cells_xtra.v share/xilinx/brams.txt share/xilinx/brams_map.v share/xilinx/brams_bb.v share/xilinx/drams.txt share/xilinx/drams_map.v share/xilinx/drams_bb.v share/xilinx/arith_map.v share/xilinx/brams_init_36.vh share/xilinx/brams_init_32.vh share/xilinx/brams_init_18.vh share/xilinx/brams_init_16.vh share/simlib.v share/simcells.v share/techmap.v share/pmux2mux.v share/adff2dff.v share/cells.lib share/ice40/arith_map.v share/ice40/cells_map.v share/ice40/cells_sim.v share/ice40/latches_map.v share/ice40/brams.txt share/ice40/brams_map.v share/ice40/brams_init1.vh share/ice40/brams_init2.vh share/ice40/brams_init3.vh share/greenpak4/cells_map.v share/greenpak4/cells_sim.v share/greenpak4/gp_dff.lib share/gowin/cells_map.v share/gowin/cells_sim.v  passes/techmap/filterlib.o techlibs/xilinx/brams_init.mk techlibs/ice40/brams_init.mk
rm -f kernel/version_*.o kernel/version_*.cc abc/abc-[0-9a-f]*
rm -f libs/*/*.d frontends/*/*.d passes/*/*.d backends/*/*.d kernel/*.d techlibs/*/*.d
echo 'CONFIG := gcc' > Makefile.conf
make[2]: Leaving directory '/<<PKGBUILDDIR>>'
make[1]: Leaving directory '/<<PKGBUILDDIR>>'
   debian/rules override_dh_auto_build-arch
make[1]: Entering directory '/<<PKGBUILDDIR>>'
dh_auto_build --parallel -- all
	make -j4 "INSTALL=install --strip-program=true" all
make[2]: Entering directory '/<<PKGBUILDDIR>>'
[Makefile.conf] CONFIG := gcc
rm -f kernel/version_*.o kernel/version_*.d kernel/version_*.cc
mkdir -p kernel && echo "namespace Yosys { extern const char *yosys_version_str; const char *yosys_version_str=\"Yosys 0.7 (git sha1 61f6811)\"; }" > kernel/version_61f6811.cc
mkdir -p kernel/
gcc -o kernel/driver.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/driver.cc
mkdir -p techlibs/common
mkdir -p techlibs/common
python3 techlibs/common/cellhelp.py techlibs/common/simlib.v > techlibs/common/simlib_help.inc.new
python3 techlibs/common/cellhelp.py techlibs/common/simcells.v > techlibs/common/simcells_help.inc.new
mkdir -p kernel/
gcc -o kernel/rtlil.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/rtlil.cc
mv techlibs/common/simlib_help.inc.new techlibs/common/simlib_help.inc
mkdir -p kernel/
mv techlibs/common/simcells_help.inc.new techlibs/common/simcells_help.inc
gcc -o kernel/log.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DYOSYS_SRC='"./"' kernel/log.cc
mkdir -p kernel/
gcc -o kernel/calc.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/calc.cc
In file included from /usr/include/c++/8/bits/stl_algobase.h:67,
                 from /usr/include/c++/8/bits/stl_tree.h:63,
                 from /usr/include/c++/8/map:60,
                 from ./kernel/yosys.h:42,
                 from kernel/driver.cc:20:
/usr/include/c++/8/bits/stl_iterator.h: In function 'std::reverse_iterator<_Iterator>::reference std::reverse_iterator<_Iterator>::operator*() const [with _Iterator = std::_Rb_tree_const_iterator<std::tuple<long long int, int, std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > > >]':
/usr/include/c++/8/bits/stl_iterator.h:168:7: note: parameter passing for argument of type 'const std::_Rb_tree_const_iterator<std::tuple<long long int, int, std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > > >' changed in GCC 7.1
       operator*() const
       ^~~~~~~~
kernel/log.cc: In function 'void Yosys::log_backtrace(const char*, int)':
kernel/log.cc:300:40: warning: unsupported argument to 'void* __builtin_return_address(unsigned int)'
  if ((p = __builtin_extract_return_addr(__builtin_return_address(1))) && dladdr(p, &dli)) {
           ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~
kernel/log.cc:309:40: warning: unsupported argument to 'void* __builtin_return_address(unsigned int)'
  if ((p = __builtin_extract_return_addr(__builtin_return_address(2))) && dladdr(p, &dli)) {
           ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~
kernel/log.cc:318:40: warning: unsupported argument to 'void* __builtin_return_address(unsigned int)'
  if ((p = __builtin_extract_return_addr(__builtin_return_address(3))) && dladdr(p, &dli)) {
           ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~
kernel/log.cc:327:40: warning: unsupported argument to 'void* __builtin_return_address(unsigned int)'
  if ((p = __builtin_extract_return_addr(__builtin_return_address(4))) && dladdr(p, &dli)) {
           ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~
kernel/log.cc:336:40: warning: unsupported argument to 'void* __builtin_return_address(unsigned int)'
  if ((p = __builtin_extract_return_addr(__builtin_return_address(5))) && dladdr(p, &dli)) {
           ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~
kernel/log.cc:345:40: warning: unsupported argument to 'void* __builtin_return_address(unsigned int)'
  if ((p = __builtin_extract_return_addr(__builtin_return_address(6))) && dladdr(p, &dli)) {
           ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~
kernel/log.cc:354:40: warning: unsupported argument to 'void* __builtin_return_address(unsigned int)'
  if ((p = __builtin_extract_return_addr(__builtin_return_address(7))) && dladdr(p, &dli)) {
           ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~
kernel/log.cc:363:40: warning: unsupported argument to 'void* __builtin_return_address(unsigned int)'
  if ((p = __builtin_extract_return_addr(__builtin_return_address(8))) && dladdr(p, &dli)) {
           ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~
mkdir -p kernel/
gcc -o kernel/yosys.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DYOSYS_DATDIR='"/usr/share/yosys"' kernel/yosys.cc
mkdir -p kernel/
gcc -o kernel/cellaigs.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/cellaigs.cc
mkdir -p kernel/
gcc -o kernel/celledges.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/celledges.cc
mkdir -p libs/bigint/
gcc -o libs/bigint/BigIntegerAlgorithms.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigIntegerAlgorithms.cc
mkdir -p libs/bigint/
gcc -o libs/bigint/BigInteger.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigInteger.cc
mkdir -p libs/bigint/
gcc -o libs/bigint/BigIntegerUtils.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigIntegerUtils.cc
mkdir -p libs/bigint/
gcc -o libs/bigint/BigUnsigned.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigUnsigned.cc
mkdir -p libs/bigint/
gcc -o libs/bigint/BigUnsignedInABase.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigUnsignedInABase.cc
mkdir -p libs/sha1/
gcc -o libs/sha1/sha1.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/sha1/sha1.cpp
mkdir -p libs/subcircuit/
gcc -o libs/subcircuit/subcircuit.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/subcircuit/subcircuit.cc
mkdir -p libs/ezsat/
gcc -o libs/ezsat/ezsat.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/ezsat/ezsat.cc
mkdir -p libs/ezsat/
gcc -o libs/ezsat/ezminisat.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/ezsat/ezminisat.cc
mkdir -p libs/minisat/
gcc -o libs/minisat/Options.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/Options.cc
mkdir -p libs/minisat/
gcc -o libs/minisat/SimpSolver.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/SimpSolver.cc
In file included from libs/minisat/Sort.h:24,
                 from libs/minisat/SimpSolver.cc:23:
libs/minisat/Vec.h: In instantiation of 'void Minisat::vec<T, _Size>::capacity(Minisat::vec<T, _Size>::Size) [with T = Minisat::vec<unsigned int>; _Size = int; Minisat::vec<T, _Size>::Size = int]':
libs/minisat/Vec.h:119:5:   required from 'void Minisat::vec<T, _Size>::growTo(Minisat::vec<T, _Size>::Size) [with T = Minisat::vec<unsigned int>; _Size = int; Minisat::vec<T, _Size>::Size = int]'
libs/minisat/IntMap.h:48:48:   required from 'void Minisat::IntMap<K, V, MkIndex>::reserve(K) [with K = int; V = Minisat::vec<unsigned int>; MkIndex = Minisat::MkIndexDefault<int>]'
libs/minisat/SolverTypes.h:338:37:   required from 'void Minisat::OccLists<K, Vec, Deleted, MkIndex>::init(const K&) [with K = int; Vec = Minisat::vec<unsigned int>; Deleted = Minisat::SimpSolver::ClauseDeleted; MkIndex = Minisat::MkIndexDefault<int>]'
libs/minisat/SimpSolver.cc:88:28:   required from here
libs/minisat/Vec.h:103:33: warning: 'void* realloc(void*, size_t)' moving an object of non-trivially copyable type 'class Minisat::vec<unsigned int>'; use 'new' and 'delete' instead [-Wclass-memaccess]
     ||   (((data = (T*)::realloc(data, (cap += add) * sizeof(T))) == NULL) && errno == ENOMEM) )
                        ~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
In file included from libs/minisat/Sort.h:24,
                 from libs/minisat/SimpSolver.cc:23:
libs/minisat/Vec.h:39:7: note: 'class Minisat::vec<unsigned int>' declared here
 class vec {
       ^~~
mkdir -p libs/minisat/
gcc -o libs/minisat/Solver.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/Solver.cc
In file included from libs/minisat/Alg.h:24,
                 from libs/minisat/Solver.cc:25:
libs/minisat/Vec.h: In instantiation of 'void Minisat::vec<T, _Size>::capacity(Minisat::vec<T, _Size>::Size) [with T = Minisat::vec<Minisat::Solver::Watcher>; _Size = int; Minisat::vec<T, _Size>::Size = int]':
libs/minisat/Vec.h:119:5:   required from 'void Minisat::vec<T, _Size>::growTo(Minisat::vec<T, _Size>::Size) [with T = Minisat::vec<Minisat::Solver::Watcher>; _Size = int; Minisat::vec<T, _Size>::Size = int]'
libs/minisat/IntMap.h:48:48:   required from 'void Minisat::IntMap<K, V, MkIndex>::reserve(K) [with K = Minisat::Lit; V = Minisat::vec<Minisat::Solver::Watcher>; MkIndex = Minisat::MkIndexLit]'
libs/minisat/SolverTypes.h:338:37:   required from 'void Minisat::OccLists<K, Vec, Deleted, MkIndex>::init(const K&) [with K = Minisat::Lit; Vec = Minisat::vec<Minisat::Solver::Watcher>; Deleted = Minisat::Solver::WatcherDeleted; MkIndex = Minisat::MkIndexLit]'
libs/minisat/Solver.cc:130:35:   required from here
libs/minisat/Vec.h:103:33: warning: 'void* realloc(void*, size_t)' moving an object of non-trivially copyable type 'class Minisat::vec<Minisat::Solver::Watcher>'; use 'new' and 'delete' instead [-Wclass-memaccess]
     ||   (((data = (T*)::realloc(data, (cap += add) * sizeof(T))) == NULL) && errno == ENOMEM) )
                        ~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
In file included from libs/minisat/Alg.h:24,
                 from libs/minisat/Solver.cc:25:
libs/minisat/Vec.h:39:7: note: 'class Minisat::vec<Minisat::Solver::Watcher>' declared here
 class vec {
       ^~~
mkdir -p libs/minisat/
gcc -o libs/minisat/System.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/System.cc
mkdir -p frontends/vhdl2verilog/
gcc -o frontends/vhdl2verilog/vhdl2verilog.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/vhdl2verilog/vhdl2verilog.cc
mkdir -p frontends/ilang/
bison -d -r all -b frontends/ilang/ilang_parser frontends/ilang/ilang_parser.y
mv frontends/ilang/ilang_parser.tab.c frontends/ilang/ilang_parser.tab.cc
mkdir -p frontends/ilang/
flex -o frontends/ilang/ilang_lexer.cc frontends/ilang/ilang_lexer.l
mkdir -p frontends/ilang/
gcc -o frontends/ilang/ilang_frontend.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ilang/ilang_frontend.cc
mkdir -p frontends/verilog/
bison -d -r all -b frontends/verilog/verilog_parser frontends/verilog/verilog_parser.y
mkdir -p frontends/verilog/
flex -o frontends/verilog/verilog_lexer.cc frontends/verilog/verilog_lexer.l
mkdir -p frontends/verilog/
gcc -o frontends/verilog/preproc.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/preproc.cc
mv frontends/verilog/verilog_parser.tab.c frontends/verilog/verilog_parser.tab.cc
mkdir -p frontends/verilog/
gcc -o frontends/verilog/verilog_frontend.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/verilog_frontend.cc
mkdir -p frontends/verilog/
gcc -o frontends/verilog/const2ast.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/const2ast.cc
mkdir -p frontends/ast/
gcc -o frontends/ast/ast.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/ast.cc
mkdir -p frontends/ast/
gcc -o frontends/ast/simplify.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/simplify.cc
mkdir -p frontends/ast/
gcc -o frontends/ast/genrtlil.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/genrtlil.cc
mkdir -p frontends/ast/
gcc -o frontends/ast/dpicall.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/dpicall.cc
mkdir -p frontends/blif/
gcc -o frontends/blif/blifparse.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/blif/blifparse.cc
mkdir -p frontends/verific/
gcc -o frontends/verific/verific.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verific/verific.cc
mkdir -p frontends/liberty/
gcc -o frontends/liberty/liberty.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/liberty/liberty.cc
mkdir -p passes/tests/
gcc -o passes/tests/test_autotb.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/tests/test_autotb.cc
mkdir -p passes/tests/
gcc -o passes/tests/test_cell.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/tests/test_cell.cc
mkdir -p passes/tests/
gcc -o passes/tests/test_abcloop.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/tests/test_abcloop.cc
mkdir -p passes/sat/
gcc -o passes/sat/sat.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/sat.cc
mkdir -p passes/sat/
gcc -o passes/sat/freduce.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/freduce.cc
mkdir -p passes/sat/
gcc -o passes/sat/eval.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/eval.cc
mkdir -p passes/sat/
gcc -o passes/sat/miter.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/miter.cc
mkdir -p passes/sat/
gcc -o passes/sat/expose.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/expose.cc
mkdir -p passes/sat/
gcc -o passes/sat/assertpmux.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/assertpmux.cc
mkdir -p passes/sat/
gcc -o passes/sat/clk2fflogic.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/clk2fflogic.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/add.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/add.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/delete.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/delete.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/design.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/design.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/select.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/select.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/show.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/show.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/rename.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/rename.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/connect.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/connect.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/scatter.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/scatter.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/setundef.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/setundef.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/splitnets.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/splitnets.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/stat.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/stat.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/setattr.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/setattr.cc
In file included from /usr/include/c++/8/vector:69,
                 from ./kernel/yosys.h:45,
                 from ./kernel/register.h:20,
                 from passes/cmds/stat.cc:20:
/usr/include/c++/8/bits/vector.tcc: In member function 'void std::vector<_Tp, _Alloc>::_M_realloc_insert(std::vector<_Tp, _Alloc>::iterator, _Args&& ...) [with _Args = {Yosys::hashlib::dict<Yosys::RTLIL::IdString, double>::entry_t}; _Tp = Yosys::hashlib::dict<Yosys::RTLIL::IdString, double>::entry_t; _Alloc = std::allocator<Yosys::hashlib::dict<Yosys::RTLIL::IdString, double>::entry_t>]':
/usr/include/c++/8/bits/vector.tcc:413:7: note: parameter passing for argument of type 'std::vector<Yosys::hashlib::dict<Yosys::RTLIL::IdString, double>::entry_t, std::allocator<Yosys::hashlib::dict<Yosys::RTLIL::IdString, double>::entry_t> >::iterator' {aka '__gnu_cxx::__normal_iterator<Yosys::hashlib::dict<Yosys::RTLIL::IdString, double>::entry_t*, std::vector<Yosys::hashlib::dict<Yosys::RTLIL::IdString, double>::entry_t, std::allocator<Yosys::hashlib::dict<Yosys::RTLIL::IdString, double>::entry_t> > >'} changed in GCC 7.1
       vector<_Tp, _Alloc>::
       ^~~~~~~~~~~~~~~~~~~
/usr/include/c++/8/bits/vector.tcc: In member function 'void std::vector<_Tp, _Alloc>::emplace_back(_Args&& ...) [with _Args = {Yosys::hashlib::dict<Yosys::RTLIL::IdString, double>::entry_t}; _Tp = Yosys::hashlib::dict<Yosys::RTLIL::IdString, double>::entry_t; _Alloc = std::allocator<Yosys::hashlib::dict<Yosys::RTLIL::IdString, double>::entry_t>]':
/usr/include/c++/8/bits/vector.tcc:109:4: note: parameter passing for argument of type '__gnu_cxx::__normal_iterator<Yosys::hashlib::dict<Yosys::RTLIL::IdString, double>::entry_t*, std::vector<Yosys::hashlib::dict<Yosys::RTLIL::IdString, double>::entry_t, std::allocator<Yosys::hashlib::dict<Yosys::RTLIL::IdString, double>::entry_t> > >' changed in GCC 7.1
    _M_realloc_insert(end(), std::forward<_Args>(__args)...);
    ^~~~~~~~~~~~~~~~~
mkdir -p passes/cmds/
gcc -o passes/cmds/copy.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/copy.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/splice.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/splice.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/scc.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/scc.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/torder.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/torder.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/logcmd.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/logcmd.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/tee.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/tee.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/write_file.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/write_file.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/connwrappers.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/connwrappers.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/cover.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/cover.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/trace.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/trace.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/plugin.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/plugin.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/check.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/check.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/qwp.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/qwp.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/edgetypes.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/edgetypes.cc
mkdir -p passes/memory/
gcc -o passes/memory/memory.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory.cc
mkdir -p passes/memory/
gcc -o passes/memory/memory_dff.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_dff.cc
In file included from /usr/include/c++/8/vector:69,
                 from ./kernel/yosys.h:45,
                 from passes/cmds/qwp.cc:20:
/usr/include/c++/8/bits/vector.tcc: In member function 'void std::vector<_Tp, _Alloc>::_M_realloc_insert(std::vector<_Tp, _Alloc>::iterator, _Args&& ...) [with _Args = {const double&}; _Tp = double; _Alloc = std::allocator<double>]':
/usr/include/c++/8/bits/vector.tcc:413:7: note: parameter passing for argument of type 'std::vector<double>::iterator' {aka '__gnu_cxx::__normal_iterator<double*, std::vector<double> >'} changed in GCC 7.1
       vector<_Tp, _Alloc>::
       ^~~~~~~~~~~~~~~~~~~
In file included from /usr/include/c++/8/vector:64,
                 from ./kernel/yosys.h:45,
                 from passes/cmds/qwp.cc:20:
/usr/include/c++/8/bits/stl_vector.h: In member function 'void std::vector<_Tp, _Alloc>::push_back(const value_type&) [with _Tp = double; _Alloc = std::allocator<double>]':
/usr/include/c++/8/bits/stl_vector.h:1085:4: note: parameter passing for argument of type '__gnu_cxx::__normal_iterator<double*, std::vector<double> >' changed in GCC 7.1
    _M_realloc_insert(end(), __x);
    ^~~~~~~~~~~~~~~~~
In file included from /usr/include/c++/8/vector:69,
                 from ./kernel/yosys.h:45,
                 from passes/cmds/qwp.cc:20:
/usr/include/c++/8/bits/vector.tcc: In member function 'void std::vector<_Tp, _Alloc>::_M_realloc_insert(std::vector<_Tp, _Alloc>::iterator, _Args&& ...) [with _Args = {std::pair<double, int>}; _Tp = std::pair<double, int>; _Alloc = std::allocator<std::pair<double, int> >]':
/usr/include/c++/8/bits/vector.tcc:413:7: note: parameter passing for argument of type 'std::vector<std::pair<double, int> >::iterator' {aka '__gnu_cxx::__normal_iterator<std::pair<double, int>*, std::vector<std::pair<double, int> > >'} changed in GCC 7.1
       vector<_Tp, _Alloc>::
       ^~~~~~~~~~~~~~~~~~~
/usr/include/c++/8/bits/vector.tcc: In member function 'void std::vector<_Tp, _Alloc>::_M_realloc_insert(std::vector<_Tp, _Alloc>::iterator, _Args&& ...) [with _Args = {Yosys::hashlib::dict<Yosys::RTLIL::Wire*, double>::entry_t}; _Tp = Yosys::hashlib::dict<Yosys::RTLIL::Wire*, double>::entry_t; _Alloc = std::allocator<Yosys::hashlib::dict<Yosys::RTLIL::Wire*, double>::entry_t>]':
/usr/include/c++/8/bits/vector.tcc:413:7: note: parameter passing for argument of type 'std::vector<Yosys::hashlib::dict<Yosys::RTLIL::Wire*, double>::entry_t, std::allocator<Yosys::hashlib::dict<Yosys::RTLIL::Wire*, double>::entry_t> >::iterator' {aka '__gnu_cxx::__normal_iterator<Yosys::hashlib::dict<Yosys::RTLIL::Wire*, double>::entry_t*, std::vector<Yosys::hashlib::dict<Yosys::RTLIL::Wire*, double>::entry_t, std::allocator<Yosys::hashlib::dict<Yosys::RTLIL::Wire*, double>::entry_t> > >'} changed in GCC 7.1
/usr/include/c++/8/bits/vector.tcc: In member function 'void std::vector<_Tp, _Alloc>::emplace_back(_Args&& ...) [with _Args = {Yosys::hashlib::dict<Yosys::RTLIL::Wire*, double>::entry_t}; _Tp = Yosys::hashlib::dict<Yosys::RTLIL::Wire*, double>::entry_t; _Alloc = std::allocator<Yosys::hashlib::dict<Yosys::RTLIL::Wire*, double>::entry_t>]':
/usr/include/c++/8/bits/vector.tcc:109:4: note: parameter passing for argument of type '__gnu_cxx::__normal_iterator<Yosys::hashlib::dict<Yosys::RTLIL::Wire*, double>::entry_t*, std::vector<Yosys::hashlib::dict<Yosys::RTLIL::Wire*, double>::entry_t, std::allocator<Yosys::hashlib::dict<Yosys::RTLIL::Wire*, double>::entry_t> > >' changed in GCC 7.1
    _M_realloc_insert(end(), std::forward<_Args>(__args)...);
    ^~~~~~~~~~~~~~~~~
/usr/include/c++/8/bits/vector.tcc: In member function 'void std::vector<_Tp, _Alloc>::_M_realloc_insert(std::vector<_Tp, _Alloc>::iterator, _Args&& ...) [with _Args = {Yosys::hashlib::dict<std::pair<int, int>, double>::entry_t}; _Tp = Yosys::hashlib::dict<std::pair<int, int>, double>::entry_t; _Alloc = std::allocator<Yosys::hashlib::dict<std::pair<int, int>, double>::entry_t>]':
/usr/include/c++/8/bits/vector.tcc:413:7: note: parameter passing for argument of type 'std::vector<Yosys::hashlib::dict<std::pair<int, int>, double>::entry_t, std::allocator<Yosys::hashlib::dict<std::pair<int, int>, double>::entry_t> >::iterator' {aka '__gnu_cxx::__normal_iterator<Yosys::hashlib::dict<std::pair<int, int>, double>::entry_t*, std::vector<Yosys::hashlib::dict<std::pair<int, int>, double>::entry_t, std::allocator<Yosys::hashlib::dict<std::pair<int, int>, double>::entry_t> > >'} changed in GCC 7.1
       vector<_Tp, _Alloc>::
       ^~~~~~~~~~~~~~~~~~~
/usr/include/c++/8/bits/vector.tcc: In member function 'void std::vector<_Tp, _Alloc>::emplace_back(_Args&& ...) [with _Args = {Yosys::hashlib::dict<std::pair<int, int>, double>::entry_t}; _Tp = Yosys::hashlib::dict<std::pair<int, int>, double>::entry_t; _Alloc = std::allocator<Yosys::hashlib::dict<std::pair<int, int>, double>::entry_t>]':
/usr/include/c++/8/bits/vector.tcc:109:4: note: parameter passing for argument of type '__gnu_cxx::__normal_iterator<Yosys::hashlib::dict<std::pair<int, int>, double>::entry_t*, std::vector<Yosys::hashlib::dict<std::pair<int, int>, double>::entry_t, std::allocator<Yosys::hashlib::dict<std::pair<int, int>, double>::entry_t> > >' changed in GCC 7.1
    _M_realloc_insert(end(), std::forward<_Args>(__args)...);
    ^~~~~~~~~~~~~~~~~
In file included from /usr/include/c++/8/algorithm:62,
                 from ./kernel/yosys.h:47,
                 from passes/cmds/qwp.cc:20:
/usr/include/c++/8/bits/stl_algo.h: In function 'void std::__unguarded_linear_insert(_RandomAccessIterator, _Compare) [with _RandomAccessIterator = __gnu_cxx::__normal_iterator<std::pair<double, int>*, std::vector<std::pair<double, int> > >; _Compare = __gnu_cxx::__ops::_Val_less_iter]':
/usr/include/c++/8/bits/stl_algo.h:1821:5: note: parameter passing for argument of type '__gnu_cxx::__normal_iterator<std::pair<double, int>*, std::vector<std::pair<double, int> > >' changed in GCC 7.1
     __unguarded_linear_insert(_RandomAccessIterator __last,
     ^~~~~~~~~~~~~~~~~~~~~~~~~
/usr/include/c++/8/bits/stl_algo.h: In function 'void std::__insertion_sort(_RandomAccessIterator, _RandomAccessIterator, _Compare) [with _RandomAccessIterator = __gnu_cxx::__normal_iterator<std::pair<double, int>*, std::vector<std::pair<double, int> > >; _Compare = __gnu_cxx::__ops::_Iter_less_iter]':
/usr/include/c++/8/bits/stl_algo.h:1840:5: note: parameter passing for argument of type '__gnu_cxx::__normal_iterator<std::pair<double, int>*, std::vector<std::pair<double, int> > >' changed in GCC 7.1
     __insertion_sort(_RandomAccessIterator __first,
     ^~~~~~~~~~~~~~~~
/usr/include/c++/8/bits/stl_algo.h:1840:5: note: parameter passing for argument of type '__gnu_cxx::__normal_iterator<std::pair<double, int>*, std::vector<std::pair<double, int> > >' changed in GCC 7.1
In file included from /usr/include/c++/8/bits/stl_algo.h:61,
                 from /usr/include/c++/8/algorithm:62,
                 from ./kernel/yosys.h:47,
                 from passes/cmds/qwp.cc:20:
/usr/include/c++/8/bits/stl_heap.h: In function 'void std::__adjust_heap(_RandomAccessIterator, _Distance, _Distance, _Tp, _Compare) [with _RandomAccessIterator = __gnu_cxx::__normal_iterator<std::pair<double, int>*, std::vector<std::pair<double, int> > >; _Distance = int; _Tp = std::pair<double, int>; _Compare = __gnu_cxx::__ops::_Iter_less_iter]':
/usr/include/c++/8/bits/stl_heap.h:214:5: note: parameter passing for argument of type '__gnu_cxx::__normal_iterator<std::pair<double, int>*, std::vector<std::pair<double, int> > >' changed in GCC 7.1
     __adjust_heap(_RandomAccessIterator __first, _Distance __holeIndex,
     ^~~~~~~~~~~~~
/usr/include/c++/8/bits/stl_heap.h: In function 'void std::__pop_heap(_RandomAccessIterator, _RandomAccessIterator, _RandomAccessIterator, _Compare&) [with _RandomAccessIterator = __gnu_cxx::__normal_iterator<std::pair<double, int>*, std::vector<std::pair<double, int> > >; _Compare = __gnu_cxx::__ops::_Iter_less_iter]':
/usr/include/c++/8/bits/stl_heap.h:243:5: note: parameter passing for argument of type '__gnu_cxx::__normal_iterator<std::pair<double, int>*, std::vector<std::pair<double, int> > >' changed in GCC 7.1
     __pop_heap(_RandomAccessIterator __first, _RandomAccessIterator __last,
     ^~~~~~~~~~
/usr/include/c++/8/bits/stl_heap.h:243:5: note: parameter passing for argument of type '__gnu_cxx::__normal_iterator<std::pair<double, int>*, std::vector<std::pair<double, int> > >' changed in GCC 7.1
/usr/include/c++/8/bits/stl_heap.h:243:5: note: parameter passing for argument of type '__gnu_cxx::__normal_iterator<std::pair<double, int>*, std::vector<std::pair<double, int> > >' changed in GCC 7.1
In file included from /usr/include/c++/8/algorithm:62,
                 from ./kernel/yosys.h:47,
                 from passes/cmds/qwp.cc:20:
/usr/include/c++/8/bits/stl_algo.h: In function 'void std::__introsort_loop(_RandomAccessIterator, _RandomAccessIterator, _Size, _Compare) [with _RandomAccessIterator = __gnu_cxx::__normal_iterator<std::pair<double, int>*, std::vector<std::pair<double, int> > >; _Size = int; _Compare = __gnu_cxx::__ops::_Iter_less_iter]':
/usr/include/c++/8/bits/stl_algo.h:1940:5: note: parameter passing for argument of type '__gnu_cxx::__normal_iterator<std::pair<double, int>*, std::vector<std::pair<double, int> > >' changed in GCC 7.1
     __introsort_loop(_RandomAccessIterator __first,
     ^~~~~~~~~~~~~~~~
/usr/include/c++/8/bits/stl_algo.h:1940:5: note: parameter passing for argument of type '__gnu_cxx::__normal_iterator<std::pair<double, int>*, std::vector<std::pair<double, int> > >' changed in GCC 7.1
In file included from /usr/include/c++/8/bits/stl_algo.h:61,
                 from /usr/include/c++/8/algorithm:62,
                 from ./kernel/yosys.h:47,
                 from passes/cmds/qwp.cc:20:
/usr/include/c++/8/bits/stl_heap.h:408:19: note: parameter passing for argument of type '__gnu_cxx::__normal_iterator<std::pair<double, int>*, std::vector<std::pair<double, int> > >' changed in GCC 7.1
    std::__pop_heap(__first, __last, __last, __comp);
    ~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
In file included from /usr/include/c++/8/algorithm:62,
                 from ./kernel/yosys.h:47,
                 from passes/cmds/qwp.cc:20:
/usr/include/c++/8/bits/stl_algo.h:1954:25: note: parameter passing for argument of type '__gnu_cxx::__normal_iterator<std::pair<double, int>*, std::vector<std::pair<double, int> > >' changed in GCC 7.1
    std::__introsort_loop(__cut, __last, __depth_limit, __comp);
    ~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
mkdir -p passes/memory/
gcc -o passes/memory/memory_share.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_share.cc
mkdir -p passes/memory/
gcc -o passes/memory/memory_collect.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_collect.cc
In file included from /usr/include/c++/8/vector:69,
                 from ./kernel/yosys.h:45,
                 from passes/cmds/qwp.cc:20:
/usr/include/c++/8/bits/vector.tcc: In member function 'void {anonymous}::QwpWorker::run_worker(int)':
/usr/include/c++/8/bits/vector.tcc:109:4: note: parameter passing for argument of type '__gnu_cxx::__normal_iterator<std::pair<double, int>*, std::vector<std::pair<double, int> > >' changed in GCC 7.1
    _M_realloc_insert(end(), std::forward<_Args>(__args)...);
    ^~~~~~~~~~~~~~~~~
In file included from /usr/include/c++/8/algorithm:62,
                 from ./kernel/yosys.h:47,
                 from passes/cmds/qwp.cc:20:
/usr/include/c++/8/bits/stl_algo.h:1968:25: note: parameter passing for argument of type '__gnu_cxx::__normal_iterator<std::pair<double, int>*, std::vector<std::pair<double, int> > >' changed in GCC 7.1
    std::__introsort_loop(__first, __last,
    ~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~
     std::__lg(__last - __first) * 2,
     ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
     __comp);
     ~~~~~~~              
/usr/include/c++/8/bits/stl_algo.h:1885:25: note: parameter passing for argument of type '__gnu_cxx::__normal_iterator<std::pair<double, int>*, std::vector<std::pair<double, int> > >' changed in GCC 7.1
    std::__insertion_sort(__first, __first + int(_S_threshold), __comp);
    ~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
/usr/include/c++/8/bits/stl_algo.h:1890:23: note: parameter passing for argument of type '__gnu_cxx::__normal_iterator<std::pair<double, int>*, std::vector<std::pair<double, int> > >' changed in GCC 7.1
  std::__insertion_sort(__first, __last, __comp);
  ~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~
mkdir -p passes/memory/
gcc -o passes/memory/memory_unpack.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_unpack.cc
mkdir -p passes/memory/
gcc -o passes/memory/memory_bram.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_bram.cc
mkdir -p passes/memory/
gcc -o passes/memory/memory_map.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_map.cc
mkdir -p passes/memory/
gcc -o passes/memory/memory_memx.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_memx.cc
mkdir -p passes/techmap/
echo "// autogenerated from techlibs/common/techmap.v" > passes/techmap/techmap.inc.new
echo "static char stdcells_code[] = {" >> passes/techmap/techmap.inc.new
od -v -td1 -An techlibs/common/techmap.v | sed -e 's/[0-9][0-9]*/&,/g' >> passes/techmap/techmap.inc.new
echo "0};" >> passes/techmap/techmap.inc.new
mv passes/techmap/techmap.inc.new passes/techmap/techmap.inc
mkdir -p passes/techmap/
gcc -o passes/techmap/simplemap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/simplemap.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/dfflibmap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/dfflibmap.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/maccmap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/maccmap.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/libparse.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/libparse.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/abc.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/abc.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/iopadmap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/iopadmap.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/hilomap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/hilomap.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/extract.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extract.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/alumacc.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/alumacc.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/dff2dffe.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/dff2dffe.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/dffinit.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/dffinit.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/pmuxtree.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/pmuxtree.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/muxcover.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/muxcover.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/aigmap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/aigmap.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/tribuf.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/tribuf.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/lut2mux.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/lut2mux.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/nlutmap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/nlutmap.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/dffsr2dff.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/dffsr2dff.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/shregmap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/shregmap.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/deminout.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/deminout.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/insbuf.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/insbuf.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/attrmvcp.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/attrmvcp.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/attrmap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/attrmap.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/zinit.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/zinit.cc
mkdir -p passes/opt/
gcc -o passes/opt/opt.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt.cc
mkdir -p passes/opt/
gcc -o passes/opt/opt_merge.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_merge.cc
mkdir -p passes/opt/
gcc -o passes/opt/opt_muxtree.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_muxtree.cc
mkdir -p passes/opt/
gcc -o passes/opt/opt_reduce.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_reduce.cc
mkdir -p passes/opt/
gcc -o passes/opt/opt_rmdff.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_rmdff.cc
mkdir -p passes/opt/
gcc -o passes/opt/opt_clean.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_clean.cc
mkdir -p passes/opt/
gcc -o passes/opt/opt_expr.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_expr.cc
mkdir -p passes/opt/
gcc -o passes/opt/share.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/share.cc
mkdir -p passes/opt/
gcc -o passes/opt/wreduce.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/wreduce.cc
mkdir -p passes/hierarchy/
gcc -o passes/hierarchy/hierarchy.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/hierarchy/hierarchy.cc
mkdir -p passes/hierarchy/
gcc -o passes/hierarchy/singleton.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/hierarchy/singleton.cc
mkdir -p passes/hierarchy/
gcc -o passes/hierarchy/submod.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/hierarchy/submod.cc
mkdir -p passes/equiv/
gcc -o passes/equiv/equiv_make.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_make.cc
mkdir -p passes/equiv/
gcc -o passes/equiv/equiv_miter.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_miter.cc
mkdir -p passes/equiv/
gcc -o passes/equiv/equiv_simple.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_simple.cc
mkdir -p passes/equiv/
gcc -o passes/equiv/equiv_status.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_status.cc
mkdir -p passes/equiv/
gcc -o passes/equiv/equiv_add.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_add.cc
mkdir -p passes/equiv/
gcc -o passes/equiv/equiv_remove.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_remove.cc
mkdir -p passes/equiv/
gcc -o passes/equiv/equiv_induct.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_induct.cc
mkdir -p passes/equiv/
gcc -o passes/equiv/equiv_struct.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_struct.cc
mkdir -p passes/equiv/
gcc -o passes/equiv/equiv_purge.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_purge.cc
mkdir -p passes/equiv/
gcc -o passes/equiv/equiv_mark.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_mark.cc
mkdir -p passes/fsm/
gcc -o passes/fsm/fsm.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm.cc
mkdir -p passes/fsm/
gcc -o passes/fsm/fsm_detect.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_detect.cc
mkdir -p passes/fsm/
gcc -o passes/fsm/fsm_extract.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_extract.cc
mkdir -p passes/fsm/
gcc -o passes/fsm/fsm_opt.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_opt.cc
mkdir -p passes/fsm/
gcc -o passes/fsm/fsm_expand.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_expand.cc
mkdir -p passes/fsm/
gcc -o passes/fsm/fsm_recode.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_recode.cc
mkdir -p passes/fsm/
gcc -o passes/fsm/fsm_info.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_info.cc
mkdir -p passes/fsm/
gcc -o passes/fsm/fsm_export.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_export.cc
mkdir -p passes/fsm/
gcc -o passes/fsm/fsm_map.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_map.cc
mkdir -p passes/proc/
gcc -o passes/proc/proc.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc.cc
mkdir -p passes/proc/
gcc -o passes/proc/proc_clean.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_clean.cc
mkdir -p passes/proc/
gcc -o passes/proc/proc_rmdead.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_rmdead.cc
mkdir -p passes/proc/
gcc -o passes/proc/proc_init.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_init.cc
mkdir -p passes/proc/
gcc -o passes/proc/proc_arst.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_arst.cc
mkdir -p passes/proc/
gcc -o passes/proc/proc_mux.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_mux.cc
mkdir -p passes/proc/
gcc -o passes/proc/proc_dlatch.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_dlatch.cc
mkdir -p passes/proc/
gcc -o passes/proc/proc_dff.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_dff.cc
mkdir -p backends/edif/
gcc -o backends/edif/edif.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/edif/edif.cc
mkdir -p backends/smt2/
gcc -o backends/smt2/smt2.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/smt2/smt2.cc
mkdir -p backends/btor/
gcc -o backends/btor/btor.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/btor/btor.cc
mkdir -p backends/intersynth/
gcc -o backends/intersynth/intersynth.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/intersynth/intersynth.cc
mkdir -p backends/ilang/
gcc -o backends/ilang/ilang_backend.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/ilang/ilang_backend.cc
backends/ilang/ilang_backend.cc: In function 'void Yosys::ILANG_BACKEND::dump_proc_sync(std::ostream&, std::__cxx11::string, const Yosys::RTLIL::SyncRule*)':
backends/ilang/ilang_backend.cc:222:2: warning: statement will never be executed [-Wswitch-unreachable]
  if (0) case RTLIL::ST0: f << stringf("low ");
  ^~
mkdir -p backends/json/
gcc -o backends/json/json.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/json/json.cc
mkdir -p backends/verilog/
gcc -o backends/verilog/verilog_backend.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/verilog/verilog_backend.cc
mkdir -p backends/smv/
gcc -o backends/smv/smv.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/smv/smv.cc
mkdir -p backends/blif/
gcc -o backends/blif/blif.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/blif/blif.cc
mkdir -p backends/spice/
gcc -o backends/spice/spice.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/spice/spice.cc
mkdir -p techlibs/xilinx/
gcc -o techlibs/xilinx/synth_xilinx.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/xilinx/synth_xilinx.cc
mkdir -p techlibs/common/
gcc -o techlibs/common/synth.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/common/synth.cc
mkdir -p techlibs/common/
gcc -o techlibs/common/prep.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/common/prep.cc
mkdir -p techlibs/ice40/
gcc -o techlibs/ice40/synth_ice40.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ice40/synth_ice40.cc
mkdir -p techlibs/ice40/
gcc -o techlibs/ice40/ice40_ffssr.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ice40/ice40_ffssr.cc
mkdir -p techlibs/ice40/
gcc -o techlibs/ice40/ice40_ffinit.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ice40/ice40_ffinit.cc
mkdir -p techlibs/ice40/
gcc -o techlibs/ice40/ice40_opt.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ice40/ice40_opt.cc
mkdir -p techlibs/greenpak4/
gcc -o techlibs/greenpak4/synth_greenpak4.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/greenpak4/synth_greenpak4.cc
mkdir -p techlibs/greenpak4/
gcc -o techlibs/greenpak4/greenpak4_counters.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/greenpak4/greenpak4_counters.cc
mkdir -p techlibs/greenpak4/
gcc -o techlibs/greenpak4/greenpak4_dffinv.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/greenpak4/greenpak4_dffinv.cc
mkdir -p techlibs/gowin/
gcc -o techlibs/gowin/synth_gowin.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/gowin/synth_gowin.cc
sed -e 's#@CXXFLAGS@#-g -O2 -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I/usr/share/yosys/include -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER#;' \
		-e 's#@CXX@#gcc#;' -e 's#@LDFLAGS@#-Wl,-z,relro -Wl,--as-needed -L/usr/lib -rdynamic#;' -e 's#@LDLIBS@#-lstdc++ -lm -lrt -lreadline -lffi -ldl -ltcl8.6#;' \
		-e 's#@BINDIR@#/usr/bin#;' -e 's#@DATDIR@#/usr/share/yosys#;' < misc/yosys-config.in > yosys-config
chmod +x yosys-config
mkdir -p passes/techmap/
gcc -o passes/techmap/filterlib.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/filterlib.cc
sed 's|##yosys-sys-path##|sys.path += ["/usr/share/yosys"]|;' < backends/smt2/smtbmc.py > yosys-smtbmc.new
chmod +x yosys-smtbmc.new
mv yosys-smtbmc.new yosys-smtbmc
mkdir -p share/include/kernel/
cp "./"/kernel/yosys.h share/include/kernel/yosys.h
mkdir -p share/include/kernel/
cp "./"/kernel/hashlib.h share/include/kernel/hashlib.h
mkdir -p share/include/kernel/
cp "./"/kernel/log.h share/include/kernel/log.h
mkdir -p share/include/kernel/
cp "./"/kernel/rtlil.h share/include/kernel/rtlil.h
mkdir -p share/include/kernel/
cp "./"/kernel/register.h share/include/kernel/register.h
mkdir -p share/include/kernel/
cp "./"/kernel/celltypes.h share/include/kernel/celltypes.h
mkdir -p share/include/kernel/
cp "./"/kernel/celledges.h share/include/kernel/celledges.h
mkdir -p share/include/kernel/
cp "./"/kernel/consteval.h share/include/kernel/consteval.h
mkdir -p share/include/kernel/
cp "./"/kernel/sigtools.h share/include/kernel/sigtools.h
mkdir -p share/include/kernel/
cp "./"/kernel/modtools.h share/include/kernel/modtools.h
mkdir -p share/include/kernel/
cp "./"/kernel/macc.h share/include/kernel/macc.h
mkdir -p share/include/kernel/
cp "./"/kernel/utils.h share/include/kernel/utils.h
mkdir -p share/include/kernel/
cp "./"/kernel/satgen.h share/include/kernel/satgen.h
mkdir -p share/include/libs/ezsat/
cp "./"/libs/ezsat/ezsat.h share/include/libs/ezsat/ezsat.h
mkdir -p share/include/libs/ezsat/
cp "./"/libs/ezsat/ezminisat.h share/include/libs/ezsat/ezminisat.h
mkdir -p share/include/libs/sha1/
cp "./"/libs/sha1/sha1.h share/include/libs/sha1/sha1.h
mkdir -p share/include/passes/fsm/
cp "./"/passes/fsm/fsmdata.h share/include/passes/fsm/fsmdata.h
mkdir -p share/include/frontends/ast/
cp "./"/frontends/ast/ast.h share/include/frontends/ast/ast.h
mkdir -p share/include/backends/ilang/
cp "./"/backends/ilang/ilang_backend.h share/include/backends/ilang/ilang_backend.h
mkdir -p share/python3
cp "./"/backends/smt2/smtio.py share/python3/smtio.py
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/cells_map.v share/xilinx/cells_map.v
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/cells_sim.v share/xilinx/cells_sim.v
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/cells_xtra.v share/xilinx/cells_xtra.v
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/brams.txt share/xilinx/brams.txt
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/brams_map.v share/xilinx/brams_map.v
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/brams_bb.v share/xilinx/brams_bb.v
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/drams.txt share/xilinx/drams.txt
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/drams_map.v share/xilinx/drams_map.v
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/drams_bb.v share/xilinx/drams_bb.v
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/arith_map.v share/xilinx/arith_map.v
mkdir -p techlibs/xilinx
python3 techlibs/xilinx/brams_init.py
touch techlibs/xilinx/brams_init.mk
mkdir -p share
cp "./"/techlibs/common/simlib.v share/simlib.v
mkdir -p share
mkdir -p share
cp "./"/techlibs/common/simcells.v share/simcells.v
cp "./"/techlibs/common/techmap.v share/techmap.v
mkdir -p share
mkdir -p share
cp "./"/techlibs/common/pmux2mux.v share/pmux2mux.v
mkdir -p share
cp "./"/techlibs/common/adff2dff.v share/adff2dff.v
mkdir -p share/ice40
cp "./"/techlibs/common/cells.lib share/cells.lib
mkdir -p share/ice40
cp "./"/techlibs/ice40/arith_map.v share/ice40/arith_map.v
mkdir -p share/ice40
cp "./"/techlibs/ice40/cells_map.v share/ice40/cells_map.v
mkdir -p share/ice40
cp "./"/techlibs/ice40/cells_sim.v share/ice40/cells_sim.v
mkdir -p share/ice40
cp "./"/techlibs/ice40/latches_map.v share/ice40/latches_map.v
mkdir -p share/ice40
cp "./"/techlibs/ice40/brams.txt share/ice40/brams.txt
cp "./"/techlibs/ice40/brams_map.v share/ice40/brams_map.v
mkdir -p techlibs/ice40
python3 techlibs/ice40/brams_init.py
mkdir -p share/greenpak4
cp "./"/techlibs/greenpak4/cells_map.v share/greenpak4/cells_map.v
mkdir -p share/greenpak4
cp "./"/techlibs/greenpak4/cells_sim.v share/greenpak4/cells_sim.v
mkdir -p share/greenpak4
cp "./"/techlibs/greenpak4/gp_dff.lib share/greenpak4/gp_dff.lib
mkdir -p share/gowin
cp "./"/techlibs/gowin/cells_map.v share/gowin/cells_map.v
mkdir -p share/gowin
cp "./"/techlibs/gowin/cells_sim.v share/gowin/cells_sim.v
touch techlibs/ice40/brams_init.mk
mkdir -p kernel/
gcc -o kernel/version_61f6811.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/version_61f6811.cc
mkdir -p kernel/
gcc -o kernel/register.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/register.cc
mkdir -p frontends/ilang/
gcc -o frontends/ilang/ilang_parser.tab.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ilang/ilang_parser.tab.cc
mkdir -p frontends/ilang/
gcc -o frontends/ilang/ilang_lexer.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ilang/ilang_lexer.cc
mkdir -p frontends/verilog/
gcc -o frontends/verilog/verilog_parser.tab.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/verilog_parser.tab.cc
mkdir -p frontends/verilog/
gcc -o frontends/verilog/verilog_lexer.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/verilog_lexer.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/techmap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS  -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/techmap.cc
mkdir -p ./
gcc -o yosys-filterlib -Wl,-z,relro -Wl,--as-needed -L/usr/lib -rdynamic passes/techmap/filterlib.o -lstdc++ -lm -lrt -lreadline -lffi -ldl -ltcl8.6
mkdir -p share/xilinx
cp techlibs/xilinx/brams_init_36.vh share/xilinx/brams_init_36.vh
mkdir -p share/xilinx
cp techlibs/xilinx/brams_init_32.vh share/xilinx/brams_init_32.vh
mkdir -p share/xilinx
cp techlibs/xilinx/brams_init_18.vh share/xilinx/brams_init_18.vh
mkdir -p share/xilinx
cp techlibs/xilinx/brams_init_16.vh share/xilinx/brams_init_16.vh
mkdir -p share/ice40
cp techlibs/ice40/brams_init1.vh share/ice40/brams_init1.vh
mkdir -p share/ice40
cp techlibs/ice40/brams_init2.vh share/ice40/brams_init2.vh
mkdir -p share/ice40
cp techlibs/ice40/brams_init3.vh share/ice40/brams_init3.vh
gcc -o yosys -Wl,-z,relro -Wl,--as-needed -L/usr/lib -rdynamic kernel/version_61f6811.o kernel/driver.o kernel/register.o kernel/rtlil.o kernel/log.o kernel/calc.o kernel/yosys.o kernel/cellaigs.o kernel/celledges.o libs/bigint/BigIntegerAlgorithms.o libs/bigint/BigInteger.o libs/bigint/BigIntegerUtils.o libs/bigint/BigUnsigned.o libs/bigint/BigUnsignedInABase.o libs/sha1/sha1.o libs/subcircuit/subcircuit.o libs/ezsat/ezsat.o libs/ezsat/ezminisat.o libs/minisat/Options.o libs/minisat/SimpSolver.o libs/minisat/Solver.o libs/minisat/System.o frontends/vhdl2verilog/vhdl2verilog.o frontends/ilang/ilang_parser.tab.o frontends/ilang/ilang_lexer.o frontends/ilang/ilang_frontend.o frontends/verilog/verilog_parser.tab.o frontends/verilog/verilog_lexer.o frontends/verilog/preproc.o frontends/verilog/verilog_frontend.o frontends/verilog/const2ast.o frontends/ast/ast.o frontends/ast/simplify.o frontends/ast/genrtlil.o frontends/ast/dpicall.o frontends/blif/blifparse.o frontends/verific/verific.o frontends/liberty/liberty.o passes/tests/test_autotb.o passes/tests/test_cell.o passes/tests/test_abcloop.o passes/sat/sat.o passes/sat/freduce.o passes/sat/eval.o passes/sat/miter.o passes/sat/expose.o passes/sat/assertpmux.o passes/sat/clk2fflogic.o passes/cmds/add.o passes/cmds/delete.o passes/cmds/design.o passes/cmds/select.o passes/cmds/show.o passes/cmds/rename.o passes/cmds/connect.o passes/cmds/scatter.o passes/cmds/setundef.o passes/cmds/splitnets.o passes/cmds/stat.o passes/cmds/setattr.o passes/cmds/copy.o passes/cmds/splice.o passes/cmds/scc.o passes/cmds/torder.o passes/cmds/logcmd.o passes/cmds/tee.o passes/cmds/write_file.o passes/cmds/connwrappers.o passes/cmds/cover.o passes/cmds/trace.o passes/cmds/plugin.o passes/cmds/check.o passes/cmds/qwp.o passes/cmds/edgetypes.o passes/memory/memory.o passes/memory/memory_dff.o passes/memory/memory_share.o passes/memory/memory_collect.o passes/memory/memory_unpack.o passes/memory/memory_bram.o passes/memory/memory_map.o passes/memory/memory_memx.o passes/techmap/techmap.o passes/techmap/simplemap.o passes/techmap/dfflibmap.o passes/techmap/maccmap.o passes/techmap/libparse.o passes/techmap/abc.o passes/techmap/iopadmap.o passes/techmap/hilomap.o passes/techmap/extract.o passes/techmap/alumacc.o passes/techmap/dff2dffe.o passes/techmap/dffinit.o passes/techmap/pmuxtree.o passes/techmap/muxcover.o passes/techmap/aigmap.o passes/techmap/tribuf.o passes/techmap/lut2mux.o passes/techmap/nlutmap.o passes/techmap/dffsr2dff.o passes/techmap/shregmap.o passes/techmap/deminout.o passes/techmap/insbuf.o passes/techmap/attrmvcp.o passes/techmap/attrmap.o passes/techmap/zinit.o passes/opt/opt.o passes/opt/opt_merge.o passes/opt/opt_muxtree.o passes/opt/opt_reduce.o passes/opt/opt_rmdff.o passes/opt/opt_clean.o passes/opt/opt_expr.o passes/opt/share.o passes/opt/wreduce.o passes/hierarchy/hierarchy.o passes/hierarchy/singleton.o passes/hierarchy/submod.o passes/equiv/equiv_make.o passes/equiv/equiv_miter.o passes/equiv/equiv_simple.o passes/equiv/equiv_status.o passes/equiv/equiv_add.o passes/equiv/equiv_remove.o passes/equiv/equiv_induct.o passes/equiv/equiv_struct.o passes/equiv/equiv_purge.o passes/equiv/equiv_mark.o passes/fsm/fsm.o passes/fsm/fsm_detect.o passes/fsm/fsm_extract.o passes/fsm/fsm_opt.o passes/fsm/fsm_expand.o passes/fsm/fsm_recode.o passes/fsm/fsm_info.o passes/fsm/fsm_export.o passes/fsm/fsm_map.o passes/proc/proc.o passes/proc/proc_clean.o passes/proc/proc_rmdead.o passes/proc/proc_init.o passes/proc/proc_arst.o passes/proc/proc_mux.o passes/proc/proc_dlatch.o passes/proc/proc_dff.o backends/edif/edif.o backends/smt2/smt2.o backends/btor/btor.o backends/intersynth/intersynth.o backends/ilang/ilang_backend.o backends/json/json.o backends/verilog/verilog_backend.o backends/smv/smv.o backends/blif/blif.o backends/spice/spice.o techlibs/xilinx/synth_xilinx.o techlibs/common/synth.o techlibs/common/prep.o techlibs/ice40/synth_ice40.o techlibs/ice40/ice40_ffssr.o techlibs/ice40/ice40_ffinit.o techlibs/ice40/ice40_opt.o techlibs/greenpak4/synth_greenpak4.o techlibs/greenpak4/greenpak4_counters.o techlibs/greenpak4/greenpak4_dffinv.o techlibs/gowin/synth_gowin.o -lstdc++ -lm -lrt -lreadline -lffi -ldl -ltcl8.6

  Build successful.

make[2]: Leaving directory '/<<PKGBUILDDIR>>'
make[1]: Leaving directory '/<<PKGBUILDDIR>>'
   dh_auto_test -a -O--parallel
	make -j4 test
make[1]: Entering directory '/<<PKGBUILDDIR>>'
[Makefile.conf] CONFIG := gcc
cd tests/simple && bash run-test.sh ""
make[2]: Entering directory '/<<PKGBUILDDIR>>/tests/simple'
Test: always02 -> ok
Test: always01 -> ok
Test: always03 -> ok
Test: aes_kexp128 -> ok
Test: arrays01 -> ok
Test: arraycells -> ok
Test: carryadd -> ok
Test: constpower -> ok
Test: fiedler-cooley -> ok
Test: forgen01 -> ok
Test: forgen02 -> ok
Test: constmuldivmod -> ok
Test: dff_different_styles -> ok
Test: fsm -> ok
Test: hierarchy -> ok
Test: graphtest -> ok
Test: i2c_master_tests -> ok
Test: generate -> ok
Test: macros -> ok
Test: loops -> ok
Test: mem_arst -> ok
Test: multiplier -> ok
Test: mem2reg -> ok
Test: muxtree -> ok
Test: omsp_dbg_uart -> ok
Test: paramods -> ok
Test: process -> ok
Test: realexpr -> ok
Test: partsel -> ok
Test: repwhile -> ok
Test: scopes -> ok
Test: signedexpr -> ok
Test: sincos -> ok
Test: subbytes -> ok
Test: operators -> ok
Test: undef_eqx_nex -> ok
Test: usb_phy_tests -> ok
Test: task_func -> ok
Test: values -> ok
Test: rotate -> ok
Test: wreduce -> ok
Test: vloghammer -> ok
Test: memory -> ok
make[2]: Leaving directory '/<<PKGBUILDDIR>>/tests/simple'
cd tests/hana && bash run-test.sh ""
make[2]: Entering directory '/<<PKGBUILDDIR>>/tests/hana'
Test: test_parse2synthtrans -> ok
Test: test_parser -> ok
Test: test_simulation_buffer -> ok
Test: test_simulation_and -> ok
Test: test_simulation_always -> ok
Test: test_simulation_inc -> ok
Test: test_simulation_nand -> ok
Test: test_simulation_mux -> ok
Test: test_simulation_nor -> ok
Test: test_simulation_seq -> ok
Test: test_simulation_or -> ok
Test: test_simulation_decoder -> ok
Test: test_intermout -> ok
Test: test_simulation_sop -> ok
Test: test_simulation_vlib -> ok
Test: test_simulation_xnor -> ok
Test: test_simulation_techmap -> ok
Test: test_simulation_xor -> ok
Test: test_simulation_shifter -> ok
Test: test_simulation_techmap_tech -> ok
make[2]: Leaving directory '/<<PKGBUILDDIR>>/tests/hana'
cd tests/asicworld && bash run-test.sh ""
make[2]: Entering directory '/<<PKGBUILDDIR>>/tests/asicworld'
Test: code_hdl_models_clk_div -> ok
Test: code_hdl_models_GrayCounter -> ok
Test: code_hdl_models_arbiter -> ok
Test: code_hdl_models_d_ff_gates -> ok
Test: code_hdl_models_d_latch_gates -> ok
Test: code_hdl_models_clk_div_45 -> ok
Test: code_hdl_models_decoder_2to4_gates -> ok
Test: code_hdl_models_decoder_using_assign -> ok
Test: code_hdl_models_dff_async_reset -> ok
Test: code_hdl_models_decoder_using_case -> ok
Test: code_hdl_models_dff_sync_reset -> ok
Test: code_hdl_models_encoder_4to2_gates -> ok
Test: code_hdl_models_full_adder_gates -> ok
Test: code_hdl_models_encoder_using_case -> ok
Test: code_hdl_models_encoder_using_if -> ok
Test: code_hdl_models_full_subtracter_gates -> ok
Test: code_hdl_models_gray_counter -> ok
Test: code_hdl_models_half_adder_gates -> ok
Test: code_hdl_models_lfsr -> ok
Test: code_hdl_models_misc1 -> ok
Test: code_hdl_models_mux21_switch -> ok
Test: code_hdl_models_lfsr_updown -> ok
Test: code_hdl_models_mux_2to1_gates -> ok
Test: code_hdl_models_mux_using_case -> ok
Test: code_hdl_models_mux_using_assign -> ok
Test: code_hdl_models_mux_using_if -> ok
Test: code_hdl_models_nand_switch -> ok
Test: code_hdl_models_one_hot_cnt -> ok
Test: code_hdl_models_parity_using_assign -> ok
Test: code_hdl_models_parity_using_bitwise -> ok
Test: code_hdl_models_parallel_crc -> ok
Test: code_hdl_models_parity_using_function -> ok
Test: code_hdl_models_pri_encoder_using_assign -> ok
Test: code_hdl_models_rom_using_case -> ok
Test: code_hdl_models_t_gate_switch -> ok
Test: code_hdl_models_serial_crc -> ok
Test: code_hdl_models_tff_async_reset -> ok
Test: code_hdl_models_tff_sync_reset -> ok
Test: code_hdl_models_up_counter -> ok
Test: code_hdl_models_up_counter_load -> ok
Test: code_hdl_models_up_down_counter -> ok
Test: code_tidbits_asyn_reset -> ok
Test: code_hdl_models_uart -> ok
Test: code_tidbits_blocking -> ok
Test: code_specman_switch_fabric -> ok
Test: code_tidbits_fsm_using_always -> ok
Test: code_tidbits_fsm_using_function -> ok
Test: code_tidbits_fsm_using_single_always -> ok
Test: code_tidbits_nonblocking -> ok
Test: code_tidbits_reg_combo_example -> ok
Test: code_tidbits_reg_seq_example -> ok
Test: code_tidbits_syn_reset -> ok
Test: code_tidbits_wire_example -> ok
Test: code_verilog_tutorial_addbit -> ok
Test: code_verilog_tutorial_always_example -> ok
Test: code_verilog_tutorial_bus_con -> ok
Test: code_verilog_tutorial_comment -> ok
Test: code_verilog_tutorial_counter -> ok
Test: code_verilog_tutorial_d_ff -> ok
Test: code_verilog_tutorial_decoder -> ok
Test: code_verilog_tutorial_decoder_always -> ok
Test: code_verilog_tutorial_escape_id -> ok
Test: code_verilog_tutorial_first_counter -> ok
Test: code_verilog_tutorial_flip_flop -> ok
Test: code_verilog_tutorial_explicit -> ok
Test: code_verilog_tutorial_if_else -> ok
Test: code_verilog_tutorial_good_code -> ok
Test: code_verilog_tutorial_fsm_full -> ok
Test: code_verilog_tutorial_multiply -> ok
Test: code_verilog_tutorial_n_out_primitive -> ok
Test: code_verilog_tutorial_mux_21 -> ok
Test: code_verilog_tutorial_parallel_if -> ok
Test: code_verilog_tutorial_simple_function -> ok
Test: code_verilog_tutorial_simple_if -> ok
Test: code_verilog_tutorial_parity -> ok
Test: code_verilog_tutorial_task_global -> ok
Test: code_verilog_tutorial_v2k_reg -> ok
Test: code_verilog_tutorial_tri_buf -> ok
Test: code_verilog_tutorial_which_clock -> ok
Test: code_hdl_models_cam -> ok
make[2]: Leaving directory '/<<PKGBUILDDIR>>/tests/asicworld'
#+cd tests/realmath && bash run-test.sh ""
cd tests/share && bash run-test.sh ""
generating tests..
running tests..
[0][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][19][20][21][22][23][24][25][26][27][28][29][30][31][32][33][34][35][36][37][38][39][40][41][42][43][44][45][46][47][48][49][50][51][52][53][54][55][56][57][58][59][60][61][62][63][64][65][66][67][68][69][70][71][72][73][74][75][76][77][78][79][80][81][82][83][84][85][86][87][88][89][90][91][92][93][94][95][96][97][98][99]
cd tests/fsm && bash run-test.sh ""
generating tests..
running tests..
make[2]: Entering directory '/<<PKGBUILDDIR>>/tests/fsm'
[0][1][2][3]K[4]K[5]K[6]K[7]K[8]K[9]K[10]K[11]K[12]K[13]K[14]K[15]K[16]K[17]K[18]K[19]K[20]K[21]K[22]K[23]K[24]K[25]K[26]K[27]K[28]K[29]K[30]K[31]T[32]K[33]K[34]K[35]K[36]K[37]K[38]K[39]K[40]K[41]K[42]K[43]K[44]K[45]K[46]K[47]K[48]K[49]K[50]K[51]K[52]K[53]K[54]K[55]K[56]K[57]K[58]K[59]K[60]K[61]K[62]K[63]K[64]K[65]K[66]K[67]K[68]K[69]K[70]K[71]K[72]K[73]K[74]K[75]K[76]K[77]K[78]K[79]K[80]K[81]K[82]K[83]K[84]K[85]K[86]K[87]K[88]K[89]K[90]K[91]K[92]K[93]T[94]K[95]K[96]K[97]K[98]K[99]KKKK
make[2]: Leaving directory '/<<PKGBUILDDIR>>/tests/fsm'
cd tests/techmap && bash run-test.sh
Running mem_simple_4x1_runtest.sh..
cd tests/memories && bash run-test.sh ""
Test: amber23_sram_byte_en -> ok
Test: implicit_en -> ok
Test: no_implicit_en -> ok
Test: shared_ports -> ok
Test: simple_sram_byte_en -> ok
Testing expectations for amber23_sram_byte_en.v .. ok.
Testing expectations for implicit_en.v .. ok.
Testing expectations for no_implicit_en.v .. ok.
Testing expectations for shared_ports.v .. ok.
Testing expectations for simple_sram_byte_en.v .. ok.
cd tests/bram && bash run-test.sh ""
generating tests..
PRNG seed: 789485
running tests..
make[2]: Entering directory '/<<PKGBUILDDIR>>/tests/bram'
Passed memory_bram test 00_03.
Passed memory_bram test 00_04.
Passed memory_bram test 00_01.
Passed memory_bram test 01_03.
Passed memory_bram test 00_02.
Passed memory_bram test 01_04.
Passed memory_bram test 02_00.
Passed memory_bram test 02_01.
Passed memory_bram test 02_03.
Passed memory_bram test 01_00.
Passed memory_bram test 02_04.
Passed memory_bram test 03_01.
Passed memory_bram test 03_00.
Passed memory_bram test 03_04.
Passed memory_bram test 04_00.
Passed memory_bram test 03_02.
Passed memory_bram test 04_01.
Passed memory_bram test 04_03.
Passed memory_bram test 01_02.
Passed memory_bram test 04_02.
make[2]: Leaving directory '/<<PKGBUILDDIR>>/tests/bram'
cd tests/various && bash run-test.sh
Running constmsk_test.ys..
Warning: Range select out of bounds on signal `\tmp' at constmsk_testmap.v:45: Setting 1 MSB bits to undef.
Running muxcover.ys..
Warning: Yosys has only limited support for tri-state logic at the moment. (/<<PKGBUILDDIR>>/share/simcells.v:399)
Running submod_extract.ys..
cd tests/sat && bash run-test.sh
Running asserts.ys..
Running asserts_seq.ys..
Running counters.ys..
Running expose_dff.ys..
Running initval.ys..
Warning: ignoring initial value on non-register: \bar [1:0]
Running share.ys..
Running splice.ys..

  Passed "make test".

make[1]: Leaving directory '/<<PKGBUILDDIR>>'
   create-stamp debian/debhelper-build-stamp
 fakeroot debian/rules binary-arch
PREFIX=/usr dh binary-arch --parallel --with=python3
   dh_testroot -a -O--parallel
   dh_prep -a -O--parallel
   dh_auto_install -a -O--parallel
	make -j4 install DESTDIR=/<<PKGBUILDDIR>>/debian/tmp AM_UPDATE_INFO_DIR=no "INSTALL=install --strip-program=true"
make[1]: Entering directory '/<<PKGBUILDDIR>>'
[Makefile.conf] CONFIG := gcc
mkdir -p /<<PKGBUILDDIR>>/debian/tmp/usr/bin
install yosys yosys-config yosys-filterlib yosys-smtbmc /<<PKGBUILDDIR>>/debian/tmp/usr/bin
mkdir -p /<<PKGBUILDDIR>>/debian/tmp/usr/share/yosys
cp -r share/. /<<PKGBUILDDIR>>/debian/tmp/usr/share/yosys/.
make[1]: Leaving directory '/<<PKGBUILDDIR>>'
   debian/rules override_dh_install
make[1]: Entering directory '/<<PKGBUILDDIR>>'
dh_install --fail-missing
dh_install: Please use dh_missing --list-missing/--fail-missing instead
dh_install: This feature will be removed in compat 12.
make[1]: Leaving directory '/<<PKGBUILDDIR>>'
   dh_installdocs -a -O--parallel
   dh_installchangelogs -a -O--parallel
   debian/rules override_dh_installman
make[1]: Entering directory '/<<PKGBUILDDIR>>'
cd debian/man ; CHANGELOG_DATE="04 September 2018" ./genmanpages.sh
dh_installman
make[1]: Leaving directory '/<<PKGBUILDDIR>>'
   dh_python3 -a -O--parallel
I: dh_python3 tools:113: replacing shebang in debian/yosys/usr/bin/yosys-smtbmc
   dh_perl -a -O--parallel
   dh_link -a -O--parallel
   dh_strip_nondeterminism -a -O--parallel
   debian/rules override_dh_compress
make[1]: Entering directory '/<<PKGBUILDDIR>>'
dh_compress --exclude=.pdf
make[1]: Leaving directory '/<<PKGBUILDDIR>>'
   dh_fixperms -a -O--parallel
   dh_missing -a -O--parallel
   dh_strip -a -O--parallel
   dh_makeshlibs -a -O--parallel
   dh_shlibdeps -a -O--parallel
dpkg-shlibdeps: warning: debian/yosys/usr/bin/yosys contains an unresolvable reference to symbol __aeabi_atexit@CXXABI_ARM_1.3.3: it's probably a plugin
dpkg-shlibdeps: warning: debian/yosys/usr/bin/yosys-filterlib contains an unresolvable reference to symbol __aeabi_atexit@CXXABI_ARM_1.3.3: it's probably a plugin
   dh_installdeb -a -O--parallel
   dh_gencontrol -a -O--parallel
dpkg-gencontrol: warning: Depends field of package yosys-dev: unknown substitution variable ${shlibs:Depends}
dpkg-gencontrol: warning: Depends field of package yosys-dev: unknown substitution variable ${python3:Depends}
   dh_md5sums -a -O--parallel
   dh_builddeb -a -O--parallel
dpkg-deb: building package 'yosys-dbgsym' in '../yosys-dbgsym_0.7-8+b3_armhf.deb'.
dpkg-deb: building package 'yosys-dev' in '../yosys-dev_0.7-8+b3_armhf.deb'.
dpkg-deb: building package 'yosys' in '../yosys_0.7-8+b3_armhf.deb'.
 dpkg-genbuildinfo --build=any
 dpkg-genchanges --build=any -mRaspbian mythic lxc autobuilder 1 <root@raspbian.org> >../yosys_0.7-8+b3_armhf.changes
dpkg-genchanges: info: binary-only arch-specific upload (source code and arch-indep packages not included)
 dpkg-source --after-build yosys-0.7
dpkg-buildpackage: info: binary-only upload (no source included)
--------------------------------------------------------------------------------
Build finished at 2018-09-22T11:12:11Z

Finished
--------

I: Built successfully

+------------------------------------------------------------------------------+
| Post Build Chroot                                                            |
+------------------------------------------------------------------------------+


+------------------------------------------------------------------------------+
| Changes                                                                      |
+------------------------------------------------------------------------------+


yosys_0.7-8+b3_armhf.changes:
-----------------------------

Format: 1.8
Date: Tue, 04 Sep 2018 20:00:14 +0200
Source: yosys (0.7-8)
Binary: yosys yosys-dev yosys-doc
Binary-Only: yes
Architecture: armhf
Version: 0.7-8+b3
Distribution: buster-staging
Urgency: low
Maintainer: Raspbian mythic lxc autobuilder 1 <root@raspbian.org>
Changed-By: Raspbian mythic lxc autobuilder 1 <root@raspbian.org>
Description:
 yosys      - Framework for Verilog RTL synthesis
 yosys-dev  - Framework for Verilog RTL synthesis (development files)
 yosys-doc  - Documentation for Yosys
Changes:
 yosys (0.7-8+b3) buster-staging; urgency=low, binary-only=yes
 .
   * Binary-only non-maintainer upload for armhf; no source changes.
   * rebuild due to debcheck failure
Checksums-Sha1:
 8892968c0db8f0deb9085828d69504384537cc0d 46535208 yosys-dbgsym_0.7-8+b3_armhf.deb
 e93a809d45bc139bca1457daebfddc5bfef5efee 55712 yosys-dev_0.7-8+b3_armhf.deb
 f6b6d9f0d598a2ca0b8b4d92431012661d1b5191 6132 yosys_0.7-8+b3_armhf.buildinfo
 24ec894ecc224072f4d187a6d4b8b2a3e08362fe 1348080 yosys_0.7-8+b3_armhf.deb
Checksums-Sha256:
 497628927347c145da00c5711ed6a23d715a9698151a07808bb718edff1b83c7 46535208 yosys-dbgsym_0.7-8+b3_armhf.deb
 7a5522267045a001b52bd32c8cb46cabaf0b3f22e50353f06b94ba60ed34462d 55712 yosys-dev_0.7-8+b3_armhf.deb
 806ac8c62cf6bbc7ffc5a13d8e4a22b6aa21107b31441ddfc8c7de66c1946d9b 6132 yosys_0.7-8+b3_armhf.buildinfo
 5e93ce707215f9446eedc04b05ad5369d3caf887d5b66e4a7a881cab48c04d10 1348080 yosys_0.7-8+b3_armhf.deb
Files:
 48f8941b09c7131543740c859d10b172 46535208 debug optional yosys-dbgsym_0.7-8+b3_armhf.deb
 041bbb6c6da6af4b9bf37ba0ade0c938 55712 electronics optional yosys-dev_0.7-8+b3_armhf.deb
 51f0a9939a911e8e49fb35e804d076d7 6132 electronics optional yosys_0.7-8+b3_armhf.buildinfo
 817f2b6c75dc344b2aaac56245eef078 1348080 electronics optional yosys_0.7-8+b3_armhf.deb

+------------------------------------------------------------------------------+
| Package contents                                                             |
+------------------------------------------------------------------------------+


yosys-dbgsym_0.7-8+b3_armhf.deb
-------------------------------

 new Debian package, version 2.0.
 size 46535208 bytes: control archive=596 bytes.
     422 bytes,    12 lines      control              
     212 bytes,     2 lines      md5sums              
 Package: yosys-dbgsym
 Source: yosys (0.7-8)
 Version: 0.7-8+b3
 Auto-Built-Package: debug-symbols
 Architecture: armhf
 Maintainer: Debian Science Maintainers <debian-science-maintainers@lists.alioth.debian.org>
 Installed-Size: 46799
 Depends: yosys (= 0.7-8+b3)
 Section: debug
 Priority: optional
 Description: debug symbols for yosys
 Build-Ids: 362fe41ed8bd874337316eed5d474637c71c4b33 8ef30c3356c96a9dbacac6d6f36c541d46653d98

drwxr-xr-x root/root         0 2018-09-04 18:00 ./
drwxr-xr-x root/root         0 2018-09-04 18:00 ./usr/
drwxr-xr-x root/root         0 2018-09-04 18:00 ./usr/lib/
drwxr-xr-x root/root         0 2018-09-04 18:00 ./usr/lib/debug/
drwxr-xr-x root/root         0 2018-09-04 18:00 ./usr/lib/debug/.build-id/
drwxr-xr-x root/root         0 2018-09-04 18:00 ./usr/lib/debug/.build-id/36/
-rw-r--r-- root/root  47781564 2018-09-04 18:00 ./usr/lib/debug/.build-id/36/2fe41ed8bd874337316eed5d474637c71c4b33.debug
drwxr-xr-x root/root         0 2018-09-04 18:00 ./usr/lib/debug/.build-id/8e/
-rw-r--r-- root/root    128984 2018-09-04 18:00 ./usr/lib/debug/.build-id/8e/f30c3356c96a9dbacac6d6f36c541d46653d98.debug
drwxr-xr-x root/root         0 2018-09-04 18:00 ./usr/share/
drwxr-xr-x root/root         0 2018-09-04 18:00 ./usr/share/doc/
lrwxrwxrwx root/root         0 2018-09-04 18:00 ./usr/share/doc/yosys-dbgsym -> yosys


yosys-dev_0.7-8+b3_armhf.deb
----------------------------

 new Debian package, version 2.0.
 size 55712 bytes: control archive=1468 bytes.
     805 bytes,    19 lines      control              
    1876 bytes,    25 lines      md5sums              
 Package: yosys-dev
 Source: yosys (0.7-8)
 Version: 0.7-8+b3
 Architecture: armhf
 Maintainer: Debian Science Maintainers <debian-science-maintainers@lists.alioth.debian.org>
 Installed-Size: 300
 Section: electronics
 Priority: optional
 Homepage: http://www.clifford.at/yosys
 Description: Framework for Verilog RTL synthesis (development files)
  Yosys is a framework for Verilog RTL synthesis. It currently has extensive
  Verilog-2005 support and provides a basic set of synthesis algorithms for
  various application domains.
  .
  Yosys can be adapted to perform any synthesis job by combining the existing
  passes (algorithms) using synthesis scripts and adding additional passes as
  needed by extending the yosys C++ code base.
  .
  This package contains the headers and programs needed to build yosys plugins.

drwxr-xr-x root/root         0 2018-09-04 18:00 ./
drwxr-xr-x root/root         0 2018-09-04 18:00 ./usr/
drwxr-xr-x root/root         0 2018-09-04 18:00 ./usr/bin/
-rwxr-xr-x root/root      3356 2018-09-04 18:00 ./usr/bin/yosys-config
drwxr-xr-x root/root         0 2018-09-04 18:00 ./usr/share/
drwxr-xr-x root/root         0 2018-09-04 18:00 ./usr/share/doc/
drwxr-xr-x root/root         0 2018-09-04 18:00 ./usr/share/doc/yosys-dev/
-rw-r--r-- root/root       216 2018-09-04 18:00 ./usr/share/doc/yosys-dev/changelog.Debian.armhf.gz
-rw-r--r-- root/root      2982 2018-09-04 18:00 ./usr/share/doc/yosys-dev/changelog.Debian.gz
-rw-r--r-- root/root      6697 2016-11-03 08:08 ./usr/share/doc/yosys-dev/changelog.gz
-rw-r--r-- root/root      5876 2018-09-04 18:00 ./usr/share/doc/yosys-dev/copyright
drwxr-xr-x root/root         0 2018-09-04 18:00 ./usr/share/man/
drwxr-xr-x root/root         0 2018-09-04 18:00 ./usr/share/man/man1/
-rw-r--r-- root/root       898 2018-09-04 18:00 ./usr/share/man/man1/yosys-config.1.gz
drwxr-xr-x root/root         0 2018-09-04 18:00 ./usr/share/yosys/
drwxr-xr-x root/root         0 2018-09-04 18:00 ./usr/share/yosys/include/
drwxr-xr-x root/root         0 2018-09-04 18:00 ./usr/share/yosys/include/backends/
drwxr-xr-x root/root         0 2018-09-04 18:00 ./usr/share/yosys/include/backends/ilang/
-rw-r--r-- root/root      2445 2018-09-04 18:00 ./usr/share/yosys/include/backends/ilang/ilang_backend.h
drwxr-xr-x root/root         0 2018-09-04 18:00 ./usr/share/yosys/include/frontends/
drwxr-xr-x root/root         0 2018-09-04 18:00 ./usr/share/yosys/include/frontends/ast/
-rw-r--r-- root/root     10442 2018-09-04 18:00 ./usr/share/yosys/include/frontends/ast/ast.h
drwxr-xr-x root/root         0 2018-09-04 18:00 ./usr/share/yosys/include/kernel/
-rw-r--r-- root/root      2218 2018-09-04 18:00 ./usr/share/yosys/include/kernel/celledges.h
-rw-r--r-- root/root     13910 2018-09-04 18:00 ./usr/share/yosys/include/kernel/celltypes.h
-rw-r--r-- root/root      9807 2018-09-04 18:00 ./usr/share/yosys/include/kernel/consteval.h
-rw-r--r-- root/root     24513 2018-09-04 18:00 ./usr/share/yosys/include/kernel/hashlib.h
-rw-r--r-- root/root      9868 2018-09-04 18:00 ./usr/share/yosys/include/kernel/log.h
-rw-r--r-- root/root      7011 2018-09-04 18:00 ./usr/share/yosys/include/kernel/macc.h
-rw-r--r-- root/root     14512 2018-09-04 18:00 ./usr/share/yosys/include/kernel/modtools.h
-rw-r--r-- root/root      5144 2018-09-04 18:00 ./usr/share/yosys/include/kernel/register.h
-rw-r--r-- root/root     54192 2018-09-04 18:00 ./usr/share/yosys/include/kernel/rtlil.h
-rw-r--r-- root/root     52891 2018-09-04 18:00 ./usr/share/yosys/include/kernel/satgen.h
-rw-r--r-- root/root      6625 2018-09-04 18:00 ./usr/share/yosys/include/kernel/sigtools.h
-rw-r--r-- root/root      5030 2018-09-04 18:00 ./usr/share/yosys/include/kernel/utils.h
-rw-r--r-- root/root      9298 2018-09-04 18:00 ./usr/share/yosys/include/kernel/yosys.h
drwxr-xr-x root/root         0 2018-09-04 18:00 ./usr/share/yosys/include/libs/
drwxr-xr-x root/root         0 2018-09-04 18:00 ./usr/share/yosys/include/libs/ezsat/
-rw-r--r-- root/root      2094 2018-09-04 18:00 ./usr/share/yosys/include/libs/ezsat/ezminisat.h
-rw-r--r-- root/root     14521 2018-09-04 18:00 ./usr/share/yosys/include/libs/ezsat/ezsat.h
drwxr-xr-x root/root         0 2018-09-04 18:00 ./usr/share/yosys/include/libs/sha1/
-rw-r--r-- root/root      1370 2018-09-04 18:00 ./usr/share/yosys/include/libs/sha1/sha1.h
drwxr-xr-x root/root         0 2018-09-04 18:00 ./usr/share/yosys/include/passes/
drwxr-xr-x root/root         0 2018-09-04 18:00 ./usr/share/yosys/include/passes/fsm/
-rw-r--r-- root/root      6737 2018-09-04 18:00 ./usr/share/yosys/include/passes/fsm/fsmdata.h


yosys_0.7-8+b3_armhf.deb
------------------------

 new Debian package, version 2.0.
 size 1348080 bytes: control archive=2388 bytes.
     896 bytes,    18 lines      control              
    3238 bytes,    48 lines      md5sums              
     173 bytes,     9 lines   *  postinst             #!/bin/sh
     385 bytes,    12 lines   *  prerm                #!/bin/sh
 Package: yosys
 Source: yosys (0.7-8)
 Version: 0.7-8+b3
 Architecture: armhf
 Maintainer: Debian Science Maintainers <debian-science-maintainers@lists.alioth.debian.org>
 Installed-Size: 4989
 Depends: libc6 (>= 2.27), libffi6 (>= 3.0.4), libgcc1 (>= 1:3.5), libreadline7 (>= 6.0), libstdc++6 (>= 5.2), libtcl8.6 (>= 8.6.0), python3:any, berkeley-abc (>= 1.01+20161002hgeb6eca6+dfsg), xdot
 Section: electronics
 Priority: optional
 Homepage: http://www.clifford.at/yosys
 Description: Framework for Verilog RTL synthesis
  This is a framework for Verilog RTL synthesis. It currently has extensive
  Verilog-2005 support and provides a basic set of synthesis algorithms for
  various application domains.
  .
  Yosys can be adapted to perform any synthesis job by combining the existing
  passes (algorithms) using synthesis scripts and adding additional passes as
  needed by extending the yosys C++ code base.

drwxr-xr-x root/root         0 2018-09-04 18:00 ./
drwxr-xr-x root/root         0 2018-09-04 18:00 ./usr/
drwxr-xr-x root/root         0 2018-09-04 18:00 ./usr/bin/
-rwxr-xr-x root/root   4271648 2018-09-04 18:00 ./usr/bin/yosys
lrwxrwxrwx root/root         0 2018-09-04 18:00 ./usr/bin/yosys-abc -> berkeley-abc
-rwxr-xr-x root/root     30428 2018-09-04 18:00 ./usr/bin/yosys-filterlib
-rwxr-xr-x root/root     24947 2018-09-04 18:00 ./usr/bin/yosys-smtbmc
drwxr-xr-x root/root         0 2018-09-04 18:00 ./usr/share/
drwxr-xr-x root/root         0 2018-09-04 18:00 ./usr/share/doc/
drwxr-xr-x root/root         0 2018-09-04 18:00 ./usr/share/doc/yosys/
-rw-r--r-- root/root      6214 2016-11-03 08:08 ./usr/share/doc/yosys/README.gz
-rw-r--r-- root/root       216 2018-09-04 18:00 ./usr/share/doc/yosys/changelog.Debian.armhf.gz
-rw-r--r-- root/root      2982 2018-09-04 18:00 ./usr/share/doc/yosys/changelog.Debian.gz
-rw-r--r-- root/root      6697 2016-11-03 08:08 ./usr/share/doc/yosys/changelog.gz
-rw-r--r-- root/root      5876 2018-09-04 18:00 ./usr/share/doc/yosys/copyright
drwxr-xr-x root/root         0 2018-09-04 18:00 ./usr/share/man/
drwxr-xr-x root/root         0 2018-09-04 18:00 ./usr/share/man/man1/
-rw-r--r-- root/root       560 2018-09-04 18:00 ./usr/share/man/man1/yosys-abc.1.gz
-rw-r--r-- root/root       963 2018-09-04 18:00 ./usr/share/man/man1/yosys-filterlib.1.gz
-rw-r--r-- root/root       655 2018-09-04 18:00 ./usr/share/man/man1/yosys-smtbmc.1.gz
-rw-r--r-- root/root      1674 2018-09-04 18:00 ./usr/share/man/man1/yosys.1.gz
drwxr-xr-x root/root         0 2018-09-04 18:00 ./usr/share/python3/
drwxr-xr-x root/root         0 2018-09-04 18:00 ./usr/share/python3/runtime.d/
-rwxr-xr-x root/root       125 2018-09-04 18:00 ./usr/share/python3/runtime.d/yosys.rtupdate
drwxr-xr-x root/root         0 2018-09-04 18:00 ./usr/share/yosys/
-rw-r--r-- root/root       520 2018-09-04 18:00 ./usr/share/yosys/adff2dff.v
-rw-r--r-- root/root      2432 2018-09-04 18:00 ./usr/share/yosys/cells.lib
drwxr-xr-x root/root         0 2018-09-04 18:00 ./usr/share/yosys/gowin/
-rw-r--r-- root/root       889 2018-09-04 18:00 ./usr/share/yosys/gowin/cells_map.v
-rw-r--r-- root/root      1143 2018-09-04 18:00 ./usr/share/yosys/gowin/cells_sim.v
drwxr-xr-x root/root         0 2018-09-04 18:00 ./usr/share/yosys/greenpak4/
-rw-r--r-- root/root      1805 2018-09-04 18:00 ./usr/share/yosys/greenpak4/cells_map.v
-rw-r--r-- root/root      9210 2018-09-04 18:00 ./usr/share/yosys/greenpak4/cells_sim.v
-rw-r--r-- root/root       998 2018-09-04 18:00 ./usr/share/yosys/greenpak4/gp_dff.lib
drwxr-xr-x root/root         0 2018-09-04 18:00 ./usr/share/yosys/ice40/
-rw-r--r-- root/root      2046 2018-09-04 18:00 ./usr/share/yosys/ice40/arith_map.v
-rw-r--r-- root/root       534 2018-09-04 18:00 ./usr/share/yosys/ice40/brams.txt
-rw-r--r-- root/root     50688 2018-09-04 18:00 ./usr/share/yosys/ice40/brams_init1.vh
-rw-r--r-- root/root     50688 2018-09-04 18:00 ./usr/share/yosys/ice40/brams_init2.vh
-rw-r--r-- root/root     50688 2018-09-04 18:00 ./usr/share/yosys/ice40/brams_init3.vh
-rw-r--r-- root/root      7980 2018-09-04 18:00 ./usr/share/yosys/ice40/brams_map.v
-rw-r--r-- root/root      3377 2018-09-04 18:00 ./usr/share/yosys/ice40/cells_map.v
-rw-r--r-- root/root     26434 2018-09-04 18:00 ./usr/share/yosys/ice40/cells_sim.v
-rw-r--r-- root/root       258 2018-09-04 18:00 ./usr/share/yosys/ice40/latches_map.v
-rw-r--r-- root/root       342 2018-09-04 18:00 ./usr/share/yosys/pmux2mux.v
-rw-r--r-- root/root     30627 2018-09-04 18:00 ./usr/share/yosys/simcells.v
-rw-r--r-- root/root     36801 2018-09-04 18:00 ./usr/share/yosys/simlib.v
-rw-r--r-- root/root     24959 2018-09-04 18:00 ./usr/share/yosys/smtio.py
-rw-r--r-- root/root     11996 2018-09-04 18:00 ./usr/share/yosys/techmap.v
drwxr-xr-x root/root         0 2018-09-04 18:00 ./usr/share/yosys/xilinx/
-rw-r--r-- root/root      2327 2018-09-04 18:00 ./usr/share/yosys/xilinx/arith_map.v
-rw-r--r-- root/root      1674 2018-09-04 18:00 ./usr/share/yosys/xilinx/brams.txt
-rw-r--r-- root/root     22243 2018-09-04 18:00 ./usr/share/yosys/xilinx/brams_bb.v
-rw-r--r-- root/root      2048 2018-09-04 18:00 ./usr/share/yosys/xilinx/brams_init_16.vh
-rw-r--r-- root/root     81528 2018-09-04 18:00 ./usr/share/yosys/xilinx/brams_init_18.vh
-rw-r--r-- root/root      4096 2018-09-04 18:00 ./usr/share/yosys/xilinx/brams_init_32.vh
-rw-r--r-- root/root    165056 2018-09-04 18:00 ./usr/share/yosys/xilinx/brams_init_36.vh
-rw-r--r-- root/root      8255 2018-09-04 18:00 ./usr/share/yosys/xilinx/brams_map.v
-rw-r--r-- root/root      4580 2018-09-04 18:00 ./usr/share/yosys/xilinx/cells_map.v
-rw-r--r-- root/root      5265 2018-09-04 18:00 ./usr/share/yosys/xilinx/cells_sim.v
-rw-r--r-- root/root    101350 2018-09-04 18:00 ./usr/share/yosys/xilinx/cells_xtra.v
-rw-r--r-- root/root       423 2018-09-04 18:00 ./usr/share/yosys/xilinx/drams.txt
-rw-r--r-- root/root       382 2018-09-04 18:00 ./usr/share/yosys/xilinx/drams_bb.v
-rw-r--r-- root/root      1040 2018-09-04 18:00 ./usr/share/yosys/xilinx/drams_map.v


+------------------------------------------------------------------------------+
| Post Build                                                                   |
+------------------------------------------------------------------------------+


+------------------------------------------------------------------------------+
| Cleanup                                                                      |
+------------------------------------------------------------------------------+

Purging /<<BUILDDIR>>
Not cleaning session: cloned chroot in use

+------------------------------------------------------------------------------+
| Summary                                                                      |
+------------------------------------------------------------------------------+

Build Architecture: armhf
Build-Space: 924296
Build-Time: 906
Distribution: buster-staging
Host Architecture: armhf
Install-Time: 190
Job: yosys_0.7-8
Machine Architecture: armhf
Package: yosys
Package-Time: 1117
Source-Version: 0.7-8
Space: 924296
Status: successful
Version: 0.7-8+b3
--------------------------------------------------------------------------------
Finished at 2018-09-22T11:12:11Z
Build needed 00:18:37, 924296k disk space