Raspbian Package Auto-Building

Build log for yosys (0.7-2+deb9u1) on armhf

yosys0.7-2+deb9u1armhf → 2019-02-16 18:31:51

sbuild (Debian sbuild) 0.72.0 (25 Oct 2016) on mb-lxc-02

+==============================================================================+
| yosys 0.7-2+deb9u1 (armhf)                   Sat, 16 Feb 2019 17:51:12 +0000 |
+==============================================================================+

Package: yosys
Version: 0.7-2+deb9u1
Source Version: 0.7-2+deb9u1
Distribution: stretch-staging
Machine Architecture: armhf
Host Architecture: armhf
Build Architecture: armhf

I: NOTICE: Log filtering will replace 'var/lib/schroot/mount/stretch-staging-armhf-sbuild-a24a6c33-061d-434d-b140-b86a27d42128' with '<<CHROOT>>'

+------------------------------------------------------------------------------+
| Update chroot                                                                |
+------------------------------------------------------------------------------+

Get:1 http://172.17.0.1/private stretch-staging InRelease [11.3 kB]
Get:2 http://172.17.0.1/private stretch-staging/main Sources [9719 kB]
Get:3 http://172.17.0.1/private stretch-staging/main armhf Packages [11.7 MB]
Fetched 21.4 MB in 8s (2522 kB/s)
Reading package lists...
W: No sandbox user '_apt' on the system, can not drop privileges

+------------------------------------------------------------------------------+
| Fetch source files                                                           |
+------------------------------------------------------------------------------+


Check APT
---------

Checking available source versions...

Download source files with APT
------------------------------

Reading package lists...
NOTICE: 'yosys' packaging is maintained in the 'Git' version control system at:
https://anonscm.debian.org/git/debian-science/packages/yosys.git
Please use:
git clone https://anonscm.debian.org/git/debian-science/packages/yosys.git
to retrieve the latest (possibly unreleased) updates to the package.
Need to get 974 kB of source archives.
Get:1 http://172.17.0.1/private stretch-staging/main yosys 0.7-2+deb9u1 (dsc) [2546 B]
Get:2 http://172.17.0.1/private stretch-staging/main yosys 0.7-2+deb9u1 (tar) [959 kB]
Get:3 http://172.17.0.1/private stretch-staging/main yosys 0.7-2+deb9u1 (diff) [12.6 kB]
Fetched 974 kB in 0s (2945 kB/s)
Download complete and in download only mode
I: NOTICE: Log filtering will replace 'build/yosys-s9ewS2/yosys-0.7' with '<<PKGBUILDDIR>>'
I: NOTICE: Log filtering will replace 'build/yosys-s9ewS2' with '<<BUILDDIR>>'

+------------------------------------------------------------------------------+
| Install build-essential                                                      |
+------------------------------------------------------------------------------+


Setup apt archive
-----------------

Merged Build-Depends: build-essential, fakeroot
Filtered Build-Depends: build-essential, fakeroot
dpkg-deb: building package 'sbuild-build-depends-core-dummy' in '/<<BUILDDIR>>/resolver-LQLcet/apt_archive/sbuild-build-depends-core-dummy.deb'.
dpkg-scanpackages: warning: Packages in archive but missing from override file:
dpkg-scanpackages: warning:   sbuild-build-depends-core-dummy
dpkg-scanpackages: info: Wrote 1 entries to output Packages file.
gpg: keybox '/<<BUILDDIR>>/resolver-LQLcet/gpg/pubring.kbx' created
gpg: /<<BUILDDIR>>/resolver-LQLcet/gpg/trustdb.gpg: trustdb created
gpg: key 37145E60F90AF620: public key "Sbuild Signer (Sbuild Build Dependency Archive Key) <buildd-tools-devel@lists.alioth.debian.org>" imported
gpg: Total number processed: 1
gpg:               imported: 1
gpg: key 37145E60F90AF620: "Sbuild Signer (Sbuild Build Dependency Archive Key) <buildd-tools-devel@lists.alioth.debian.org>" not changed
gpg: key 37145E60F90AF620: secret key imported
gpg: Total number processed: 1
gpg:              unchanged: 1
gpg:       secret keys read: 1
gpg:   secret keys imported: 1
gpg: using "Sbuild Signer" as default secret key for signing
Ign:1 copy:/<<BUILDDIR>>/resolver-LQLcet/apt_archive ./ InRelease
Get:2 copy:/<<BUILDDIR>>/resolver-LQLcet/apt_archive ./ Release [957 B]
Get:3 copy:/<<BUILDDIR>>/resolver-LQLcet/apt_archive ./ Release.gpg [370 B]
Get:4 copy:/<<BUILDDIR>>/resolver-LQLcet/apt_archive ./ Sources [349 B]
Get:5 copy:/<<BUILDDIR>>/resolver-LQLcet/apt_archive ./ Packages [432 B]
Fetched 2108 B in 0s (6215 B/s)
Reading package lists...
W: No sandbox user '_apt' on the system, can not drop privileges
Reading package lists...

Install core build dependencies (apt-based resolver)
----------------------------------------------------

Installing build dependencies
Reading package lists...
Building dependency tree...
The following NEW packages will be installed:
  sbuild-build-depends-core-dummy
0 upgraded, 1 newly installed, 0 to remove and 60 not upgraded.
Need to get 776 B of archives.
After this operation, 0 B of additional disk space will be used.
Get:1 copy:/<<BUILDDIR>>/resolver-LQLcet/apt_archive ./ sbuild-build-depends-core-dummy 0.invalid.0 [776 B]
debconf: delaying package configuration, since apt-utils is not installed
Fetched 776 B in 0s (0 B/s)
Selecting previously unselected package sbuild-build-depends-core-dummy.
(Reading database ... 12680 files and directories currently installed.)
Preparing to unpack .../sbuild-build-depends-core-dummy_0.invalid.0_armhf.deb ...
Unpacking sbuild-build-depends-core-dummy (0.invalid.0) ...
Setting up sbuild-build-depends-core-dummy (0.invalid.0) ...
W: No sandbox user '_apt' on the system, can not drop privileges

+------------------------------------------------------------------------------+
| Check architectures                                                          |
+------------------------------------------------------------------------------+

Arch check ok (armhf included in any all)

+------------------------------------------------------------------------------+
| Install package build dependencies                                           |
+------------------------------------------------------------------------------+


Setup apt archive
-----------------

Merged Build-Depends: debhelper (>= 9), tcl-dev, libreadline-dev, bison, flex, gawk, libffi-dev, pkg-config, txt2man, iverilog (>= 10.1), python3, berkeley-abc (>= 1.01+20161002hgeb6eca6+dfsg)
Filtered Build-Depends: debhelper (>= 9), tcl-dev, libreadline-dev, bison, flex, gawk, libffi-dev, pkg-config, txt2man, iverilog (>= 10.1), python3, berkeley-abc (>= 1.01+20161002hgeb6eca6+dfsg)
dpkg-deb: building package 'sbuild-build-depends-yosys-dummy' in '/<<BUILDDIR>>/resolver-LQLcet/apt_archive/sbuild-build-depends-yosys-dummy.deb'.
dpkg-scanpackages: warning: Packages in archive but missing from override file:
dpkg-scanpackages: warning:   sbuild-build-depends-core-dummy sbuild-build-depends-yosys-dummy
dpkg-scanpackages: info: Wrote 2 entries to output Packages file.
gpg: using "Sbuild Signer" as default secret key for signing
Ign:1 copy:/<<BUILDDIR>>/resolver-LQLcet/apt_archive ./ InRelease
Get:2 copy:/<<BUILDDIR>>/resolver-LQLcet/apt_archive ./ Release [963 B]
Get:3 copy:/<<BUILDDIR>>/resolver-LQLcet/apt_archive ./ Release.gpg [370 B]
Get:4 copy:/<<BUILDDIR>>/resolver-LQLcet/apt_archive ./ Sources [580 B]
Get:5 copy:/<<BUILDDIR>>/resolver-LQLcet/apt_archive ./ Packages [663 B]
Fetched 2576 B in 0s (5743 B/s)
Reading package lists...
W: No sandbox user '_apt' on the system, can not drop privileges
Reading package lists...

Install yosys build dependencies (apt-based resolver)
-----------------------------------------------------

Installing build dependencies
Reading package lists...
Building dependency tree...
Reading state information...
The following additional packages will be installed:
  autoconf automake autopoint autotools-dev berkeley-abc bison bsdmainutils
  debhelper dh-autoreconf dh-python dh-strip-nondeterminism file flex gawk
  gettext gettext-base groff-base intltool-debian iverilog libarchive-zip-perl
  libbison-dev libbsd0 libcroco3 libexpat1 libffi-dev libffi6
  libfile-stripnondeterminism-perl libglib2.0-0 libicu57 libmagic-mgc
  libmagic1 libmpdec2 libncurses5 libncursesw5 libpipeline1 libpython3-stdlib
  libpython3.5-minimal libpython3.5-stdlib libreadline-dev libreadline6
  libsigsegv2 libssl1.1 libtcl8.6 libtimedate-perl libtinfo-dev libtinfo5
  libtool libunistring0 libxml2 m4 man-db mime-support pkg-config po-debconf
  python3 python3-minimal python3.5 python3.5-minimal tcl tcl-dev tcl8.6
  tcl8.6-dev txt2man zlib1g-dev
Suggested packages:
  autoconf-archive gnu-standards autoconf-doc bison-doc wamerican | wordlist
  whois vacation dh-make gawk-doc gettext-doc libasprintf-dev libgettextpo-dev
  groff gtkwave readline-doc libtool-doc gfortran | fortran95-compiler gcj-jdk
  m4-doc less www-browser libmail-box-perl python3-doc python3-tk python3-venv
  python3.5-venv python3.5-doc binfmt-support tcl-doc tcl-tclreadline
  tcl8.6-doc
Recommended packages:
  libfl-dev curl | wget | lynx-cur libglib2.0-data shared-mime-info
  xdg-user-dirs libgpm2 libltdl-dev xml-core libmail-sendmail-perl
The following NEW packages will be installed:
  autoconf automake autopoint autotools-dev berkeley-abc bison bsdmainutils
  debhelper dh-autoreconf dh-python dh-strip-nondeterminism file flex gawk
  gettext gettext-base groff-base intltool-debian iverilog libarchive-zip-perl
  libbison-dev libbsd0 libcroco3 libexpat1 libffi-dev libffi6
  libfile-stripnondeterminism-perl libglib2.0-0 libicu57 libmagic-mgc
  libmagic1 libmpdec2 libpipeline1 libpython3-stdlib libpython3.5-minimal
  libpython3.5-stdlib libreadline-dev libreadline6 libsigsegv2 libssl1.1
  libtcl8.6 libtimedate-perl libtinfo-dev libtool libunistring0 libxml2 m4
  man-db mime-support pkg-config po-debconf python3 python3-minimal python3.5
  python3.5-minimal sbuild-build-depends-yosys-dummy tcl tcl-dev tcl8.6
  tcl8.6-dev txt2man zlib1g-dev
The following packages will be upgraded:
  libncurses5 libncursesw5 libtinfo5
3 upgraded, 62 newly installed, 0 to remove and 57 not upgraded.
Need to get 35.5 MB of archives.
After this operation, 123 MB of additional disk space will be used.
Get:1 copy:/<<BUILDDIR>>/resolver-LQLcet/apt_archive ./ sbuild-build-depends-yosys-dummy 0.invalid.0 [868 B]
Get:2 http://172.17.0.1/private stretch-staging/main armhf groff-base armhf 1.22.3-9 [1005 kB]
Get:3 http://172.17.0.1/private stretch-staging/main armhf libbsd0 armhf 0.8.3-1 [89.0 kB]
Get:4 http://172.17.0.1/private stretch-staging/main armhf libncurses5 armhf 6.0+20161126-1+deb9u2 [74.0 kB]
Get:5 http://172.17.0.1/private stretch-staging/main armhf libtinfo5 armhf 6.0+20161126-1+deb9u2 [288 kB]
Get:6 http://172.17.0.1/private stretch-staging/main armhf libncursesw5 armhf 6.0+20161126-1+deb9u2 [92.7 kB]
Get:7 http://172.17.0.1/private stretch-staging/main armhf bsdmainutils armhf 9.0.12+nmu1 [178 kB]
Get:8 http://172.17.0.1/private stretch-staging/main armhf libpipeline1 armhf 1.4.1-2 [23.7 kB]
Get:9 http://172.17.0.1/private stretch-staging/main armhf man-db armhf 2.7.6.1-2 [1014 kB]
Get:10 http://172.17.0.1/private stretch-staging/main armhf libsigsegv2 armhf 2.10-5 [28.4 kB]
Get:11 http://172.17.0.1/private stretch-staging/main armhf m4 armhf 1.4.18-1 [185 kB]
Get:12 http://172.17.0.1/private stretch-staging/main armhf flex armhf 2.6.1-1.3 [414 kB]
Get:13 http://172.17.0.1/private stretch-staging/main armhf gawk armhf 1:4.1.4+dfsg-1 [508 kB]
Get:14 http://172.17.0.1/private stretch-staging/main armhf libssl1.1 armhf 1.1.0j-1~deb9u1 [1115 kB]
Get:15 http://172.17.0.1/private stretch-staging/main armhf libpython3.5-minimal armhf 3.5.3-1+deb9u1 [567 kB]
Get:16 http://172.17.0.1/private stretch-staging/main armhf libexpat1 armhf 2.2.0-2+deb9u1 [62.2 kB]
Get:17 http://172.17.0.1/private stretch-staging/main armhf python3.5-minimal armhf 3.5.3-1+deb9u1 [1443 kB]
Get:18 http://172.17.0.1/private stretch-staging/main armhf python3-minimal armhf 3.5.3-1 [35.3 kB]
Get:19 http://172.17.0.1/private stretch-staging/main armhf mime-support all 3.60 [36.7 kB]
Get:20 http://172.17.0.1/private stretch-staging/main armhf libmpdec2 armhf 2.4.2-1 [67.5 kB]
Get:21 http://172.17.0.1/private stretch-staging/main armhf libpython3.5-stdlib armhf 3.5.3-1+deb9u1 [2090 kB]
Get:22 http://172.17.0.1/private stretch-staging/main armhf python3.5 armhf 3.5.3-1+deb9u1 [229 kB]
Get:23 http://172.17.0.1/private stretch-staging/main armhf libpython3-stdlib armhf 3.5.3-1 [18.6 kB]
Get:24 http://172.17.0.1/private stretch-staging/main armhf dh-python all 2.20170125 [86.8 kB]
Get:25 http://172.17.0.1/private stretch-staging/main armhf python3 armhf 3.5.3-1 [21.6 kB]
Get:26 http://172.17.0.1/private stretch-staging/main armhf libreadline6 armhf 6.3-9 [122 kB]
Get:27 http://172.17.0.1/private stretch-staging/main armhf libmagic-mgc armhf 1:5.30-1+deb9u2 [222 kB]
Get:28 http://172.17.0.1/private stretch-staging/main armhf libmagic1 armhf 1:5.30-1+deb9u2 [105 kB]
Get:29 http://172.17.0.1/private stretch-staging/main armhf file armhf 1:5.30-1+deb9u2 [63.6 kB]
Get:30 http://172.17.0.1/private stretch-staging/main armhf gettext-base armhf 0.19.8.1-2 [116 kB]
Get:31 http://172.17.0.1/private stretch-staging/main armhf libicu57 armhf 57.1-6+deb9u2 [7425 kB]
Get:32 http://172.17.0.1/private stretch-staging/main armhf libxml2 armhf 2.9.4+dfsg1-2.2+deb9u2 [806 kB]
Get:33 http://172.17.0.1/private stretch-staging/main armhf autoconf all 2.69-10 [338 kB]
Get:34 http://172.17.0.1/private stretch-staging/main armhf autotools-dev all 20161112.1 [73.4 kB]
Get:35 http://172.17.0.1/private stretch-staging/main armhf automake all 1:1.15-6 [733 kB]
Get:36 http://172.17.0.1/private stretch-staging/main armhf autopoint all 0.19.8.1-2 [433 kB]
Get:37 http://172.17.0.1/private stretch-staging/main armhf berkeley-abc armhf 1.01+20161002hgeb6eca6+dfsg-1 [3888 kB]
Get:38 http://172.17.0.1/private stretch-staging/main armhf libbison-dev armhf 2:3.0.4.dfsg-1 [433 kB]
Get:39 http://172.17.0.1/private stretch-staging/main armhf bison armhf 2:3.0.4.dfsg-1 [744 kB]
Get:40 http://172.17.0.1/private stretch-staging/main armhf libtool all 2.4.6-2 [545 kB]
Get:41 http://172.17.0.1/private stretch-staging/main armhf dh-autoreconf all 14 [15.9 kB]
Get:42 http://172.17.0.1/private stretch-staging/main armhf libarchive-zip-perl all 1.59-1+deb9u1 [96.2 kB]
Get:43 http://172.17.0.1/private stretch-staging/main armhf libfile-stripnondeterminism-perl all 0.034-1 [16.4 kB]
Get:44 http://172.17.0.1/private stretch-staging/main armhf libtimedate-perl all 2.3000-2 [42.2 kB]
Get:45 http://172.17.0.1/private stretch-staging/main armhf dh-strip-nondeterminism all 0.034-1 [10.5 kB]
Get:46 http://172.17.0.1/private stretch-staging/main armhf libffi6 armhf 3.2.1-6 [18.7 kB]
Get:47 http://172.17.0.1/private stretch-staging/main armhf libglib2.0-0 armhf 2.50.3-2 [2527 kB]
Get:48 http://172.17.0.1/private stretch-staging/main armhf libcroco3 armhf 0.6.11-3 [131 kB]
Get:49 http://172.17.0.1/private stretch-staging/main armhf libunistring0 armhf 0.9.6+really0.9.3-0.1 [252 kB]
Get:50 http://172.17.0.1/private stretch-staging/main armhf gettext armhf 0.19.8.1-2 [1434 kB]
Get:51 http://172.17.0.1/private stretch-staging/main armhf intltool-debian all 0.35.0+20060710.4 [26.3 kB]
Get:52 http://172.17.0.1/private stretch-staging/main armhf po-debconf all 1.0.20 [247 kB]
Get:53 http://172.17.0.1/private stretch-staging/main armhf debhelper all 10.2.5 [961 kB]
Get:54 http://172.17.0.1/private stretch-staging/main armhf iverilog armhf 10.1-0.1 [1486 kB]
Get:55 http://172.17.0.1/private stretch-staging/main armhf libffi-dev armhf 3.2.1-6 [159 kB]
Get:56 http://172.17.0.1/private stretch-staging/main armhf libtinfo-dev armhf 6.0+20161126-1+deb9u2 [65.6 kB]
Get:57 http://172.17.0.1/private stretch-staging/main armhf libreadline-dev armhf 7.0-3 [109 kB]
Get:58 http://172.17.0.1/private stretch-staging/main armhf libtcl8.6 armhf 8.6.6+dfsg-1 [861 kB]
Get:59 http://172.17.0.1/private stretch-staging/main armhf pkg-config armhf 0.29-4 [59.2 kB]
Get:60 http://172.17.0.1/private stretch-staging/main armhf tcl8.6 armhf 8.6.6+dfsg-1 [123 kB]
Get:61 http://172.17.0.1/private stretch-staging/main armhf tcl armhf 8.6.0+9 [5502 B]
Get:62 http://172.17.0.1/private stretch-staging/main armhf zlib1g-dev armhf 1:1.2.8.dfsg-5 [198 kB]
Get:63 http://172.17.0.1/private stretch-staging/main armhf tcl8.6-dev armhf 8.6.6+dfsg-1 [890 kB]
Get:64 http://172.17.0.1/private stretch-staging/main armhf tcl-dev armhf 8.6.0+9 [7986 B]
Get:65 http://172.17.0.1/private stretch-staging/main armhf txt2man all 1.6.0-1 [31.6 kB]
debconf: delaying package configuration, since apt-utils is not installed
Fetched 35.5 MB in 8s (4197 kB/s)
Selecting previously unselected package groff-base.
(Reading database ... 12680 files and directories currently installed.)
Preparing to unpack .../groff-base_1.22.3-9_armhf.deb ...
Unpacking groff-base (1.22.3-9) ...
Selecting previously unselected package libbsd0:armhf.
Preparing to unpack .../libbsd0_0.8.3-1_armhf.deb ...
Unpacking libbsd0:armhf (0.8.3-1) ...
Preparing to unpack .../libncurses5_6.0+20161126-1+deb9u2_armhf.deb ...
Unpacking libncurses5:armhf (6.0+20161126-1+deb9u2) over (6.0+20161126-1) ...
Preparing to unpack .../libtinfo5_6.0+20161126-1+deb9u2_armhf.deb ...
Unpacking libtinfo5:armhf (6.0+20161126-1+deb9u2) over (6.0+20161126-1) ...
Setting up libtinfo5:armhf (6.0+20161126-1+deb9u2) ...
(Reading database ... 12872 files and directories currently installed.)
Preparing to unpack .../libncursesw5_6.0+20161126-1+deb9u2_armhf.deb ...
Unpacking libncursesw5:armhf (6.0+20161126-1+deb9u2) over (6.0+20161126-1) ...
Setting up libncursesw5:armhf (6.0+20161126-1+deb9u2) ...
Selecting previously unselected package bsdmainutils.
(Reading database ... 12872 files and directories currently installed.)
Preparing to unpack .../0-bsdmainutils_9.0.12+nmu1_armhf.deb ...
Unpacking bsdmainutils (9.0.12+nmu1) ...
Selecting previously unselected package libpipeline1:armhf.
Preparing to unpack .../1-libpipeline1_1.4.1-2_armhf.deb ...
Unpacking libpipeline1:armhf (1.4.1-2) ...
Selecting previously unselected package man-db.
Preparing to unpack .../2-man-db_2.7.6.1-2_armhf.deb ...
Unpacking man-db (2.7.6.1-2) ...
Selecting previously unselected package libsigsegv2:armhf.
Preparing to unpack .../3-libsigsegv2_2.10-5_armhf.deb ...
Unpacking libsigsegv2:armhf (2.10-5) ...
Selecting previously unselected package m4.
Preparing to unpack .../4-m4_1.4.18-1_armhf.deb ...
Unpacking m4 (1.4.18-1) ...
Selecting previously unselected package flex.
Preparing to unpack .../5-flex_2.6.1-1.3_armhf.deb ...
Unpacking flex (2.6.1-1.3) ...
Setting up libsigsegv2:armhf (2.10-5) ...
Selecting previously unselected package gawk.
(Reading database ... 13415 files and directories currently installed.)
Preparing to unpack .../00-gawk_1%3a4.1.4+dfsg-1_armhf.deb ...
Unpacking gawk (1:4.1.4+dfsg-1) ...
Selecting previously unselected package libssl1.1:armhf.
Preparing to unpack .../01-libssl1.1_1.1.0j-1~deb9u1_armhf.deb ...
Unpacking libssl1.1:armhf (1.1.0j-1~deb9u1) ...
Selecting previously unselected package libpython3.5-minimal:armhf.
Preparing to unpack .../02-libpython3.5-minimal_3.5.3-1+deb9u1_armhf.deb ...
Unpacking libpython3.5-minimal:armhf (3.5.3-1+deb9u1) ...
Selecting previously unselected package libexpat1:armhf.
Preparing to unpack .../03-libexpat1_2.2.0-2+deb9u1_armhf.deb ...
Unpacking libexpat1:armhf (2.2.0-2+deb9u1) ...
Selecting previously unselected package python3.5-minimal.
Preparing to unpack .../04-python3.5-minimal_3.5.3-1+deb9u1_armhf.deb ...
Unpacking python3.5-minimal (3.5.3-1+deb9u1) ...
Selecting previously unselected package python3-minimal.
Preparing to unpack .../05-python3-minimal_3.5.3-1_armhf.deb ...
Unpacking python3-minimal (3.5.3-1) ...
Selecting previously unselected package mime-support.
Preparing to unpack .../06-mime-support_3.60_all.deb ...
Unpacking mime-support (3.60) ...
Selecting previously unselected package libmpdec2:armhf.
Preparing to unpack .../07-libmpdec2_2.4.2-1_armhf.deb ...
Unpacking libmpdec2:armhf (2.4.2-1) ...
Selecting previously unselected package libpython3.5-stdlib:armhf.
Preparing to unpack .../08-libpython3.5-stdlib_3.5.3-1+deb9u1_armhf.deb ...
Unpacking libpython3.5-stdlib:armhf (3.5.3-1+deb9u1) ...
Selecting previously unselected package python3.5.
Preparing to unpack .../09-python3.5_3.5.3-1+deb9u1_armhf.deb ...
Unpacking python3.5 (3.5.3-1+deb9u1) ...
Selecting previously unselected package libpython3-stdlib:armhf.
Preparing to unpack .../10-libpython3-stdlib_3.5.3-1_armhf.deb ...
Unpacking libpython3-stdlib:armhf (3.5.3-1) ...
Selecting previously unselected package dh-python.
Preparing to unpack .../11-dh-python_2.20170125_all.deb ...
Unpacking dh-python (2.20170125) ...
Setting up libssl1.1:armhf (1.1.0j-1~deb9u1) ...
Setting up libpython3.5-minimal:armhf (3.5.3-1+deb9u1) ...
Setting up libexpat1:armhf (2.2.0-2+deb9u1) ...
Setting up python3.5-minimal (3.5.3-1+deb9u1) ...
Setting up python3-minimal (3.5.3-1) ...
Selecting previously unselected package python3.
(Reading database ... 14539 files and directories currently installed.)
Preparing to unpack .../00-python3_3.5.3-1_armhf.deb ...
Unpacking python3 (3.5.3-1) ...
Selecting previously unselected package libreadline6:armhf.
Preparing to unpack .../01-libreadline6_6.3-9_armhf.deb ...
Unpacking libreadline6:armhf (6.3-9) ...
Selecting previously unselected package libmagic-mgc.
Preparing to unpack .../02-libmagic-mgc_1%3a5.30-1+deb9u2_armhf.deb ...
Unpacking libmagic-mgc (1:5.30-1+deb9u2) ...
Selecting previously unselected package libmagic1:armhf.
Preparing to unpack .../03-libmagic1_1%3a5.30-1+deb9u2_armhf.deb ...
Unpacking libmagic1:armhf (1:5.30-1+deb9u2) ...
Selecting previously unselected package file.
Preparing to unpack .../04-file_1%3a5.30-1+deb9u2_armhf.deb ...
Unpacking file (1:5.30-1+deb9u2) ...
Selecting previously unselected package gettext-base.
Preparing to unpack .../05-gettext-base_0.19.8.1-2_armhf.deb ...
Unpacking gettext-base (0.19.8.1-2) ...
Selecting previously unselected package libicu57:armhf.
Preparing to unpack .../06-libicu57_57.1-6+deb9u2_armhf.deb ...
Unpacking libicu57:armhf (57.1-6+deb9u2) ...
Selecting previously unselected package libxml2:armhf.
Preparing to unpack .../07-libxml2_2.9.4+dfsg1-2.2+deb9u2_armhf.deb ...
Unpacking libxml2:armhf (2.9.4+dfsg1-2.2+deb9u2) ...
Selecting previously unselected package autoconf.
Preparing to unpack .../08-autoconf_2.69-10_all.deb ...
Unpacking autoconf (2.69-10) ...
Selecting previously unselected package autotools-dev.
Preparing to unpack .../09-autotools-dev_20161112.1_all.deb ...
Unpacking autotools-dev (20161112.1) ...
Selecting previously unselected package automake.
Preparing to unpack .../10-automake_1%3a1.15-6_all.deb ...
Unpacking automake (1:1.15-6) ...
Selecting previously unselected package autopoint.
Preparing to unpack .../11-autopoint_0.19.8.1-2_all.deb ...
Unpacking autopoint (0.19.8.1-2) ...
Selecting previously unselected package berkeley-abc.
Preparing to unpack .../12-berkeley-abc_1.01+20161002hgeb6eca6+dfsg-1_armhf.deb ...
Unpacking berkeley-abc (1.01+20161002hgeb6eca6+dfsg-1) ...
Selecting previously unselected package libbison-dev:armhf.
Preparing to unpack .../13-libbison-dev_2%3a3.0.4.dfsg-1_armhf.deb ...
Unpacking libbison-dev:armhf (2:3.0.4.dfsg-1) ...
Selecting previously unselected package bison.
Preparing to unpack .../14-bison_2%3a3.0.4.dfsg-1_armhf.deb ...
Unpacking bison (2:3.0.4.dfsg-1) ...
Selecting previously unselected package libtool.
Preparing to unpack .../15-libtool_2.4.6-2_all.deb ...
Unpacking libtool (2.4.6-2) ...
Selecting previously unselected package dh-autoreconf.
Preparing to unpack .../16-dh-autoreconf_14_all.deb ...
Unpacking dh-autoreconf (14) ...
Selecting previously unselected package libarchive-zip-perl.
Preparing to unpack .../17-libarchive-zip-perl_1.59-1+deb9u1_all.deb ...
Unpacking libarchive-zip-perl (1.59-1+deb9u1) ...
Selecting previously unselected package libfile-stripnondeterminism-perl.
Preparing to unpack .../18-libfile-stripnondeterminism-perl_0.034-1_all.deb ...
Unpacking libfile-stripnondeterminism-perl (0.034-1) ...
Selecting previously unselected package libtimedate-perl.
Preparing to unpack .../19-libtimedate-perl_2.3000-2_all.deb ...
Unpacking libtimedate-perl (2.3000-2) ...
Selecting previously unselected package dh-strip-nondeterminism.
Preparing to unpack .../20-dh-strip-nondeterminism_0.034-1_all.deb ...
Unpacking dh-strip-nondeterminism (0.034-1) ...
Selecting previously unselected package libffi6:armhf.
Preparing to unpack .../21-libffi6_3.2.1-6_armhf.deb ...
Unpacking libffi6:armhf (3.2.1-6) ...
Selecting previously unselected package libglib2.0-0:armhf.
Preparing to unpack .../22-libglib2.0-0_2.50.3-2_armhf.deb ...
Unpacking libglib2.0-0:armhf (2.50.3-2) ...
Selecting previously unselected package libcroco3:armhf.
Preparing to unpack .../23-libcroco3_0.6.11-3_armhf.deb ...
Unpacking libcroco3:armhf (0.6.11-3) ...
Selecting previously unselected package libunistring0:armhf.
Preparing to unpack .../24-libunistring0_0.9.6+really0.9.3-0.1_armhf.deb ...
Unpacking libunistring0:armhf (0.9.6+really0.9.3-0.1) ...
Selecting previously unselected package gettext.
Preparing to unpack .../25-gettext_0.19.8.1-2_armhf.deb ...
Unpacking gettext (0.19.8.1-2) ...
Selecting previously unselected package intltool-debian.
Preparing to unpack .../26-intltool-debian_0.35.0+20060710.4_all.deb ...
Unpacking intltool-debian (0.35.0+20060710.4) ...
Selecting previously unselected package po-debconf.
Preparing to unpack .../27-po-debconf_1.0.20_all.deb ...
Unpacking po-debconf (1.0.20) ...
Selecting previously unselected package debhelper.
Preparing to unpack .../28-debhelper_10.2.5_all.deb ...
Unpacking debhelper (10.2.5) ...
Selecting previously unselected package iverilog.
Preparing to unpack .../29-iverilog_10.1-0.1_armhf.deb ...
Unpacking iverilog (10.1-0.1) ...
Selecting previously unselected package libffi-dev:armhf.
Preparing to unpack .../30-libffi-dev_3.2.1-6_armhf.deb ...
Unpacking libffi-dev:armhf (3.2.1-6) ...
Selecting previously unselected package libtinfo-dev:armhf.
Preparing to unpack .../31-libtinfo-dev_6.0+20161126-1+deb9u2_armhf.deb ...
Unpacking libtinfo-dev:armhf (6.0+20161126-1+deb9u2) ...
Selecting previously unselected package libreadline-dev:armhf.
Preparing to unpack .../32-libreadline-dev_7.0-3_armhf.deb ...
Unpacking libreadline-dev:armhf (7.0-3) ...
Selecting previously unselected package libtcl8.6:armhf.
Preparing to unpack .../33-libtcl8.6_8.6.6+dfsg-1_armhf.deb ...
Unpacking libtcl8.6:armhf (8.6.6+dfsg-1) ...
Selecting previously unselected package pkg-config.
Preparing to unpack .../34-pkg-config_0.29-4_armhf.deb ...
Unpacking pkg-config (0.29-4) ...
Selecting previously unselected package tcl8.6.
Preparing to unpack .../35-tcl8.6_8.6.6+dfsg-1_armhf.deb ...
Unpacking tcl8.6 (8.6.6+dfsg-1) ...
Selecting previously unselected package tcl.
Preparing to unpack .../36-tcl_8.6.0+9_armhf.deb ...
Unpacking tcl (8.6.0+9) ...
Selecting previously unselected package zlib1g-dev:armhf.
Preparing to unpack .../37-zlib1g-dev_1%3a1.2.8.dfsg-5_armhf.deb ...
Unpacking zlib1g-dev:armhf (1:1.2.8.dfsg-5) ...
Selecting previously unselected package tcl8.6-dev:armhf.
Preparing to unpack .../38-tcl8.6-dev_8.6.6+dfsg-1_armhf.deb ...
Unpacking tcl8.6-dev:armhf (8.6.6+dfsg-1) ...
Selecting previously unselected package tcl-dev:armhf.
Preparing to unpack .../39-tcl-dev_8.6.0+9_armhf.deb ...
Unpacking tcl-dev:armhf (8.6.0+9) ...
Selecting previously unselected package txt2man.
Preparing to unpack .../40-txt2man_1.6.0-1_all.deb ...
Unpacking txt2man (1.6.0-1) ...
Selecting previously unselected package sbuild-build-depends-yosys-dummy.
Preparing to unpack .../41-sbuild-build-depends-yosys-dummy_0.invalid.0_armhf.deb ...
Unpacking sbuild-build-depends-yosys-dummy (0.invalid.0) ...
Setting up libncurses5:armhf (6.0+20161126-1+deb9u2) ...
Setting up berkeley-abc (1.01+20161002hgeb6eca6+dfsg-1) ...
Setting up libarchive-zip-perl (1.59-1+deb9u1) ...
Setting up mime-support (3.60) ...
Setting up libtimedate-perl (2.3000-2) ...
Setting up groff-base (1.22.3-9) ...
Setting up libtinfo-dev:armhf (6.0+20161126-1+deb9u2) ...
Setting up gettext-base (0.19.8.1-2) ...
Setting up libpipeline1:armhf (1.4.1-2) ...
Setting up m4 (1.4.18-1) ...
Setting up gawk (1:4.1.4+dfsg-1) ...
Setting up libicu57:armhf (57.1-6+deb9u2) ...
Setting up libbsd0:armhf (0.8.3-1) ...
Setting up libxml2:armhf (2.9.4+dfsg1-2.2+deb9u2) ...
Setting up libmagic-mgc (1:5.30-1+deb9u2) ...
Setting up libmagic1:armhf (1:5.30-1+deb9u2) ...
Processing triggers for libc-bin (2.24-11) ...
Setting up autotools-dev (20161112.1) ...
Setting up libunistring0:armhf (0.9.6+really0.9.3-0.1) ...
Setting up libreadline-dev:armhf (7.0-3) ...
Setting up libbison-dev:armhf (2:3.0.4.dfsg-1) ...
Setting up libffi6:armhf (3.2.1-6) ...
Setting up libtcl8.6:armhf (8.6.6+dfsg-1) ...
Setting up bison (2:3.0.4.dfsg-1) ...
update-alternatives: using /usr/bin/bison.yacc to provide /usr/bin/yacc (yacc) in auto mode
Setting up bsdmainutils (9.0.12+nmu1) ...
update-alternatives: using /usr/bin/bsd-write to provide /usr/bin/write (write) in auto mode
update-alternatives: using /usr/bin/bsd-from to provide /usr/bin/from (from) in auto mode
Setting up autopoint (0.19.8.1-2) ...
Setting up libmpdec2:armhf (2.4.2-1) ...
Setting up libreadline6:armhf (6.3-9) ...
Setting up zlib1g-dev:armhf (1:1.2.8.dfsg-5) ...
Setting up libfile-stripnondeterminism-perl (0.034-1) ...
Setting up txt2man (1.6.0-1) ...
Setting up flex (2.6.1-1.3) ...
Setting up libglib2.0-0:armhf (2.50.3-2) ...
No schema files found: doing nothing.
Setting up libpython3.5-stdlib:armhf (3.5.3-1+deb9u1) ...
Setting up libffi-dev:armhf (3.2.1-6) ...
Setting up iverilog (10.1-0.1) ...
Setting up autoconf (2.69-10) ...
Setting up file (1:5.30-1+deb9u2) ...
Setting up libcroco3:armhf (0.6.11-3) ...
Setting up pkg-config (0.29-4) ...
Setting up tcl8.6 (8.6.6+dfsg-1) ...
Setting up automake (1:1.15-6) ...
update-alternatives: using /usr/bin/automake-1.15 to provide /usr/bin/automake (automake) in auto mode
Setting up man-db (2.7.6.1-2) ...
Not building database; man-db/auto-update is not 'true'.
Setting up tcl8.6-dev:armhf (8.6.6+dfsg-1) ...
Setting up libtool (2.4.6-2) ...
Setting up python3.5 (3.5.3-1+deb9u1) ...
Setting up libpython3-stdlib:armhf (3.5.3-1) ...
Setting up gettext (0.19.8.1-2) ...
Setting up tcl (8.6.0+9) ...
Setting up intltool-debian (0.35.0+20060710.4) ...
Setting up tcl-dev:armhf (8.6.0+9) ...
Setting up po-debconf (1.0.20) ...
Setting up dh-python (2.20170125) ...
Setting up dh-autoreconf (14) ...
Setting up python3 (3.5.3-1) ...
Setting up dh-strip-nondeterminism (0.034-1) ...
Setting up debhelper (10.2.5) ...
Setting up sbuild-build-depends-yosys-dummy (0.invalid.0) ...
Processing triggers for libc-bin (2.24-11) ...
W: No sandbox user '_apt' on the system, can not drop privileges

+------------------------------------------------------------------------------+
| Build environment                                                            |
+------------------------------------------------------------------------------+

Kernel: Linux 4.4.0-124-generic armhf (armv8l)
Toolchain package versions: binutils_2.28-5 dpkg-dev_1.18.24 g++-6_6.3.0-18+rpi1 gcc-6_6.3.0-18+rpi1 libc6-dev_2.24-11 libstdc++-6-dev_6.3.0-18+rpi1 libstdc++6_6.3.0-18+rpi1 linux-libc-dev_3.18.5-1~exp1+rpi19+stretch
Package versions: adduser_3.115 apt_1.4.6 autoconf_2.69-10 automake_1:1.15-6 autopoint_0.19.8.1-2 autotools-dev_20161112.1 base-files_9.9+rpi1 base-passwd_3.5.43 bash_4.4-5 berkeley-abc_1.01+20161002hgeb6eca6+dfsg-1 binutils_2.28-5 bison_2:3.0.4.dfsg-1 bsdmainutils_9.0.12+nmu1 bsdutils_1:2.29.2-1 build-essential_12.3 bzip2_1.0.6-8.1 coreutils_8.26-3 cpio_2.11+dfsg-6 cpp_4:6.3.0-4 cpp-6_6.3.0-18+rpi1 dash_0.5.8-2.4 debconf_1.5.61 debfoster_2.7-2.1 debhelper_10.2.5 debianutils_4.8.1.1 dh-autoreconf_14 dh-python_2.20170125 dh-strip-nondeterminism_0.034-1 diffutils_1:3.5-3 dmsetup_2:1.02.137-2 dpkg_1.18.24 dpkg-dev_1.18.24 e2fslibs_1.43.4-2 e2fsprogs_1.43.4-2 fakeroot_1.21-3.1 file_1:5.30-1+deb9u2 findutils_4.6.0+git+20161106-2 flex_2.6.1-1.3 g++_4:6.3.0-4 g++-6_6.3.0-18+rpi1 gawk_1:4.1.4+dfsg-1 gcc_4:6.3.0-4 gcc-4.6-base_4.6.4-5+rpi1 gcc-4.7-base_4.7.3-11+rpi1 gcc-4.8-base_4.8.5-4 gcc-4.9-base_4.9.3-14 gcc-5-base_5.4.1-4 gcc-6_6.3.0-18+rpi1 gcc-6-base_6.3.0-18+rpi1 gettext_0.19.8.1-2 gettext-base_0.19.8.1-2 gnupg_2.1.18-6 gnupg-agent_2.1.18-6 gpgv_2.1.18-6 grep_2.27-2 groff-base_1.22.3-9 gzip_1.6-5 hostname_3.18 init-system-helpers_1.48 initramfs-tools_0.130 initramfs-tools-core_0.130 intltool-debian_0.35.0+20060710.4 iverilog_10.1-0.1 klibc-utils_2.0.4-9+rpi1 kmod_23-2 libacl1_2.2.52-3 libapparmor1_2.11.0-3 libapt-pkg5.0_1.4.6 libarchive-zip-perl_1.59-1+deb9u1 libasan3_6.3.0-18+rpi1 libassuan0_2.4.3-2 libatomic1_6.3.0-18+rpi1 libattr1_1:2.4.47-2 libaudit-common_1:2.6.7-2 libaudit1_1:2.6.7-2 libbison-dev_2:3.0.4.dfsg-1 libblkid1_2.29.2-1 libbsd0_0.8.3-1 libbz2-1.0_1.0.6-8.1 libc-bin_2.24-11 libc-dev-bin_2.24-11 libc6_2.24-11 libc6-dev_2.24-11 libcap-ng0_0.7.7-3 libcap2_1:2.25-1 libcc1-0_6.3.0-18+rpi1 libcomerr2_1.43.4-2 libcroco3_0.6.11-3 libcryptsetup4_2:1.7.3-4 libdb5.3_5.3.28-12 libdbus-1-3_1.10.18-1 libdebconfclient0_0.227 libdevmapper1.02.1_2:1.02.137-2 libdpkg-perl_1.18.24 libdrm2_2.4.74-1 libexpat1_2.2.0-2+deb9u1 libfakeroot_1.21-3.1 libfdisk1_2.29.2-1 libffi-dev_3.2.1-6 libffi6_3.2.1-6 libfile-stripnondeterminism-perl_0.034-1 libgc1c2_1:7.4.2-8 libgcc-6-dev_6.3.0-18+rpi1 libgcc1_1:6.3.0-18+rpi1 libgcrypt20_1.7.6-2 libgdbm3_1.8.3-14 libglib2.0-0_2.50.3-2 libgmp10_2:6.1.2+dfsg-1 libgomp1_6.3.0-18+rpi1 libgpg-error0_1.26-2 libicu57_57.1-6+deb9u2 libidn11_1.33-1 libip4tc0_1.6.0+snapshot20161117-6 libisl15_0.18-1 libklibc_2.0.4-9+rpi1 libkmod2_23-2 libksba8_1.3.5-2 liblocale-gettext-perl_1.07-3+b1 liblz4-1_0.0~r131-2 liblzma5_5.2.2-1.2 libmagic-mgc_1:5.30-1+deb9u2 libmagic1_1:5.30-1+deb9u2 libmount1_2.29.2-1 libmpc3_1.0.3-1 libmpdec2_2.4.2-1 libmpfr4_3.1.5-1 libncurses5_6.0+20161126-1+deb9u2 libncursesw5_6.0+20161126-1+deb9u2 libnih-dbus1_1.0.3-8 libnih1_1.0.3-8 libnpth0_1.3-1 libpam-modules_1.1.8-3.6 libpam-modules-bin_1.1.8-3.6 libpam-runtime_1.1.8-3.6 libpam0g_1.1.8-3.6 libpcre3_2:8.39-3 libperl5.24_5.24.1-3 libpipeline1_1.4.1-2 libplymouth4_0.9.2-4 libpng16-16_1.6.28-1 libprocps6_2:3.3.12-3 libpython3-stdlib_3.5.3-1 libpython3.5-minimal_3.5.3-1+deb9u1 libpython3.5-stdlib_3.5.3-1+deb9u1 libreadline-dev_7.0-3 libreadline6_6.3-9 libreadline7_7.0-3 libseccomp2_2.3.1-2.1 libselinux1_2.6-3 libsemanage-common_2.6-2 libsemanage1_2.6-2 libsepol1_2.6-2 libsigsegv2_2.10-5 libsmartcols1_2.29.2-1 libsqlite3-0_3.16.2-5 libss2_1.43.4-2 libssl1.1_1.1.0j-1~deb9u1 libstdc++-6-dev_6.3.0-18+rpi1 libstdc++6_6.3.0-18+rpi1 libsystemd0_232-25 libtcl8.6_8.6.6+dfsg-1 libtext-charwidth-perl_0.04-7+b7 libtext-iconv-perl_1.7-5+b8 libtext-wrapi18n-perl_0.06-7.1 libtimedate-perl_2.3000-2 libtinfo-dev_6.0+20161126-1+deb9u2 libtinfo5_6.0+20161126-1+deb9u2 libtool_2.4.6-2 libubsan0_6.3.0-18+rpi1 libudev1_232-25 libunistring0_0.9.6+really0.9.3-0.1 libustr-1.0-1_1.0.4-6 libuuid1_2.29.2-1 libxml2_2.9.4+dfsg1-2.2+deb9u2 linux-base_4.5 linux-libc-dev_3.18.5-1~exp1+rpi19+stretch login_1:4.4-4.1 lsb-base_9.20161125+rpi1 m4_1.4.18-1 make_4.1-9.1 makedev_2.3.1-93 man-db_2.7.6.1-2 mawk_1.3.3-17 mime-support_3.60 mount_2.29.2-1 mountall_2.54 multiarch-support_2.24-11 ncurses-base_6.0+20161126-1 ncurses-bin_6.0+20161126-1 passwd_1:4.4-4.1 patch_2.7.5-1 perl_5.24.1-3 perl-base_5.24.1-3 perl-modules-5.24_5.24.1-3 pinentry-curses_1.0.0-2 pkg-config_0.29-4 plymouth_0.9.2-4 po-debconf_1.0.20 procps_2:3.3.12-3 python3_3.5.3-1 python3-minimal_3.5.3-1 python3.5_3.5.3-1+deb9u1 python3.5-minimal_3.5.3-1+deb9u1 raspbian-archive-keyring_20120528.2 readline-common_7.0-3 sbuild-build-depends-core-dummy_0.invalid.0 sbuild-build-depends-yosys-dummy_0.invalid.0 sed_4.4-1 sensible-utils_0.0.9 systemd_232-25 sysvinit-utils_2.88dsf-59.9 tar_1.29b-1.1 tcl_8.6.0+9 tcl-dev_8.6.0+9 tcl8.6_8.6.6+dfsg-1 tcl8.6-dev_8.6.6+dfsg-1 txt2man_1.6.0-1 tzdata_2017b-1 udev_232-25 util-linux_2.29.2-1 xz-utils_5.2.2-1.2 zlib1g_1:1.2.8.dfsg-5 zlib1g-dev_1:1.2.8.dfsg-5

+------------------------------------------------------------------------------+
| Build                                                                        |
+------------------------------------------------------------------------------+


Unpack source
-------------

gpgv: unknown type of key resource 'trustedkeys.kbx'
gpgv: keyblock resource '/sbuild-nonexistent/.gnupg/trustedkeys.kbx': General error
gpgv: Signature made Sun Feb 10 04:17:34 2019 UTC
gpgv:                using RSA key EBF30A30A8D9C63BDA44C6945FB33F9359E9ED08
gpgv:                issuer "anbe@debian.org"
gpgv: Can't check signature: No public key
dpkg-source: warning: failed to verify signature on ./yosys_0.7-2+deb9u1.dsc
dpkg-source: info: extracting yosys in /<<PKGBUILDDIR>>
dpkg-source: info: unpacking yosys_0.7.orig.tar.gz
dpkg-source: info: unpacking yosys_0.7-2+deb9u1.debian.tar.xz
dpkg-source: info: applying 01_gitrevision.patch
dpkg-source: info: applying 02_removeabc.patch
dpkg-source: info: applying 05_abc_executable.patch
dpkg-source: info: applying switch-to-free-font.patch
dpkg-source: info: applying manual-build.patch
dpkg-source: info: applying kfreebsd-support.patch
dpkg-source: info: applying 0010-Fix-adding-of-sys.path-in-yosys-smtbmc.patch

Check disk space
----------------

Sufficient free space for build

User Environment
----------------

APT_CONFIG=/var/lib/sbuild/apt.conf
DEB_BUILD_OPTIONS=parallel=4
HOME=/sbuild-nonexistent
LC_ALL=POSIX
LOGNAME=buildd
PATH=/usr/local/sbin:/usr/local/bin:/usr/sbin:/usr/bin:/sbin:/bin:/usr/games
SCHROOT_ALIAS_NAME=stretch-staging-armhf-sbuild
SCHROOT_CHROOT_NAME=stretch-staging-armhf-sbuild
SCHROOT_COMMAND=env
SCHROOT_GID=112
SCHROOT_GROUP=buildd
SCHROOT_SESSION_ID=stretch-staging-armhf-sbuild-a24a6c33-061d-434d-b140-b86a27d42128
SCHROOT_UID=107
SCHROOT_USER=buildd
SHELL=/bin/sh
USER=buildd

dpkg-buildpackage
-----------------

dpkg-buildpackage: info: source package yosys
dpkg-buildpackage: info: source version 0.7-2+deb9u1
dpkg-buildpackage: info: source distribution stretch
 dpkg-source --before-build yosys-0.7
dpkg-buildpackage: info: host architecture armhf
 fakeroot debian/rules clean
PREFIX=/usr dh clean --parallel --with=python3
   dh_testdir -O--parallel
   debian/rules override_dh_auto_clean
make[1]: Entering directory '/<<PKGBUILDDIR>>'
dh_auto_clean
	make -j4 clean
make[2]: Entering directory '/<<PKGBUILDDIR>>'
/bin/sh: 1: clang: not found
rm -rf share
if test -d manual; then cd manual && sh clean.sh; fi
find ./PRESENTATION_ExAdv/ -name '*.dot' | xargs rm -f
find ./PRESENTATION_Prog/ -name 'my_cmd.so' | xargs rm -f
find ./PRESENTATION_Prog/ -name 'my_cmd.d' | xargs rm -f
find ./PRESENTATION_Intro/ -name 'counter_00.dot' | xargs rm -f
find ./PRESENTATION_Intro/ -name 'counter_01.dot' | xargs rm -f
find ./PRESENTATION_Intro/ -name 'counter_02.dot' | xargs rm -f
find ./PRESENTATION_Intro/ -name 'counter_03.dot' | xargs rm -f
find ./CHAPTER_Prog/ -name 'stubnets.so' | xargs rm -f
find ./CHAPTER_Prog/ -name 'stubnets.d' | xargs rm -f
find ./CHAPTER_Prog/ -name '*.log' | xargs rm -f
find ./PRESENTATION_ExOth/ -name '*.dot' | xargs rm -f
find ./ -name '*.aux' | xargs rm -f
find ./ -name '*.bbl' | xargs rm -f
find ./ -name '*.blg' | xargs rm -f
find ./ -name '*.idx' | xargs rm -f
find ./ -name '*.log' | xargs rm -f
find ./ -name '*.out' | xargs rm -f
find ./ -name '*.pdf' | xargs rm -f
find ./ -name '*.toc' | xargs rm -f
find ./ -name '*.snm' | xargs rm -f
find ./ -name '*.nav' | xargs rm -f
find ./ -name '*.vrb' | xargs rm -f
find ./ -name '*.ok' | xargs rm -f
find ./PRESENTATION_ExSyn/ -name '*.dot' | xargs rm -f
rm -f kernel/version_61f6811.o kernel/driver.o kernel/register.o kernel/rtlil.o kernel/log.o kernel/calc.o kernel/yosys.o kernel/cellaigs.o kernel/celledges.o libs/bigint/BigIntegerAlgorithms.o libs/bigint/BigInteger.o libs/bigint/BigIntegerUtils.o libs/bigint/BigUnsigned.o libs/bigint/BigUnsignedInABase.o libs/sha1/sha1.o libs/subcircuit/subcircuit.o libs/ezsat/ezsat.o libs/ezsat/ezminisat.o libs/minisat/Options.o libs/minisat/SimpSolver.o libs/minisat/Solver.o libs/minisat/System.o frontends/vhdl2verilog/vhdl2verilog.o frontends/ilang/ilang_parser.tab.o frontends/ilang/ilang_lexer.o frontends/ilang/ilang_frontend.o frontends/verilog/verilog_parser.tab.o frontends/verilog/verilog_lexer.o frontends/verilog/preproc.o frontends/verilog/verilog_frontend.o frontends/verilog/const2ast.o frontends/ast/ast.o frontends/ast/simplify.o frontends/ast/genrtlil.o frontends/ast/dpicall.o frontends/blif/blifparse.o frontends/verific/verific.o frontends/liberty/liberty.o passes/tests/test_autotb.o passes/tests/test_cell.o passes/tests/test_abcloop.o passes/sat/sat.o passes/sat/freduce.o passes/sat/eval.o passes/sat/miter.o passes/sat/expose.o passes/sat/assertpmux.o passes/sat/clk2fflogic.o passes/cmds/add.o passes/cmds/delete.o passes/cmds/design.o passes/cmds/select.o passes/cmds/show.o passes/cmds/rename.o passes/cmds/connect.o passes/cmds/scatter.o passes/cmds/setundef.o passes/cmds/splitnets.o passes/cmds/stat.o passes/cmds/setattr.o passes/cmds/copy.o passes/cmds/splice.o passes/cmds/scc.o passes/cmds/torder.o passes/cmds/logcmd.o passes/cmds/tee.o passes/cmds/write_file.o passes/cmds/connwrappers.o passes/cmds/cover.o passes/cmds/trace.o passes/cmds/plugin.o passes/cmds/check.o passes/cmds/qwp.o passes/cmds/edgetypes.o passes/memory/memory.o passes/memory/memory_dff.o passes/memory/memory_share.o passes/memory/memory_collect.o passes/memory/memory_unpack.o passes/memory/memory_bram.o passes/memory/memory_map.o passes/memory/memory_memx.o passes/techmap/techmap.o passes/techmap/simplemap.o passes/techmap/dfflibmap.o passes/techmap/maccmap.o passes/techmap/libparse.o passes/techmap/abc.o passes/techmap/iopadmap.o passes/techmap/hilomap.o passes/techmap/extract.o passes/techmap/alumacc.o passes/techmap/dff2dffe.o passes/techmap/dffinit.o passes/techmap/pmuxtree.o passes/techmap/muxcover.o passes/techmap/aigmap.o passes/techmap/tribuf.o passes/techmap/lut2mux.o passes/techmap/nlutmap.o passes/techmap/dffsr2dff.o passes/techmap/shregmap.o passes/techmap/deminout.o passes/techmap/insbuf.o passes/techmap/attrmvcp.o passes/techmap/attrmap.o passes/techmap/zinit.o passes/opt/opt.o passes/opt/opt_merge.o passes/opt/opt_muxtree.o passes/opt/opt_reduce.o passes/opt/opt_rmdff.o passes/opt/opt_clean.o passes/opt/opt_expr.o passes/opt/share.o passes/opt/wreduce.o passes/hierarchy/hierarchy.o passes/hierarchy/singleton.o passes/hierarchy/submod.o passes/equiv/equiv_make.o passes/equiv/equiv_miter.o passes/equiv/equiv_simple.o passes/equiv/equiv_status.o passes/equiv/equiv_add.o passes/equiv/equiv_remove.o passes/equiv/equiv_induct.o passes/equiv/equiv_struct.o passes/equiv/equiv_purge.o passes/equiv/equiv_mark.o passes/fsm/fsm.o passes/fsm/fsm_detect.o passes/fsm/fsm_extract.o passes/fsm/fsm_opt.o passes/fsm/fsm_expand.o passes/fsm/fsm_recode.o passes/fsm/fsm_info.o passes/fsm/fsm_export.o passes/fsm/fsm_map.o passes/proc/proc.o passes/proc/proc_clean.o passes/proc/proc_rmdead.o passes/proc/proc_init.o passes/proc/proc_arst.o passes/proc/proc_mux.o passes/proc/proc_dlatch.o passes/proc/proc_dff.o backends/edif/edif.o backends/smt2/smt2.o backends/btor/btor.o backends/intersynth/intersynth.o backends/ilang/ilang_backend.o backends/json/json.o backends/verilog/verilog_backend.o backends/smv/smv.o backends/blif/blif.o backends/spice/spice.o techlibs/xilinx/synth_xilinx.o techlibs/common/synth.o techlibs/common/prep.o techlibs/ice40/synth_ice40.o techlibs/ice40/ice40_ffssr.o techlibs/ice40/ice40_ffinit.o techlibs/ice40/ice40_opt.o techlibs/greenpak4/synth_greenpak4.o techlibs/greenpak4/greenpak4_counters.o techlibs/greenpak4/greenpak4_dffinv.o techlibs/gowin/synth_gowin.o  frontends/ilang/ilang_parser.tab.cc frontends/ilang/ilang_parser.tab.h frontends/ilang/ilang_parser.output frontends/ilang/ilang_lexer.cc frontends/verilog/verilog_parser.tab.cc frontends/verilog/verilog_parser.tab.h frontends/verilog/verilog_parser.output frontends/verilog/verilog_lexer.cc passes/techmap/techmap.inc techlibs/xilinx/brams_init_36.vh techlibs/xilinx/brams_init_32.vh techlibs/xilinx/brams_init_18.vh techlibs/xilinx/brams_init_16.vh techlibs/common/simlib_help.inc techlibs/common/simcells_help.inc techlibs/ice40/brams_init1.vh techlibs/ice40/brams_init2.vh techlibs/ice40/brams_init3.vh yosys yosys-config yosys-filterlib yosys-smtbmc  share/include/kernel/yosys.h share/include/kernel/hashlib.h share/include/kernel/log.h share/include/kernel/rtlil.h share/include/kernel/register.h share/include/kernel/celltypes.h share/include/kernel/celledges.h share/include/kernel/consteval.h share/include/kernel/sigtools.h share/include/kernel/modtools.h share/include/kernel/macc.h share/include/kernel/utils.h share/include/kernel/satgen.h share/include/libs/ezsat/ezsat.h share/include/libs/ezsat/ezminisat.h share/include/libs/sha1/sha1.h share/include/passes/fsm/fsmdata.h share/include/frontends/ast/ast.h share/include/backends/ilang/ilang_backend.h share/python3/smtio.py share/xilinx/cells_map.v share/xilinx/cells_sim.v share/xilinx/cells_xtra.v share/xilinx/brams.txt share/xilinx/brams_map.v share/xilinx/brams_bb.v share/xilinx/drams.txt share/xilinx/drams_map.v share/xilinx/drams_bb.v share/xilinx/arith_map.v share/xilinx/brams_init_36.vh share/xilinx/brams_init_32.vh share/xilinx/brams_init_18.vh share/xilinx/brams_init_16.vh share/simlib.v share/simcells.v share/techmap.v share/pmux2mux.v share/adff2dff.v share/cells.lib share/ice40/arith_map.v share/ice40/cells_map.v share/ice40/cells_sim.v share/ice40/latches_map.v share/ice40/brams.txt share/ice40/brams_map.v share/ice40/brams_init1.vh share/ice40/brams_init2.vh share/ice40/brams_init3.vh share/greenpak4/cells_map.v share/greenpak4/cells_sim.v share/greenpak4/gp_dff.lib share/gowin/cells_map.v share/gowin/cells_sim.v  passes/techmap/filterlib.o techlibs/xilinx/brams_init.mk techlibs/ice40/brams_init.mk
rm -f kernel/version_*.o kernel/version_*.cc abc/abc-[0-9a-f]*
rm -f libs/*/*.d frontends/*/*.d passes/*/*.d backends/*/*.d kernel/*.d techlibs/*/*.d
make[2]: Leaving directory '/<<PKGBUILDDIR>>'
rm -f debian/man/*.1
make[1]: Leaving directory '/<<PKGBUILDDIR>>'
   dh_clean -O--parallel
 debian/rules build-arch
PREFIX=/usr dh build-arch --parallel --with=python3
   dh_testdir -a -O--parallel
   dh_update_autotools_config -a -O--parallel
   debian/rules override_dh_auto_configure
make[1]: Entering directory '/<<PKGBUILDDIR>>'
make config-gcc
make[2]: Entering directory '/<<PKGBUILDDIR>>'
/bin/sh: 1: clang: not found
rm -rf share
if test -d manual; then cd manual && sh clean.sh; fi
find ./PRESENTATION_ExAdv/ -name '*.dot' | xargs rm -f
find ./PRESENTATION_Prog/ -name 'my_cmd.so' | xargs rm -f
find ./PRESENTATION_Prog/ -name 'my_cmd.d' | xargs rm -f
find ./PRESENTATION_Intro/ -name 'counter_00.dot' | xargs rm -f
find ./PRESENTATION_Intro/ -name 'counter_01.dot' | xargs rm -f
find ./PRESENTATION_Intro/ -name 'counter_02.dot' | xargs rm -f
find ./PRESENTATION_Intro/ -name 'counter_03.dot' | xargs rm -f
find ./CHAPTER_Prog/ -name 'stubnets.so' | xargs rm -f
find ./CHAPTER_Prog/ -name 'stubnets.d' | xargs rm -f
find ./CHAPTER_Prog/ -name '*.log' | xargs rm -f
find ./PRESENTATION_ExOth/ -name '*.dot' | xargs rm -f
find ./ -name '*.aux' | xargs rm -f
find ./ -name '*.bbl' | xargs rm -f
find ./ -name '*.blg' | xargs rm -f
find ./ -name '*.idx' | xargs rm -f
find ./ -name '*.log' | xargs rm -f
find ./ -name '*.out' | xargs rm -f
find ./ -name '*.pdf' | xargs rm -f
find ./ -name '*.toc' | xargs rm -f
find ./ -name '*.snm' | xargs rm -f
find ./ -name '*.nav' | xargs rm -f
find ./ -name '*.vrb' | xargs rm -f
find ./ -name '*.ok' | xargs rm -f
find ./PRESENTATION_ExSyn/ -name '*.dot' | xargs rm -f
rm -f kernel/version_61f6811.o kernel/driver.o kernel/register.o kernel/rtlil.o kernel/log.o kernel/calc.o kernel/yosys.o kernel/cellaigs.o kernel/celledges.o libs/bigint/BigIntegerAlgorithms.o libs/bigint/BigInteger.o libs/bigint/BigIntegerUtils.o libs/bigint/BigUnsigned.o libs/bigint/BigUnsignedInABase.o libs/sha1/sha1.o libs/subcircuit/subcircuit.o libs/ezsat/ezsat.o libs/ezsat/ezminisat.o libs/minisat/Options.o libs/minisat/SimpSolver.o libs/minisat/Solver.o libs/minisat/System.o frontends/vhdl2verilog/vhdl2verilog.o frontends/ilang/ilang_parser.tab.o frontends/ilang/ilang_lexer.o frontends/ilang/ilang_frontend.o frontends/verilog/verilog_parser.tab.o frontends/verilog/verilog_lexer.o frontends/verilog/preproc.o frontends/verilog/verilog_frontend.o frontends/verilog/const2ast.o frontends/ast/ast.o frontends/ast/simplify.o frontends/ast/genrtlil.o frontends/ast/dpicall.o frontends/blif/blifparse.o frontends/verific/verific.o frontends/liberty/liberty.o passes/tests/test_autotb.o passes/tests/test_cell.o passes/tests/test_abcloop.o passes/sat/sat.o passes/sat/freduce.o passes/sat/eval.o passes/sat/miter.o passes/sat/expose.o passes/sat/assertpmux.o passes/sat/clk2fflogic.o passes/cmds/add.o passes/cmds/delete.o passes/cmds/design.o passes/cmds/select.o passes/cmds/show.o passes/cmds/rename.o passes/cmds/connect.o passes/cmds/scatter.o passes/cmds/setundef.o passes/cmds/splitnets.o passes/cmds/stat.o passes/cmds/setattr.o passes/cmds/copy.o passes/cmds/splice.o passes/cmds/scc.o passes/cmds/torder.o passes/cmds/logcmd.o passes/cmds/tee.o passes/cmds/write_file.o passes/cmds/connwrappers.o passes/cmds/cover.o passes/cmds/trace.o passes/cmds/plugin.o passes/cmds/check.o passes/cmds/qwp.o passes/cmds/edgetypes.o passes/memory/memory.o passes/memory/memory_dff.o passes/memory/memory_share.o passes/memory/memory_collect.o passes/memory/memory_unpack.o passes/memory/memory_bram.o passes/memory/memory_map.o passes/memory/memory_memx.o passes/techmap/techmap.o passes/techmap/simplemap.o passes/techmap/dfflibmap.o passes/techmap/maccmap.o passes/techmap/libparse.o passes/techmap/abc.o passes/techmap/iopadmap.o passes/techmap/hilomap.o passes/techmap/extract.o passes/techmap/alumacc.o passes/techmap/dff2dffe.o passes/techmap/dffinit.o passes/techmap/pmuxtree.o passes/techmap/muxcover.o passes/techmap/aigmap.o passes/techmap/tribuf.o passes/techmap/lut2mux.o passes/techmap/nlutmap.o passes/techmap/dffsr2dff.o passes/techmap/shregmap.o passes/techmap/deminout.o passes/techmap/insbuf.o passes/techmap/attrmvcp.o passes/techmap/attrmap.o passes/techmap/zinit.o passes/opt/opt.o passes/opt/opt_merge.o passes/opt/opt_muxtree.o passes/opt/opt_reduce.o passes/opt/opt_rmdff.o passes/opt/opt_clean.o passes/opt/opt_expr.o passes/opt/share.o passes/opt/wreduce.o passes/hierarchy/hierarchy.o passes/hierarchy/singleton.o passes/hierarchy/submod.o passes/equiv/equiv_make.o passes/equiv/equiv_miter.o passes/equiv/equiv_simple.o passes/equiv/equiv_status.o passes/equiv/equiv_add.o passes/equiv/equiv_remove.o passes/equiv/equiv_induct.o passes/equiv/equiv_struct.o passes/equiv/equiv_purge.o passes/equiv/equiv_mark.o passes/fsm/fsm.o passes/fsm/fsm_detect.o passes/fsm/fsm_extract.o passes/fsm/fsm_opt.o passes/fsm/fsm_expand.o passes/fsm/fsm_recode.o passes/fsm/fsm_info.o passes/fsm/fsm_export.o passes/fsm/fsm_map.o passes/proc/proc.o passes/proc/proc_clean.o passes/proc/proc_rmdead.o passes/proc/proc_init.o passes/proc/proc_arst.o passes/proc/proc_mux.o passes/proc/proc_dlatch.o passes/proc/proc_dff.o backends/edif/edif.o backends/smt2/smt2.o backends/btor/btor.o backends/intersynth/intersynth.o backends/ilang/ilang_backend.o backends/json/json.o backends/verilog/verilog_backend.o backends/smv/smv.o backends/blif/blif.o backends/spice/spice.o techlibs/xilinx/synth_xilinx.o techlibs/common/synth.o techlibs/common/prep.o techlibs/ice40/synth_ice40.o techlibs/ice40/ice40_ffssr.o techlibs/ice40/ice40_ffinit.o techlibs/ice40/ice40_opt.o techlibs/greenpak4/synth_greenpak4.o techlibs/greenpak4/greenpak4_counters.o techlibs/greenpak4/greenpak4_dffinv.o techlibs/gowin/synth_gowin.o  frontends/ilang/ilang_parser.tab.cc frontends/ilang/ilang_parser.tab.h frontends/ilang/ilang_parser.output frontends/ilang/ilang_lexer.cc frontends/verilog/verilog_parser.tab.cc frontends/verilog/verilog_parser.tab.h frontends/verilog/verilog_parser.output frontends/verilog/verilog_lexer.cc passes/techmap/techmap.inc techlibs/xilinx/brams_init_36.vh techlibs/xilinx/brams_init_32.vh techlibs/xilinx/brams_init_18.vh techlibs/xilinx/brams_init_16.vh techlibs/common/simlib_help.inc techlibs/common/simcells_help.inc techlibs/ice40/brams_init1.vh techlibs/ice40/brams_init2.vh techlibs/ice40/brams_init3.vh yosys yosys-config yosys-filterlib yosys-smtbmc  share/include/kernel/yosys.h share/include/kernel/hashlib.h share/include/kernel/log.h share/include/kernel/rtlil.h share/include/kernel/register.h share/include/kernel/celltypes.h share/include/kernel/celledges.h share/include/kernel/consteval.h share/include/kernel/sigtools.h share/include/kernel/modtools.h share/include/kernel/macc.h share/include/kernel/utils.h share/include/kernel/satgen.h share/include/libs/ezsat/ezsat.h share/include/libs/ezsat/ezminisat.h share/include/libs/sha1/sha1.h share/include/passes/fsm/fsmdata.h share/include/frontends/ast/ast.h share/include/backends/ilang/ilang_backend.h share/python3/smtio.py share/xilinx/cells_map.v share/xilinx/cells_sim.v share/xilinx/cells_xtra.v share/xilinx/brams.txt share/xilinx/brams_map.v share/xilinx/brams_bb.v share/xilinx/drams.txt share/xilinx/drams_map.v share/xilinx/drams_bb.v share/xilinx/arith_map.v share/xilinx/brams_init_36.vh share/xilinx/brams_init_32.vh share/xilinx/brams_init_18.vh share/xilinx/brams_init_16.vh share/simlib.v share/simcells.v share/techmap.v share/pmux2mux.v share/adff2dff.v share/cells.lib share/ice40/arith_map.v share/ice40/cells_map.v share/ice40/cells_sim.v share/ice40/latches_map.v share/ice40/brams.txt share/ice40/brams_map.v share/ice40/brams_init1.vh share/ice40/brams_init2.vh share/ice40/brams_init3.vh share/greenpak4/cells_map.v share/greenpak4/cells_sim.v share/greenpak4/gp_dff.lib share/gowin/cells_map.v share/gowin/cells_sim.v  passes/techmap/filterlib.o techlibs/xilinx/brams_init.mk techlibs/ice40/brams_init.mk
rm -f kernel/version_*.o kernel/version_*.cc abc/abc-[0-9a-f]*
rm -f libs/*/*.d frontends/*/*.d passes/*/*.d backends/*/*.d kernel/*.d techlibs/*/*.d
echo 'CONFIG := gcc' > Makefile.conf
make[2]: Leaving directory '/<<PKGBUILDDIR>>'
make[1]: Leaving directory '/<<PKGBUILDDIR>>'
   debian/rules override_dh_auto_build-arch
make[1]: Entering directory '/<<PKGBUILDDIR>>'
dh_auto_build --parallel -- all
	make -j4 all
make[2]: Entering directory '/<<PKGBUILDDIR>>'
[Makefile.conf] CONFIG := gcc
[  0%] Building kernel/version_61f6811.cc
[  1%] Building kernel/driver.o
[  1%] Building techlibs/common/simlib_help.inc
[  1%] Building techlibs/common/simcells_help.inc
[  2%] Building kernel/rtlil.o
[  2%] Building kernel/log.o
[  3%] Building kernel/calc.o
kernel/log.cc: In function 'void Yosys::log_backtrace(const char*, int)':
kernel/log.cc:300:40: warning: unsupported argument to 'void* __builtin_return_address(unsigned int)'
  if ((p = __builtin_extract_return_addr(__builtin_return_address(1))) && dladdr(p, &dli)) {
           ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~
kernel/log.cc:309:40: warning: unsupported argument to 'void* __builtin_return_address(unsigned int)'
  if ((p = __builtin_extract_return_addr(__builtin_return_address(2))) && dladdr(p, &dli)) {
           ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~
kernel/log.cc:318:40: warning: unsupported argument to 'void* __builtin_return_address(unsigned int)'
  if ((p = __builtin_extract_return_addr(__builtin_return_address(3))) && dladdr(p, &dli)) {
           ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~
kernel/log.cc:327:40: warning: unsupported argument to 'void* __builtin_return_address(unsigned int)'
  if ((p = __builtin_extract_return_addr(__builtin_return_address(4))) && dladdr(p, &dli)) {
           ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~
kernel/log.cc:336:40: warning: unsupported argument to 'void* __builtin_return_address(unsigned int)'
  if ((p = __builtin_extract_return_addr(__builtin_return_address(5))) && dladdr(p, &dli)) {
           ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~
kernel/log.cc:345:40: warning: unsupported argument to 'void* __builtin_return_address(unsigned int)'
  if ((p = __builtin_extract_return_addr(__builtin_return_address(6))) && dladdr(p, &dli)) {
           ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~
kernel/log.cc:354:40: warning: unsupported argument to 'void* __builtin_return_address(unsigned int)'
  if ((p = __builtin_extract_return_addr(__builtin_return_address(7))) && dladdr(p, &dli)) {
           ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~
kernel/log.cc:363:40: warning: unsupported argument to 'void* __builtin_return_address(unsigned int)'
  if ((p = __builtin_extract_return_addr(__builtin_return_address(8))) && dladdr(p, &dli)) {
           ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~
[  3%] Building kernel/yosys.o
[  4%] Building kernel/cellaigs.o
[  4%] Building kernel/celledges.o
[  5%] Building libs/bigint/BigIntegerAlgorithms.o
[  5%] Building libs/bigint/BigInteger.o
[  6%] Building libs/bigint/BigIntegerUtils.o
[  6%] Building libs/bigint/BigUnsigned.o
[  7%] Building libs/bigint/BigUnsignedInABase.o
[  8%] Building libs/sha1/sha1.o
[  8%] Building libs/subcircuit/subcircuit.o
[  9%] Building libs/ezsat/ezsat.o
[  9%] Building libs/ezsat/ezminisat.o
[ 10%] Building libs/minisat/Options.o
[ 10%] Building libs/minisat/SimpSolver.o
[ 11%] Building libs/minisat/Solver.o
[ 11%] Building libs/minisat/System.o
[ 12%] Building frontends/vhdl2verilog/vhdl2verilog.o
[ 12%] Building frontends/ilang/ilang_parser.tab.cc
[ 12%] Building frontends/ilang/ilang_lexer.cc
[ 13%] Building frontends/ilang/ilang_frontend.o
[ 13%] Building frontends/verilog/verilog_parser.tab.cc
[ 13%] Building frontends/verilog/verilog_lexer.cc
[ 15%] Building frontends/verilog/preproc.o
[ 16%] Building frontends/verilog/verilog_frontend.o
[ 16%] Building frontends/verilog/const2ast.o
[ 17%] Building frontends/ast/ast.o
[ 17%] Building frontends/ast/simplify.o
[ 18%] Building frontends/ast/genrtlil.o
[ 18%] Building frontends/ast/dpicall.o
[ 19%] Building frontends/blif/blifparse.o
[ 19%] Building frontends/verific/verific.o
[ 20%] Building frontends/liberty/liberty.o
[ 20%] Building passes/tests/test_autotb.o
[ 21%] Building passes/tests/test_cell.o
[ 21%] Building passes/tests/test_abcloop.o
[ 22%] Building passes/sat/sat.o
[ 23%] Building passes/sat/freduce.o
[ 23%] Building passes/sat/eval.o
[ 24%] Building passes/sat/miter.o
[ 24%] Building passes/sat/expose.o
[ 25%] Building passes/sat/assertpmux.o
[ 25%] Building passes/sat/clk2fflogic.o
[ 26%] Building passes/cmds/add.o
[ 26%] Building passes/cmds/delete.o
[ 27%] Building passes/cmds/design.o
[ 27%] Building passes/cmds/select.o
[ 28%] Building passes/cmds/show.o
[ 28%] Building passes/cmds/rename.o
[ 29%] Building passes/cmds/connect.o
[ 30%] Building passes/cmds/scatter.o
[ 30%] Building passes/cmds/setundef.o
[ 31%] Building passes/cmds/splitnets.o
[ 31%] Building passes/cmds/stat.o
[ 32%] Building passes/cmds/setattr.o
[ 32%] Building passes/cmds/copy.o
[ 33%] Building passes/cmds/splice.o
[ 33%] Building passes/cmds/scc.o
[ 34%] Building passes/cmds/torder.o
[ 34%] Building passes/cmds/logcmd.o
[ 35%] Building passes/cmds/tee.o
[ 35%] Building passes/cmds/write_file.o
[ 36%] Building passes/cmds/connwrappers.o
[ 36%] Building passes/cmds/cover.o
[ 37%] Building passes/cmds/trace.o
[ 38%] Building passes/cmds/plugin.o
[ 38%] Building passes/cmds/check.o
[ 39%] Building passes/cmds/qwp.o
[ 39%] Building passes/cmds/edgetypes.o
[ 40%] Building passes/memory/memory.o
[ 40%] Building passes/memory/memory_dff.o
In file included from /usr/include/c++/6/vector:63:0,
                 from ./kernel/yosys.h:45,
                 from passes/cmds/qwp.cc:20:
/usr/include/c++/6/bits/stl_uninitialized.h: In function '_ForwardIterator std::__uninitialized_copy_a(_InputIterator, _InputIterator, _ForwardIterator, std::allocator<_Tp>&) [with _InputIterator = std::move_iterator<{anonymous}::QwpWorker::Node*>; _ForwardIterator = {anonymous}::QwpWorker::Node*; _Tp = {anonymous}::QwpWorker::Node]':
/usr/include/c++/6/bits/stl_uninitialized.h:279:5: note: parameter passing for argument of type 'std::move_iterator<{anonymous}::QwpWorker::Node*>' will change in GCC 7.1
     __uninitialized_copy_a(_InputIterator __first, _InputIterator __last,
     ^~~~~~~~~~~~~~~~~~~~~~
/usr/include/c++/6/bits/stl_uninitialized.h:279:5: note: parameter passing for argument of type 'std::move_iterator<{anonymous}::QwpWorker::Node*>' will change in GCC 7.1
/usr/include/c++/6/bits/stl_uninitialized.h:279:5: note: parameter passing for argument of type 'std::move_iterator<{anonymous}::QwpWorker::Node*>' will change in GCC 7.1
/usr/include/c++/6/bits/stl_uninitialized.h: In member function 'void std::vector<_Tp, _Alloc>::push_back(const value_type&) [with _Tp = {anonymous}::QwpWorker::Node; _Alloc = std::allocator<{anonymous}::QwpWorker::Node>]':
/usr/include/c++/6/bits/stl_uninitialized.h:304:69: note: parameter passing for argument of type 'std::move_iterator<{anonymous}::QwpWorker::Node*>' will change in GCC 7.1
   _GLIBCXX_MAKE_MOVE_IF_NOEXCEPT_ITERATOR(__last), __result, __alloc);
                                                                     ^
/usr/include/c++/6/bits/stl_uninitialized.h: In member function 'void std::vector<_Tp, _Alloc>::emplace_back(_Args&& ...) [with _Args = {{anonymous}::QwpWorker::Node}; _Tp = {anonymous}::QwpWorker::Node; _Alloc = std::allocator<{anonymous}::QwpWorker::Node>]':
/usr/include/c++/6/bits/stl_uninitialized.h:304:69: note: parameter passing for argument of type 'std::move_iterator<{anonymous}::QwpWorker::Node*>' will change in GCC 7.1
   _GLIBCXX_MAKE_MOVE_IF_NOEXCEPT_ITERATOR(__last), __result, __alloc);
                                                                     ^
In file included from /usr/include/c++/6/algorithm:62:0,
                 from ./kernel/yosys.h:47,
                 from passes/cmds/qwp.cc:20:
/usr/include/c++/6/bits/stl_algo.h: In function 'void std::__unguarded_linear_insert(_RandomAccessIterator, _Compare) [with _RandomAccessIterator = __gnu_cxx::__normal_iterator<std::pair<double, int>*, std::vector<std::pair<double, int> > >; _Compare = __gnu_cxx::__ops::_Val_less_iter]':
/usr/include/c++/6/bits/stl_algo.h:1818:5: note: parameter passing for argument of type '__gnu_cxx::__normal_iterator<std::pair<double, int>*, std::vector<std::pair<double, int> > >' will change in GCC 7.1
     __unguarded_linear_insert(_RandomAccessIterator __last,
     ^~~~~~~~~~~~~~~~~~~~~~~~~
/usr/include/c++/6/bits/stl_algo.h: In function 'void std::__insertion_sort(_RandomAccessIterator, _RandomAccessIterator, _Compare) [with _RandomAccessIterator = __gnu_cxx::__normal_iterator<std::pair<double, int>*, std::vector<std::pair<double, int> > >; _Compare = __gnu_cxx::__ops::_Iter_less_iter]':
/usr/include/c++/6/bits/stl_algo.h:1837:5: note: parameter passing for argument of type '__gnu_cxx::__normal_iterator<std::pair<double, int>*, std::vector<std::pair<double, int> > >' will change in GCC 7.1
     __insertion_sort(_RandomAccessIterator __first,
     ^~~~~~~~~~~~~~~~
/usr/include/c++/6/bits/stl_algo.h:1837:5: note: parameter passing for argument of type '__gnu_cxx::__normal_iterator<std::pair<double, int>*, std::vector<std::pair<double, int> > >' will change in GCC 7.1
In file included from /usr/include/c++/6/bits/stl_algo.h:61:0,
                 from /usr/include/c++/6/algorithm:62,
                 from ./kernel/yosys.h:47,
                 from passes/cmds/qwp.cc:20:
/usr/include/c++/6/bits/stl_heap.h: In function 'void std::__adjust_heap(_RandomAccessIterator, _Distance, _Distance, _Tp, _Compare) [with _RandomAccessIterator = __gnu_cxx::__normal_iterator<std::pair<double, int>*, std::vector<std::pair<double, int> > >; _Distance = int; _Tp = std::pair<double, int>; _Compare = __gnu_cxx::__ops::_Iter_less_iter]':
/usr/include/c++/6/bits/stl_heap.h:209:5: note: parameter passing for argument of type '__gnu_cxx::__normal_iterator<std::pair<double, int>*, std::vector<std::pair<double, int> > >' will change in GCC 7.1
     __adjust_heap(_RandomAccessIterator __first, _Distance __holeIndex,
     ^~~~~~~~~~~~~
/usr/include/c++/6/bits/stl_heap.h: In function 'void std::__pop_heap(_RandomAccessIterator, _RandomAccessIterator, _RandomAccessIterator, _Compare) [with _RandomAccessIterator = __gnu_cxx::__normal_iterator<std::pair<double, int>*, std::vector<std::pair<double, int> > >; _Compare = __gnu_cxx::__ops::_Iter_less_iter]':
/usr/include/c++/6/bits/stl_heap.h:237:5: note: parameter passing for argument of type '__gnu_cxx::__normal_iterator<std::pair<double, int>*, std::vector<std::pair<double, int> > >' will change in GCC 7.1
     __pop_heap(_RandomAccessIterator __first, _RandomAccessIterator __last,
     ^~~~~~~~~~
/usr/include/c++/6/bits/stl_heap.h:237:5: note: parameter passing for argument of type '__gnu_cxx::__normal_iterator<std::pair<double, int>*, std::vector<std::pair<double, int> > >' will change in GCC 7.1
/usr/include/c++/6/bits/stl_heap.h:237:5: note: parameter passing for argument of type '__gnu_cxx::__normal_iterator<std::pair<double, int>*, std::vector<std::pair<double, int> > >' will change in GCC 7.1
In file included from /usr/include/c++/6/algorithm:62:0,
                 from ./kernel/yosys.h:47,
                 from passes/cmds/qwp.cc:20:
/usr/include/c++/6/bits/stl_algo.h: In function 'void std::__introsort_loop(_RandomAccessIterator, _RandomAccessIterator, _Size, _Compare) [with _RandomAccessIterator = __gnu_cxx::__normal_iterator<std::pair<double, int>*, std::vector<std::pair<double, int> > >; _Size = int; _Compare = __gnu_cxx::__ops::_Iter_less_iter]':
/usr/include/c++/6/bits/stl_algo.h:1937:5: note: parameter passing for argument of type '__gnu_cxx::__normal_iterator<std::pair<double, int>*, std::vector<std::pair<double, int> > >' will change in GCC 7.1
     __introsort_loop(_RandomAccessIterator __first,
     ^~~~~~~~~~~~~~~~
/usr/include/c++/6/bits/stl_algo.h:1937:5: note: parameter passing for argument of type '__gnu_cxx::__normal_iterator<std::pair<double, int>*, std::vector<std::pair<double, int> > >' will change in GCC 7.1
In file included from /usr/include/c++/6/bits/stl_algo.h:61:0,
                 from /usr/include/c++/6/algorithm:62,
                 from ./kernel/yosys.h:47,
                 from passes/cmds/qwp.cc:20:
/usr/include/c++/6/bits/stl_heap.h:400:4: note: parameter passing for argument of type '__gnu_cxx::__normal_iterator<std::pair<double, int>*, std::vector<std::pair<double, int> > >' will change in GCC 7.1
    std::__pop_heap(__first, __last, __last, __comp);
    ^~~
/usr/include/c++/6/bits/stl_heap.h:400:4: note: parameter passing for argument of type '__gnu_cxx::__normal_iterator<std::pair<double, int>*, std::vector<std::pair<double, int> > >' will change in GCC 7.1
/usr/include/c++/6/bits/stl_heap.h:400:4: note: parameter passing for argument of type '__gnu_cxx::__normal_iterator<std::pair<double, int>*, std::vector<std::pair<double, int> > >' will change in GCC 7.1
In file included from /usr/include/c++/6/algorithm:62:0,
                 from ./kernel/yosys.h:47,
                 from passes/cmds/qwp.cc:20:
/usr/include/c++/6/bits/stl_algo.h:1951:4: note: parameter passing for argument of type '__gnu_cxx::__normal_iterator<std::pair<double, int>*, std::vector<std::pair<double, int> > >' will change in GCC 7.1
    std::__introsort_loop(__cut, __last, __depth_limit, __comp);
    ^~~
/usr/include/c++/6/bits/stl_algo.h: In member function 'void {anonymous}::QwpWorker::run_worker(int)':
/usr/include/c++/6/bits/stl_algo.h:1965:4: note: parameter passing for argument of type '__gnu_cxx::__normal_iterator<std::pair<double, int>*, std::vector<std::pair<double, int> > >' will change in GCC 7.1
    std::__introsort_loop(__first, __last,
    ^~~
/usr/include/c++/6/bits/stl_algo.h:1882:4: note: parameter passing for argument of type '__gnu_cxx::__normal_iterator<std::pair<double, int>*, std::vector<std::pair<double, int> > >' will change in GCC 7.1
    std::__insertion_sort(__first, __first + int(_S_threshold), __comp);
    ^~~
/usr/include/c++/6/bits/stl_algo.h:1887:2: note: parameter passing for argument of type '__gnu_cxx::__normal_iterator<std::pair<double, int>*, std::vector<std::pair<double, int> > >' will change in GCC 7.1
  std::__insertion_sort(__first, __last, __comp);
  ^~~
[ 41%] Building passes/memory/memory_share.o
[ 41%] Building passes/memory/memory_collect.o
[ 42%] Building passes/memory/memory_unpack.o
[ 42%] Building passes/memory/memory_bram.o
[ 43%] Building passes/memory/memory_map.o
[ 43%] Building passes/memory/memory_memx.o
[ 43%] Building passes/techmap/techmap.inc
[ 45%] Building passes/techmap/simplemap.o
[ 45%] Building passes/techmap/dfflibmap.o
[ 46%] Building passes/techmap/maccmap.o
[ 46%] Building passes/techmap/libparse.o
[ 47%] Building passes/techmap/abc.o
[ 47%] Building passes/techmap/iopadmap.o
[ 48%] Building passes/techmap/hilomap.o
[ 48%] Building passes/techmap/extract.o
[ 49%] Building passes/techmap/alumacc.o
[ 49%] Building passes/techmap/dff2dffe.o
[ 50%] Building passes/techmap/dffinit.o
[ 50%] Building passes/techmap/pmuxtree.o
[ 51%] Building passes/techmap/muxcover.o
[ 51%] Building passes/techmap/aigmap.o
[ 52%] Building passes/techmap/tribuf.o
[ 53%] Building passes/techmap/lut2mux.o
[ 53%] Building passes/techmap/nlutmap.o
[ 54%] Building passes/techmap/dffsr2dff.o
[ 54%] Building passes/techmap/shregmap.o
[ 55%] Building passes/techmap/deminout.o
[ 55%] Building passes/techmap/insbuf.o
[ 56%] Building passes/techmap/attrmvcp.o
[ 56%] Building passes/techmap/attrmap.o
[ 57%] Building passes/techmap/zinit.o
[ 57%] Building passes/opt/opt.o
[ 58%] Building passes/opt/opt_merge.o
[ 58%] Building passes/opt/opt_muxtree.o
[ 59%] Building passes/opt/opt_reduce.o
[ 60%] Building passes/opt/opt_rmdff.o
[ 60%] Building passes/opt/opt_clean.o
[ 61%] Building passes/opt/opt_expr.o
[ 61%] Building passes/opt/share.o
[ 62%] Building passes/opt/wreduce.o
[ 62%] Building passes/hierarchy/hierarchy.o
[ 63%] Building passes/hierarchy/singleton.o
[ 63%] Building passes/hierarchy/submod.o
[ 64%] Building passes/equiv/equiv_make.o
[ 64%] Building passes/equiv/equiv_miter.o
[ 65%] Building passes/equiv/equiv_simple.o
[ 65%] Building passes/equiv/equiv_status.o
[ 66%] Building passes/equiv/equiv_add.o
[ 66%] Building passes/equiv/equiv_remove.o
[ 67%] Building passes/equiv/equiv_induct.o
[ 68%] Building passes/equiv/equiv_struct.o
[ 68%] Building passes/equiv/equiv_purge.o
[ 69%] Building passes/equiv/equiv_mark.o
[ 69%] Building passes/fsm/fsm.o
[ 70%] Building passes/fsm/fsm_detect.o
[ 70%] Building passes/fsm/fsm_extract.o
[ 71%] Building passes/fsm/fsm_opt.o
[ 71%] Building passes/fsm/fsm_expand.o
[ 72%] Building passes/fsm/fsm_recode.o
[ 72%] Building passes/fsm/fsm_info.o
[ 73%] Building passes/fsm/fsm_export.o
[ 73%] Building passes/fsm/fsm_map.o
[ 74%] Building passes/proc/proc.o
[ 75%] Building passes/proc/proc_clean.o
[ 75%] Building passes/proc/proc_rmdead.o
[ 76%] Building passes/proc/proc_init.o
[ 76%] Building passes/proc/proc_arst.o
[ 77%] Building passes/proc/proc_mux.o
[ 77%] Building passes/proc/proc_dlatch.o
[ 78%] Building passes/proc/proc_dff.o
[ 78%] Building backends/edif/edif.o
[ 79%] Building backends/smt2/smt2.o
[ 79%] Building backends/btor/btor.o
[ 80%] Building backends/intersynth/intersynth.o
[ 80%] Building backends/ilang/ilang_backend.o
[ 81%] Building backends/json/json.o
[ 81%] Building backends/verilog/verilog_backend.o
[ 82%] Building backends/smv/smv.o
[ 83%] Building backends/blif/blif.o
[ 83%] Building backends/spice/spice.o
[ 84%] Building techlibs/xilinx/synth_xilinx.o
[ 84%] Building techlibs/common/synth.o
[ 85%] Building techlibs/common/prep.o
[ 85%] Building techlibs/ice40/synth_ice40.o
[ 86%] Building techlibs/ice40/ice40_ffssr.o
[ 86%] Building techlibs/ice40/ice40_ffinit.o
[ 87%] Building techlibs/ice40/ice40_opt.o
[ 87%] Building techlibs/greenpak4/synth_greenpak4.o
[ 88%] Building techlibs/greenpak4/greenpak4_counters.o
[ 88%] Building techlibs/greenpak4/greenpak4_dffinv.o
[ 89%] Building techlibs/gowin/synth_gowin.o
[ 99%] Building yosys-config
[ 99%] Building passes/techmap/filterlib.o
[ 99%] Building yosys-smtbmc
[ 99%] Building share/include/kernel/yosys.h
[ 99%] Building share/include/kernel/hashlib.h
[ 99%] Building share/include/kernel/log.h
[ 99%] Building share/include/kernel/rtlil.h
[ 99%] Building share/include/kernel/register.h
[ 99%] Building share/include/kernel/celltypes.h
[ 99%] Building share/include/kernel/celledges.h
[ 99%] Building share/include/kernel/consteval.h
[ 99%] Building share/include/kernel/sigtools.h
[ 99%] Building share/include/kernel/modtools.h
[ 99%] Building share/include/kernel/macc.h
[ 99%] Building share/include/kernel/utils.h
[ 99%] Building share/include/kernel/satgen.h
[ 99%] Building share/include/libs/ezsat/ezsat.h
[ 99%] Building share/include/libs/ezsat/ezminisat.h
[ 99%] Building share/include/libs/sha1/sha1.h
[ 99%] Building share/include/passes/fsm/fsmdata.h
[ 99%] Building share/include/frontends/ast/ast.h
[ 99%] Building share/include/backends/ilang/ilang_backend.h
[ 99%] Building share/python3/smtio.py
[ 99%] Building share/xilinx/cells_map.v
[ 99%] Building share/xilinx/cells_sim.v
[ 99%] Building share/xilinx/cells_xtra.v
[ 99%] Building share/xilinx/brams.txt
[ 99%] Building share/xilinx/brams_map.v
[ 99%] Building share/xilinx/brams_bb.v
[ 99%] Building share/xilinx/drams.txt
[ 99%] Building share/xilinx/drams_map.v
[ 99%] Building share/xilinx/drams_bb.v
[ 99%] Building share/xilinx/arith_map.v
[ 99%] Building techlibs/xilinx/brams_init.mk
[ 99%] Building share/simlib.v
[ 99%] Building share/simcells.v
[ 99%] Building share/techmap.v
[ 99%] Building share/pmux2mux.v
[ 99%] Building share/adff2dff.v
[ 99%] Building share/cells.lib
[ 99%] Building share/ice40/arith_map.v
[ 99%] Building share/ice40/cells_map.v
[ 99%] Building share/ice40/cells_sim.v
[ 99%] Building share/ice40/latches_map.v
[ 99%] Building share/ice40/brams.txt
[ 99%] Building share/ice40/brams_map.v
[ 99%] Building techlibs/ice40/brams_init.mk
[ 99%] Building share/greenpak4/cells_map.v
[ 99%] Building share/greenpak4/cells_sim.v
[ 99%] Building share/greenpak4/gp_dff.lib
[ 99%] Building share/gowin/cells_map.v
[ 99%] Building share/gowin/cells_sim.v
[ 99%] Building kernel/version_61f6811.o
[ 99%] Building kernel/register.o
[ 99%] Building frontends/ilang/ilang_parser.tab.o
[ 99%] Building frontends/ilang/ilang_lexer.o
[ 99%] Building frontends/verilog/verilog_parser.tab.o
[ 99%] Building frontends/verilog/verilog_lexer.o
[ 99%] Building passes/techmap/techmap.o
[ 99%] Building yosys-filterlib
[ 99%] Building share/xilinx/brams_init_36.vh
[ 99%] Building share/xilinx/brams_init_32.vh
[ 99%] Building share/xilinx/brams_init_18.vh
[ 99%] Building share/xilinx/brams_init_16.vh
[ 99%] Building share/ice40/brams_init1.vh
[ 99%] Building share/ice40/brams_init2.vh
[ 99%] Building share/ice40/brams_init3.vh
[100%] Building yosys

  Build successful.

make[2]: Leaving directory '/<<PKGBUILDDIR>>'
make[1]: Leaving directory '/<<PKGBUILDDIR>>'
   dh_auto_test -a -O--parallel
	make -j4 test
make[1]: Entering directory '/<<PKGBUILDDIR>>'
[Makefile.conf] CONFIG := gcc
cd tests/simple && bash run-test.sh ""
make[2]: Entering directory '/<<PKGBUILDDIR>>/tests/simple'
Test: always01 -> ok
Test: always02 -> ok
Test: always03 -> ok
Test: aes_kexp128 -> ok
Test: arrays01 -> ok
Test: carryadd -> ok
Test: arraycells -> ok
Test: constpower -> ok
Test: fiedler-cooley -> ok
Test: forgen01 -> ok
Test: forgen02 -> ok
Test: constmuldivmod -> ok
Test: fsm -> ok
Test: hierarchy -> ok
Test: graphtest -> ok
Test: dff_different_styles -> ok
Test: generate -> ok
Test: loops -> ok
Test: macros -> ok
Test: i2c_master_tests -> ok
Test: mem_arst -> ok
Test: multiplier -> ok
Test: mem2reg -> ok
Test: omsp_dbg_uart -> ok
Test: muxtree -> ok
Test: paramods -> ok
Test: process -> ok
Test: realexpr -> ok
Test: partsel -> ok
Test: repwhile -> ok
Test: scopes -> ok
Test: signedexpr -> ok
Test: operators -> ok
Test: sincos -> ok
Test: subbytes -> ok
Test: undef_eqx_nex -> ok
Test: usb_phy_tests -> ok
Test: task_func -> ok
Test: rotate -> ok
Test: values -> ok
Test: wreduce -> ok
Test: vloghammer -> ok
Test: memory -> ok
make[2]: Leaving directory '/<<PKGBUILDDIR>>/tests/simple'
cd tests/hana && bash run-test.sh ""
make[2]: Entering directory '/<<PKGBUILDDIR>>/tests/hana'
Test: test_parse2synthtrans -> ok
Test: test_parser -> ok
Test: test_simulation_buffer -> ok
Test: test_simulation_and -> ok
Test: test_simulation_always -> ok
Test: test_simulation_inc -> ok
Test: test_simulation_nand -> ok
Test: test_simulation_mux -> ok
Test: test_simulation_nor -> ok
Test: test_simulation_seq -> ok
Test: test_simulation_or -> ok
Test: test_simulation_decoder -> ok
Test: test_intermout -> ok
Test: test_simulation_sop -> ok
Test: test_simulation_vlib -> ok
Test: test_simulation_xnor -> ok
Test: test_simulation_techmap -> ok
Test: test_simulation_xor -> ok
Test: test_simulation_shifter -> ok
Test: test_simulation_techmap_tech -> ok
make[2]: Leaving directory '/<<PKGBUILDDIR>>/tests/hana'
cd tests/asicworld && bash run-test.sh ""
make[2]: Entering directory '/<<PKGBUILDDIR>>/tests/asicworld'
Test: code_hdl_models_clk_div -> ok
Test: code_hdl_models_GrayCounter -> ok
Test: code_hdl_models_arbiter -> ok
Test: code_hdl_models_d_ff_gates -> ok
Test: code_hdl_models_d_latch_gates -> ok
Test: code_hdl_models_decoder_2to4_gates -> ok
Test: code_hdl_models_clk_div_45 -> ok
Test: code_hdl_models_decoder_using_assign -> ok
Test: code_hdl_models_dff_async_reset -> ok
Test: code_hdl_models_encoder_4to2_gates -> ok
Test: code_hdl_models_decoder_using_case -> ok
Test: code_hdl_models_dff_sync_reset -> ok
Test: code_hdl_models_full_adder_gates -> ok
Test: code_hdl_models_encoder_using_case -> ok
Test: code_hdl_models_encoder_using_if -> ok
Test: code_hdl_models_full_subtracter_gates -> ok
Test: code_hdl_models_half_adder_gates -> ok
Test: code_hdl_models_gray_counter -> ok
Test: code_hdl_models_lfsr -> ok
Test: code_hdl_models_misc1 -> ok
Test: code_hdl_models_mux21_switch -> ok
Test: code_hdl_models_lfsr_updown -> ok
Test: code_hdl_models_mux_2to1_gates -> ok
Test: code_hdl_models_mux_using_assign -> ok
Test: code_hdl_models_mux_using_case -> ok
Test: code_hdl_models_nand_switch -> ok
Test: code_hdl_models_mux_using_if -> ok
Test: code_hdl_models_one_hot_cnt -> ok
Test: code_hdl_models_parity_using_assign -> ok
Test: code_hdl_models_parity_using_bitwise -> ok
Test: code_hdl_models_parallel_crc -> ok
Test: code_hdl_models_parity_using_function -> ok
Test: code_hdl_models_pri_encoder_using_assign -> ok
Test: code_hdl_models_rom_using_case -> ok
Test: code_hdl_models_t_gate_switch -> ok
Test: code_hdl_models_serial_crc -> ok
Test: code_hdl_models_tff_async_reset -> ok
Test: code_hdl_models_tff_sync_reset -> ok
Test: code_hdl_models_up_counter -> ok
Test: code_hdl_models_up_counter_load -> ok
Test: code_hdl_models_up_down_counter -> ok
Test: code_hdl_models_uart -> ok
Test: code_tidbits_asyn_reset -> ok
Test: code_specman_switch_fabric -> ok
Test: code_tidbits_blocking -> ok
Test: code_tidbits_fsm_using_always -> ok
Test: code_tidbits_fsm_using_function -> ok
Test: code_tidbits_fsm_using_single_always -> ok
Test: code_tidbits_nonblocking -> ok
Test: code_tidbits_reg_seq_example -> ok
Test: code_tidbits_reg_combo_example -> ok
Test: code_tidbits_syn_reset -> ok
Test: code_tidbits_wire_example -> ok
Test: code_verilog_tutorial_always_example -> ok
Test: code_verilog_tutorial_addbit -> ok
Test: code_verilog_tutorial_bus_con -> ok
Test: code_verilog_tutorial_comment -> ok
Test: code_verilog_tutorial_counter -> ok
Test: code_verilog_tutorial_d_ff -> ok
Test: code_verilog_tutorial_escape_id -> ok
Test: code_verilog_tutorial_decoder -> ok
Test: code_verilog_tutorial_decoder_always -> ok
Test: code_verilog_tutorial_first_counter -> ok
Test: code_verilog_tutorial_explicit -> ok
Test: code_verilog_tutorial_flip_flop -> ok
Test: code_verilog_tutorial_if_else -> ok
Test: code_verilog_tutorial_good_code -> ok
Test: code_verilog_tutorial_fsm_full -> ok
Test: code_verilog_tutorial_multiply -> ok
Test: code_verilog_tutorial_n_out_primitive -> ok
Test: code_verilog_tutorial_parallel_if -> ok
Test: code_verilog_tutorial_mux_21 -> ok
Test: code_verilog_tutorial_simple_function -> ok
Test: code_verilog_tutorial_simple_if -> ok
Test: code_verilog_tutorial_parity -> ok
Test: code_verilog_tutorial_task_global -> ok
Test: code_verilog_tutorial_v2k_reg -> ok
Test: code_verilog_tutorial_which_clock -> ok
Test: code_verilog_tutorial_tri_buf -> ok
Test: code_hdl_models_cam -> ok
make[2]: Leaving directory '/<<PKGBUILDDIR>>/tests/asicworld'
cd tests/realmath && bash run-test.sh ""
generating tests..
running tests..
[0][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][19][20][21][22][23][24][25][26][27][28][29][30][31][32][33][34][35][36][37][38][39][40][41][42][43][44][45][46][47][48][49][50][51][52][53][54][55][56][57][58][59][60][61][62][63][64][65][66][67][68][69][70][71][72][73][74][75][76][77][78][79][80][81][82][83][84][85][86][87][88][89][90][91][92][93][94][95][96][97][98][99]
cd tests/share && bash run-test.sh ""
generating tests..
running tests..
[0][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][19][20][21][22][23][24][25][26][27][28][29][30][31][32][33][34][35][36][37][38][39][40][41][42][43][44][45][46][47][48][49][50][51][52][53][54][55][56][57][58][59][60][61][62][63][64][65][66][67][68][69][70][71][72][73][74][75][76][77][78][79][80][81][82][83][84][85][86][87][88][89][90][91][92][93][94][95][96][97][98][99]
cd tests/fsm && bash run-test.sh ""
generating tests..
running tests..
make[2]: Entering directory '/<<PKGBUILDDIR>>/tests/fsm'
[1][0][3][2]K[4]K[5]K[6]K[7]K[8]K[9]K[10]K[11]K[12]K[13]K[14]K[15]K[16]K[17]K[18]K[19]K[20]K[21]K[22]K[23]K[24]K[25]K[26]K[27]K[28]K[29]K[30]K[31]K[32]K[33]K[34]K[35]K[36]K[37]K[38]K[39]K[40]K[41]K[42]K[43]K[44]K[45]K[46]K[47]K[48]K[49]K[50]K[51]K[52]K[53]K[54]K[55]K[56]K[57]K[58]K[59]K[60]K[61]K[62]K[63]K[64]K[65]K[66]K[67]K[68]K[69]K[70]K[71]K[72]K[73]K[74]K[75]K[76]K[77]T[78]K[79]K[80]K[81]K[82]K[83]K[84]K[85]K[86]K[87]T[88]K[89]K[90]K[91]K[92]K[93]K[94]K[95]K[96]K[97]K[98]K[99]KKKK
make[2]: Leaving directory '/<<PKGBUILDDIR>>/tests/fsm'
cd tests/techmap && bash run-test.sh
Running mem_simple_4x1_runtest.sh..
cd tests/memories && bash run-test.sh ""
Test: amber23_sram_byte_en -> ok
Test: implicit_en -> ok
Test: no_implicit_en -> ok
Test: shared_ports -> ok
Test: simple_sram_byte_en -> ok
Testing expectations for amber23_sram_byte_en.v .. ok.
Testing expectations for implicit_en.v .. ok.
Testing expectations for no_implicit_en.v .. ok.
Testing expectations for shared_ports.v .. ok.
Testing expectations for simple_sram_byte_en.v .. ok.
cd tests/bram && bash run-test.sh ""
generating tests..
PRNG seed: 884634
running tests..
make[2]: Entering directory '/<<PKGBUILDDIR>>/tests/bram'
Passed memory_bram test 00_01.
Passed memory_bram test 00_03.
Passed memory_bram test 00_02.
Passed memory_bram test 01_03.
Passed memory_bram test 00_04.
Passed memory_bram test 01_00.
Passed memory_bram test 02_00.
Passed memory_bram test 02_01.
Passed memory_bram test 01_02.
Passed memory_bram test 03_00.
Passed memory_bram test 02_03.
Passed memory_bram test 03_01.
Passed memory_bram test 03_02.
Passed memory_bram test 03_04.
Passed memory_bram test 04_00.
Passed memory_bram test 04_01.
Passed memory_bram test 04_02.
Passed memory_bram test 04_03.
Passed memory_bram test 02_04.
Passed memory_bram test 01_04.
make[2]: Leaving directory '/<<PKGBUILDDIR>>/tests/bram'
cd tests/various && bash run-test.sh
Running constmsk_test.ys..
Warning: Range select out of bounds on signal `\tmp' at constmsk_testmap.v:45: Setting 1 MSB bits to undef.
Running muxcover.ys..
Warning: Yosys has only limited support for tri-state logic at the moment. (/<<PKGBUILDDIR>>/share/simcells.v:399)
Running submod_extract.ys..
cd tests/sat && bash run-test.sh
Running asserts.ys..
Running asserts_seq.ys..
Running counters.ys..
Running expose_dff.ys..
Running initval.ys..
Warning: ignoring initial value on non-register: \bar [1:0]
Running share.ys..
Running splice.ys..

  Passed "make test".

make[1]: Leaving directory '/<<PKGBUILDDIR>>'
   create-stamp debian/debhelper-build-stamp
 fakeroot debian/rules binary-arch
PREFIX=/usr dh binary-arch --parallel --with=python3
   create-stamp debian/debhelper-build-stamp
   dh_testroot -a -O--parallel
   dh_prep -a -O--parallel
   dh_auto_install -a -O--parallel
	make -j4 install DESTDIR=/<<PKGBUILDDIR>>/debian/tmp AM_UPDATE_INFO_DIR=no
make[1]: Entering directory '/<<PKGBUILDDIR>>'
[Makefile.conf] CONFIG := gcc
mkdir -p /<<PKGBUILDDIR>>/debian/tmp/usr/bin
install yosys yosys-config yosys-filterlib yosys-smtbmc /<<PKGBUILDDIR>>/debian/tmp/usr/bin
mkdir -p /<<PKGBUILDDIR>>/debian/tmp/usr/share/yosys
cp -r share/. /<<PKGBUILDDIR>>/debian/tmp/usr/share/yosys/.
make[1]: Leaving directory '/<<PKGBUILDDIR>>'
   debian/rules override_dh_install
make[1]: Entering directory '/<<PKGBUILDDIR>>'
dh_install --fail-missing
make[1]: Leaving directory '/<<PKGBUILDDIR>>'
   dh_installdocs -a -O--parallel
   dh_installchangelogs -a -O--parallel
   debian/rules override_dh_installman
make[1]: Entering directory '/<<PKGBUILDDIR>>'
cd debian/man ; CHANGELOG_DATE="10 February 2019" ./genmanpages.sh
dh_installman
make[1]: Leaving directory '/<<PKGBUILDDIR>>'
   dh_python3 -a -O--parallel
I: dh_python3 tools:100: replacing shebang in debian/yosys/usr/bin/yosys-smtbmc
   dh_perl -a -O--parallel
   dh_link -a -O--parallel
   dh_strip_nondeterminism -a -O--parallel
   debian/rules override_dh_compress
make[1]: Entering directory '/<<PKGBUILDDIR>>'
dh_compress --exclude=.pdf
make[1]: Leaving directory '/<<PKGBUILDDIR>>'
   dh_fixperms -a -O--parallel
   dh_strip -a -O--parallel
   dh_makeshlibs -a -O--parallel
   dh_shlibdeps -a -O--parallel
dpkg-shlibdeps: warning: debian/yosys/usr/bin/yosys-filterlib contains an unresolvable reference to symbol __aeabi_atexit@CXXABI_ARM_1.3.3: it's probably a plugin
dpkg-shlibdeps: warning: debian/yosys/usr/bin/yosys contains an unresolvable reference to symbol __aeabi_atexit@CXXABI_ARM_1.3.3: it's probably a plugin
   dh_installdeb -a -O--parallel
   dh_gencontrol -a -O--parallel
dpkg-gencontrol: warning: Depends field of package yosys-dev: unknown substitution variable ${shlibs:Depends}
dpkg-gencontrol: warning: Depends field of package yosys-dev: unknown substitution variable ${python3:Depends}
   dh_md5sums -a -O--parallel
   dh_builddeb -a -O--parallel
dpkg-deb: building package 'yosys-dev' in '../yosys-dev_0.7-2+deb9u1_armhf.deb'.
dpkg-deb: building package 'yosys-dbgsym' in '../yosys-dbgsym_0.7-2+deb9u1_armhf.deb'.
dpkg-deb: building package 'yosys' in '../yosys_0.7-2+deb9u1_armhf.deb'.
 dpkg-genbuildinfo --build=any
 dpkg-genchanges --build=any -mRaspbian mythic lxc autobuilder 1 <root@raspbian.org> >../yosys_0.7-2+deb9u1_armhf.changes
dpkg-genchanges: info: binary-only arch-specific upload (source code and arch-indep packages not included)
 dpkg-source --after-build yosys-0.7
dpkg-buildpackage: info: binary-only upload (no source included)
--------------------------------------------------------------------------------
Build finished at 2019-02-16T18:31:46Z

Finished
--------

I: Built successfully

+------------------------------------------------------------------------------+
| Post Build Chroot                                                            |
+------------------------------------------------------------------------------+


+------------------------------------------------------------------------------+
| Changes                                                                      |
+------------------------------------------------------------------------------+


yosys_0.7-2+deb9u1_armhf.changes:
---------------------------------

Format: 1.8
Date: Sun, 10 Feb 2019 03:33:31 +0100
Source: yosys
Binary: yosys yosys-dev yosys-doc
Architecture: armhf
Version: 0.7-2+deb9u1
Distribution: stretch-staging
Urgency: medium
Maintainer: Raspbian mythic lxc autobuilder 1 <root@raspbian.org>
Changed-By: Andreas Beckmann <anbe@debian.org>
Description:
 yosys      - Framework for Verilog RTL synthesis
 yosys-dev  - Framework for Verilog RTL synthesis (development files)
 yosys-doc  - Documentation for Yosys
Closes: 904752
Changes:
 yosys (0.7-2+deb9u1) stretch; urgency=medium
 .
   [ Andreas Beckmann ]
   * Non-maintainer upload.
   * Backport the patch fixing the search path from 0.7-5.
 .
   [ Ruben Undheim ]
   * debian/patches/0010-Fix-adding-of-sys.path-in-yosys-smtbmc.patch
     - Fix "ModuleNotFoundError: No module named 'smtio'" (Closes: #904752)
   * debian/tests/smtbc:
     - Added CI test to check that 'yosys-smtbmc' can be started with no
       import errors
Checksums-Sha1:
 f12860153b2e1e572fcbb8b85042e1365dee6d58 36269132 yosys-dbgsym_0.7-2+deb9u1_armhf.deb
 24a0a75d33e5bb397301b2adba8bb808c785d692 54708 yosys-dev_0.7-2+deb9u1_armhf.deb
 3b2850c63435fec3ebc9d5e932a9ae27b000e70b 5743 yosys_0.7-2+deb9u1_armhf.buildinfo
 8081dde788f6ec35ad0c51fcc78fda955a7e386e 1407312 yosys_0.7-2+deb9u1_armhf.deb
Checksums-Sha256:
 f8ff7960b5e2c0ceee85b5a29ff298656e0a70e6e6489456442f606481a3b813 36269132 yosys-dbgsym_0.7-2+deb9u1_armhf.deb
 75661ee0b2e157bf8296cc8ae36de521dfa7df6bc19d67ce68fe1759cccb5fda 54708 yosys-dev_0.7-2+deb9u1_armhf.deb
 62cfec5226f3e5e27dae35931c8f14c48dac4202348921532482508be2535b18 5743 yosys_0.7-2+deb9u1_armhf.buildinfo
 b3f75f1e6bd7904b750b0ccdefd497bdd41080ef7241d292ffc3de625d60e6ca 1407312 yosys_0.7-2+deb9u1_armhf.deb
Files:
 45339224d29b475c40384f54ae502547 36269132 debug extra yosys-dbgsym_0.7-2+deb9u1_armhf.deb
 235317c13f43c008c003c065f5bf2b64 54708 electronics optional yosys-dev_0.7-2+deb9u1_armhf.deb
 eee049b834677691c1bb9ce3771bad2d 5743 electronics optional yosys_0.7-2+deb9u1_armhf.buildinfo
 b99e7adaf21e3bbb63da0b7aecd9e727 1407312 electronics optional yosys_0.7-2+deb9u1_armhf.deb

+------------------------------------------------------------------------------+
| Package contents                                                             |
+------------------------------------------------------------------------------+


yosys-dbgsym_0.7-2+deb9u1_armhf.deb
-----------------------------------

 new debian package, version 2.0.
 size 36269132 bytes: control archive=543 bytes.
     458 bytes,    13 lines      control              
     212 bytes,     2 lines      md5sums              
 Package: yosys-dbgsym
 Source: yosys
 Version: 0.7-2+deb9u1
 Auto-Built-Package: debug-symbols
 Architecture: armhf
 Maintainer: Debian Science Maintainers <debian-science-maintainers@lists.alioth.debian.org>
 Installed-Size: 36466
 Depends: yosys (= 0.7-2+deb9u1)
 Section: debug
 Priority: extra
 Homepage: http://www.clifford.at/yosys
 Description: Debug symbols for yosys
 Build-Ids: c00637b6e948d7f56eead0b539dfeaed0aaceeb8 c1a2da6e6654e402cfae26256e5cbff028d9a77a

drwxr-xr-x root/root         0 2019-02-10 02:33 ./
drwxr-xr-x root/root         0 2019-02-10 02:33 ./usr/
drwxr-xr-x root/root         0 2019-02-10 02:33 ./usr/lib/
drwxr-xr-x root/root         0 2019-02-10 02:33 ./usr/lib/debug/
drwxr-xr-x root/root         0 2019-02-10 02:33 ./usr/lib/debug/.build-id/
drwxr-xr-x root/root         0 2019-02-10 02:33 ./usr/lib/debug/.build-id/c0/
-rw-r--r-- root/root     92828 2019-02-10 02:33 ./usr/lib/debug/.build-id/c0/0637b6e948d7f56eead0b539dfeaed0aaceeb8.debug
drwxr-xr-x root/root         0 2019-02-10 02:33 ./usr/lib/debug/.build-id/c1/
-rw-r--r-- root/root  37235980 2019-02-10 02:33 ./usr/lib/debug/.build-id/c1/a2da6e6654e402cfae26256e5cbff028d9a77a.debug
drwxr-xr-x root/root         0 2019-02-10 02:33 ./usr/share/
drwxr-xr-x root/root         0 2019-02-10 02:33 ./usr/share/doc/
lrwxrwxrwx root/root         0 2019-02-10 02:33 ./usr/share/doc/yosys-dbgsym -> yosys


yosys-dev_0.7-2+deb9u1_armhf.deb
--------------------------------

 new debian package, version 2.0.
 size 54708 bytes: control archive=1383 bytes.
     801 bytes,    19 lines      control              
    1792 bytes,    24 lines      md5sums              
 Package: yosys-dev
 Source: yosys
 Version: 0.7-2+deb9u1
 Architecture: armhf
 Maintainer: Debian Science Maintainers <debian-science-maintainers@lists.alioth.debian.org>
 Installed-Size: 299
 Section: electronics
 Priority: optional
 Homepage: http://www.clifford.at/yosys
 Description: Framework for Verilog RTL synthesis (development files)
  Yosys is a framework for Verilog RTL synthesis. It currently has extensive
  Verilog-2005 support and provides a basic set of synthesis algorithms for
  various application domains.
  .
  Yosys can be adapted to perform any synthesis job by combining the existing
  passes (algorithms) using synthesis scripts and adding additional passes as
  needed by extending the yosys C++ code base.
  .
  This package contains the headers and programs needed to build yosys plugins.

drwxr-xr-x root/root         0 2019-02-10 02:33 ./
drwxr-xr-x root/root         0 2019-02-10 02:33 ./usr/
drwxr-xr-x root/root         0 2019-02-10 02:33 ./usr/bin/
-rwxr-xr-x root/root      3464 2019-02-10 02:33 ./usr/bin/yosys-config
drwxr-xr-x root/root         0 2019-02-10 02:33 ./usr/share/
drwxr-xr-x root/root         0 2019-02-10 02:33 ./usr/share/doc/
drwxr-xr-x root/root         0 2019-02-10 02:33 ./usr/share/doc/yosys-dev/
-rw-r--r-- root/root      2263 2019-02-10 02:33 ./usr/share/doc/yosys-dev/changelog.Debian.gz
-rw-r--r-- root/root      6697 2016-11-03 08:08 ./usr/share/doc/yosys-dev/changelog.gz
-rw-r--r-- root/root      5875 2016-11-06 09:29 ./usr/share/doc/yosys-dev/copyright
drwxr-xr-x root/root         0 2019-02-10 02:33 ./usr/share/man/
drwxr-xr-x root/root         0 2019-02-10 02:33 ./usr/share/man/man1/
-rw-r--r-- root/root       898 2019-02-10 02:33 ./usr/share/man/man1/yosys-config.1.gz
drwxr-xr-x root/root         0 2019-02-10 02:33 ./usr/share/yosys/
drwxr-xr-x root/root         0 2019-02-10 02:33 ./usr/share/yosys/include/
drwxr-xr-x root/root         0 2019-02-10 02:33 ./usr/share/yosys/include/backends/
drwxr-xr-x root/root         0 2019-02-10 02:33 ./usr/share/yosys/include/backends/ilang/
-rw-r--r-- root/root      2445 2019-02-10 02:33 ./usr/share/yosys/include/backends/ilang/ilang_backend.h
drwxr-xr-x root/root         0 2019-02-10 02:33 ./usr/share/yosys/include/frontends/
drwxr-xr-x root/root         0 2019-02-10 02:33 ./usr/share/yosys/include/frontends/ast/
-rw-r--r-- root/root     10442 2019-02-10 02:33 ./usr/share/yosys/include/frontends/ast/ast.h
drwxr-xr-x root/root         0 2019-02-10 02:33 ./usr/share/yosys/include/kernel/
-rw-r--r-- root/root      2218 2019-02-10 02:33 ./usr/share/yosys/include/kernel/celledges.h
-rw-r--r-- root/root     13910 2019-02-10 02:33 ./usr/share/yosys/include/kernel/celltypes.h
-rw-r--r-- root/root      9807 2019-02-10 02:33 ./usr/share/yosys/include/kernel/consteval.h
-rw-r--r-- root/root     24513 2019-02-10 02:33 ./usr/share/yosys/include/kernel/hashlib.h
-rw-r--r-- root/root      9868 2019-02-10 02:33 ./usr/share/yosys/include/kernel/log.h
-rw-r--r-- root/root      7011 2019-02-10 02:33 ./usr/share/yosys/include/kernel/macc.h
-rw-r--r-- root/root     14512 2019-02-10 02:33 ./usr/share/yosys/include/kernel/modtools.h
-rw-r--r-- root/root      5144 2019-02-10 02:33 ./usr/share/yosys/include/kernel/register.h
-rw-r--r-- root/root     54192 2019-02-10 02:33 ./usr/share/yosys/include/kernel/rtlil.h
-rw-r--r-- root/root     52891 2019-02-10 02:33 ./usr/share/yosys/include/kernel/satgen.h
-rw-r--r-- root/root      6625 2019-02-10 02:33 ./usr/share/yosys/include/kernel/sigtools.h
-rw-r--r-- root/root      5030 2019-02-10 02:33 ./usr/share/yosys/include/kernel/utils.h
-rw-r--r-- root/root      9298 2019-02-10 02:33 ./usr/share/yosys/include/kernel/yosys.h
drwxr-xr-x root/root         0 2019-02-10 02:33 ./usr/share/yosys/include/libs/
drwxr-xr-x root/root         0 2019-02-10 02:33 ./usr/share/yosys/include/libs/ezsat/
-rw-r--r-- root/root      2094 2019-02-10 02:33 ./usr/share/yosys/include/libs/ezsat/ezminisat.h
-rw-r--r-- root/root     14521 2019-02-10 02:33 ./usr/share/yosys/include/libs/ezsat/ezsat.h
drwxr-xr-x root/root         0 2019-02-10 02:33 ./usr/share/yosys/include/libs/sha1/
-rw-r--r-- root/root      1370 2019-02-10 02:33 ./usr/share/yosys/include/libs/sha1/sha1.h
drwxr-xr-x root/root         0 2019-02-10 02:33 ./usr/share/yosys/include/passes/
drwxr-xr-x root/root         0 2019-02-10 02:33 ./usr/share/yosys/include/passes/fsm/
-rw-r--r-- root/root      6737 2019-02-10 02:33 ./usr/share/yosys/include/passes/fsm/fsmdata.h


yosys_0.7-2+deb9u1_armhf.deb
----------------------------

 new debian package, version 2.0.
 size 1407312 bytes: control archive=2367 bytes.
     892 bytes,    17 lines      control              
    3158 bytes,    47 lines      md5sums              
     173 bytes,     9 lines   *  postinst             #!/bin/sh
     385 bytes,    12 lines   *  prerm                #!/bin/sh
 Package: yosys
 Version: 0.7-2+deb9u1
 Architecture: armhf
 Maintainer: Debian Science Maintainers <debian-science-maintainers@lists.alioth.debian.org>
 Installed-Size: 5072
 Depends: libc6 (>= 2.11), libffi6 (>= 3.0.4), libgcc1 (>= 1:3.5), libreadline7 (>= 6.0), libstdc++6 (>= 5.2), libtcl8.6 (>= 8.6.0), python3:any (>= 3.3.2-2~), berkeley-abc (>= 1.01+20161002hgeb6eca6+dfsg), xdot
 Section: electronics
 Priority: optional
 Homepage: http://www.clifford.at/yosys
 Description: Framework for Verilog RTL synthesis
  This is a framework for Verilog RTL synthesis. It currently has extensive
  Verilog-2005 support and provides a basic set of synthesis algorithms for
  various application domains.
  .
  Yosys can be adapted to perform any synthesis job by combining the existing
  passes (algorithms) using synthesis scripts and adding additional passes as
  needed by extending the yosys C++ code base.

drwxr-xr-x root/root         0 2019-02-10 02:33 ./
drwxr-xr-x root/root         0 2019-02-10 02:33 ./usr/
drwxr-xr-x root/root         0 2019-02-10 02:33 ./usr/bin/
-rwxr-xr-x root/root   4357712 2019-02-10 02:33 ./usr/bin/yosys
lrwxrwxrwx root/root         0 2019-02-10 02:33 ./usr/bin/yosys-abc -> berkeley-abc
-rwxr-xr-x root/root     30472 2019-02-10 02:33 ./usr/bin/yosys-filterlib
-rwxr-xr-x root/root     24947 2019-02-10 02:33 ./usr/bin/yosys-smtbmc
drwxr-xr-x root/root         0 2019-02-10 02:33 ./usr/share/
drwxr-xr-x root/root         0 2019-02-10 02:33 ./usr/share/doc/
drwxr-xr-x root/root         0 2019-02-10 02:33 ./usr/share/doc/yosys/
-rw-r--r-- root/root      6214 2016-11-03 08:08 ./usr/share/doc/yosys/README.gz
-rw-r--r-- root/root      2263 2019-02-10 02:33 ./usr/share/doc/yosys/changelog.Debian.gz
-rw-r--r-- root/root      6697 2016-11-03 08:08 ./usr/share/doc/yosys/changelog.gz
-rw-r--r-- root/root      5875 2016-11-06 09:29 ./usr/share/doc/yosys/copyright
drwxr-xr-x root/root         0 2019-02-10 02:33 ./usr/share/man/
drwxr-xr-x root/root         0 2019-02-10 02:33 ./usr/share/man/man1/
-rw-r--r-- root/root       560 2019-02-10 02:33 ./usr/share/man/man1/yosys-abc.1.gz
-rw-r--r-- root/root       963 2019-02-10 02:33 ./usr/share/man/man1/yosys-filterlib.1.gz
-rw-r--r-- root/root       655 2019-02-10 02:33 ./usr/share/man/man1/yosys-smtbmc.1.gz
-rw-r--r-- root/root      1674 2019-02-10 02:33 ./usr/share/man/man1/yosys.1.gz
drwxr-xr-x root/root         0 2019-02-10 02:33 ./usr/share/python3/
drwxr-xr-x root/root         0 2019-02-10 02:33 ./usr/share/python3/runtime.d/
-rwxr-xr-x root/root       125 2019-02-10 02:33 ./usr/share/python3/runtime.d/yosys.rtupdate
drwxr-xr-x root/root         0 2019-02-10 02:33 ./usr/share/yosys/
-rw-r--r-- root/root       520 2019-02-10 02:33 ./usr/share/yosys/adff2dff.v
-rw-r--r-- root/root      2432 2019-02-10 02:33 ./usr/share/yosys/cells.lib
drwxr-xr-x root/root         0 2019-02-10 02:33 ./usr/share/yosys/gowin/
-rw-r--r-- root/root       889 2019-02-10 02:33 ./usr/share/yosys/gowin/cells_map.v
-rw-r--r-- root/root      1143 2019-02-10 02:33 ./usr/share/yosys/gowin/cells_sim.v
drwxr-xr-x root/root         0 2019-02-10 02:33 ./usr/share/yosys/greenpak4/
-rw-r--r-- root/root      1805 2019-02-10 02:33 ./usr/share/yosys/greenpak4/cells_map.v
-rw-r--r-- root/root      9210 2019-02-10 02:33 ./usr/share/yosys/greenpak4/cells_sim.v
-rw-r--r-- root/root       998 2019-02-10 02:33 ./usr/share/yosys/greenpak4/gp_dff.lib
drwxr-xr-x root/root         0 2019-02-10 02:33 ./usr/share/yosys/ice40/
-rw-r--r-- root/root      2046 2019-02-10 02:33 ./usr/share/yosys/ice40/arith_map.v
-rw-r--r-- root/root       534 2019-02-10 02:33 ./usr/share/yosys/ice40/brams.txt
-rw-r--r-- root/root     50688 2019-02-10 02:33 ./usr/share/yosys/ice40/brams_init1.vh
-rw-r--r-- root/root     50688 2019-02-10 02:33 ./usr/share/yosys/ice40/brams_init2.vh
-rw-r--r-- root/root     50688 2019-02-10 02:33 ./usr/share/yosys/ice40/brams_init3.vh
-rw-r--r-- root/root      7980 2019-02-10 02:33 ./usr/share/yosys/ice40/brams_map.v
-rw-r--r-- root/root      3377 2019-02-10 02:33 ./usr/share/yosys/ice40/cells_map.v
-rw-r--r-- root/root     26434 2019-02-10 02:33 ./usr/share/yosys/ice40/cells_sim.v
-rw-r--r-- root/root       258 2019-02-10 02:33 ./usr/share/yosys/ice40/latches_map.v
-rw-r--r-- root/root       342 2019-02-10 02:33 ./usr/share/yosys/pmux2mux.v
-rw-r--r-- root/root     30627 2019-02-10 02:33 ./usr/share/yosys/simcells.v
-rw-r--r-- root/root     36801 2019-02-10 02:33 ./usr/share/yosys/simlib.v
-rw-r--r-- root/root     24959 2019-02-10 02:33 ./usr/share/yosys/smtio.py
-rw-r--r-- root/root     11996 2019-02-10 02:33 ./usr/share/yosys/techmap.v
drwxr-xr-x root/root         0 2019-02-10 02:33 ./usr/share/yosys/xilinx/
-rw-r--r-- root/root      2327 2019-02-10 02:33 ./usr/share/yosys/xilinx/arith_map.v
-rw-r--r-- root/root      1674 2019-02-10 02:33 ./usr/share/yosys/xilinx/brams.txt
-rw-r--r-- root/root     22243 2019-02-10 02:33 ./usr/share/yosys/xilinx/brams_bb.v
-rw-r--r-- root/root      2048 2019-02-10 02:33 ./usr/share/yosys/xilinx/brams_init_16.vh
-rw-r--r-- root/root     81528 2019-02-10 02:33 ./usr/share/yosys/xilinx/brams_init_18.vh
-rw-r--r-- root/root      4096 2019-02-10 02:33 ./usr/share/yosys/xilinx/brams_init_32.vh
-rw-r--r-- root/root    165056 2019-02-10 02:33 ./usr/share/yosys/xilinx/brams_init_36.vh
-rw-r--r-- root/root      8255 2019-02-10 02:33 ./usr/share/yosys/xilinx/brams_map.v
-rw-r--r-- root/root      4580 2019-02-10 02:33 ./usr/share/yosys/xilinx/cells_map.v
-rw-r--r-- root/root      5265 2019-02-10 02:33 ./usr/share/yosys/xilinx/cells_sim.v
-rw-r--r-- root/root    101350 2019-02-10 02:33 ./usr/share/yosys/xilinx/cells_xtra.v
-rw-r--r-- root/root       423 2019-02-10 02:33 ./usr/share/yosys/xilinx/drams.txt
-rw-r--r-- root/root       382 2019-02-10 02:33 ./usr/share/yosys/xilinx/drams_bb.v
-rw-r--r-- root/root      1040 2019-02-10 02:33 ./usr/share/yosys/xilinx/drams_map.v


+------------------------------------------------------------------------------+
| Post Build                                                                   |
+------------------------------------------------------------------------------+


+------------------------------------------------------------------------------+
| Cleanup                                                                      |
+------------------------------------------------------------------------------+

Purging /<<BUILDDIR>>
Not cleaning session: cloned chroot in use

+------------------------------------------------------------------------------+
| Summary                                                                      |
+------------------------------------------------------------------------------+

Build Architecture: armhf
Build-Space: 782812
Build-Time: 2077
Distribution: stretch-staging
Host Architecture: armhf
Install-Time: 339
Job: yosys_0.7-2+deb9u1
Machine Architecture: armhf
Package: yosys
Package-Time: 2434
Source-Version: 0.7-2+deb9u1
Space: 782812
Status: successful
Version: 0.7-2+deb9u1
--------------------------------------------------------------------------------
Finished at 2019-02-16T18:31:46Z
Build needed 00:40:34, 782812k disk space