Raspbian Package Auto-Building

Build log for yosys (0.6-7) on armhf

yosys0.6-7armhf → 2016-09-28 12:40:27

sbuild (Debian sbuild) 0.66.0 (04 Oct 2015) on testwandboard

+==============================================================================+
| yosys 0.6-7 (armhf)                                        28 Sep 2016 11:56 |
+==============================================================================+

Package: yosys
Version: 0.6-7
Source Version: 0.6-7
Distribution: stretch-staging
Machine Architecture: armhf
Host Architecture: armhf
Build Architecture: armhf

I: NOTICE: Log filtering will replace 'build/yosys-jpZtGg/yosys-0.6' with '<<PKGBUILDDIR>>'
I: NOTICE: Log filtering will replace 'build/yosys-jpZtGg' with '<<BUILDDIR>>'
I: NOTICE: Log filtering will replace 'var/lib/schroot/mount/stretch-staging-armhf-sbuild-0a0fb173-c000-481a-94de-cdb0292b95eb' with '<<CHROOT>>'

+------------------------------------------------------------------------------+
| Update chroot                                                                |
+------------------------------------------------------------------------------+

Get:1 http://172.17.0.1/private stretch-staging InRelease [11.3 kB]
Get:2 http://172.17.0.1/private stretch-staging/main Sources [9312 kB]
Get:3 http://172.17.0.1/private stretch-staging/main armhf Packages [11.3 MB]
Fetched 20.6 MB in 26s (767 kB/s)
Reading package lists...
W: No sandbox user '_apt' on the system, can not drop privileges

+------------------------------------------------------------------------------+
| Fetch source files                                                           |
+------------------------------------------------------------------------------+


Check APT
---------

Checking available source versions...

Download source files with APT
------------------------------

Reading package lists...
NOTICE: 'yosys' packaging is maintained in the 'Git' version control system at:
https://anonscm.debian.org/git/debian-science/packages/yosys.git
Please use:
git clone https://anonscm.debian.org/git/debian-science/packages/yosys.git
to retrieve the latest (possibly unreleased) updates to the package.
Need to get 901 kB of source archives.
Get:1 http://172.17.0.1/private stretch-staging/main yosys 0.6-7 (dsc) [2418 B]
Get:2 http://172.17.0.1/private stretch-staging/main yosys 0.6-7 (tar) [885 kB]
Get:3 http://172.17.0.1/private stretch-staging/main yosys 0.6-7 (diff) [13.7 kB]
Fetched 901 kB in 0s (1491 kB/s)
Download complete and in download only mode

Check architectures
-------------------


Check dependencies
------------------

Merged Build-Depends: build-essential, fakeroot
Filtered Build-Depends: build-essential, fakeroot
dpkg-deb: building package 'sbuild-build-depends-core-dummy' in '/<<BUILDDIR>>/resolver-zo3iJt/apt_archive/sbuild-build-depends-core-dummy.deb'.
Warning: apt-key output should not be parsed (stdout is not a terminal)
OK
Get:1 file:/<<BUILDDIR>>/resolver-zo3iJt/apt_archive ./ InRelease
Ign:1 file:/<<BUILDDIR>>/resolver-zo3iJt/apt_archive ./ InRelease
Get:2 file:/<<BUILDDIR>>/resolver-zo3iJt/apt_archive ./ Release [2119 B]
Get:2 file:/<<BUILDDIR>>/resolver-zo3iJt/apt_archive ./ Release [2119 B]
Get:3 file:/<<BUILDDIR>>/resolver-zo3iJt/apt_archive ./ Release.gpg [299 B]
Get:3 file:/<<BUILDDIR>>/resolver-zo3iJt/apt_archive ./ Release.gpg [299 B]
Get:4 file:/<<BUILDDIR>>/resolver-zo3iJt/apt_archive ./ Sources [194 B]
Get:5 file:/<<BUILDDIR>>/resolver-zo3iJt/apt_archive ./ Packages [507 B]
Reading package lists...
W: No sandbox user '_apt' on the system, can not drop privileges
W: file:///<<BUILDDIR>>/resolver-zo3iJt/apt_archive/./Release.gpg: Signature by key 3493EC2B8E6DC280C121C60435506D9A48F77B2E uses weak digest algorithm (SHA1)
Reading package lists...

+------------------------------------------------------------------------------+
| Install core build dependencies (apt-based resolver)                         |
+------------------------------------------------------------------------------+

Installing build dependencies
Reading package lists...
Building dependency tree...
Reading state information...
The following NEW packages will be installed:
  sbuild-build-depends-core-dummy
0 upgraded, 1 newly installed, 0 to remove and 39 not upgraded.
Need to get 0 B/762 B of archives.
After this operation, 0 B of additional disk space will be used.
Get:1 file:/<<BUILDDIR>>/resolver-zo3iJt/apt_archive ./ sbuild-build-depends-core-dummy 0.invalid.0 [762 B]
debconf: delaying package configuration, since apt-utils is not installed
Selecting previously unselected package sbuild-build-depends-core-dummy.
(Reading database ... 
(Reading database ... 5%
(Reading database ... 10%
(Reading database ... 15%
(Reading database ... 20%
(Reading database ... 25%
(Reading database ... 30%
(Reading database ... 35%
(Reading database ... 40%
(Reading database ... 45%
(Reading database ... 50%
(Reading database ... 55%
(Reading database ... 60%
(Reading database ... 65%
(Reading database ... 70%
(Reading database ... 75%
(Reading database ... 80%
(Reading database ... 85%
(Reading database ... 90%
(Reading database ... 95%
(Reading database ... 100%
(Reading database ... 13951 files and directories currently installed.)
Preparing to unpack .../sbuild-build-depends-core-dummy.deb ...
Unpacking sbuild-build-depends-core-dummy (0.invalid.0) ...
Setting up sbuild-build-depends-core-dummy (0.invalid.0) ...
W: No sandbox user '_apt' on the system, can not drop privileges
Merged Build-Depends: debhelper (>= 9), tcl-dev, libreadline-dev, bison, flex, gawk, libffi-dev, pkg-config, txt2man, python3
Filtered Build-Depends: debhelper (>= 9), tcl-dev, libreadline-dev, bison, flex, gawk, libffi-dev, pkg-config, txt2man, python3
dpkg-deb: building package 'sbuild-build-depends-yosys-dummy' in '/<<BUILDDIR>>/resolver-kAQXna/apt_archive/sbuild-build-depends-yosys-dummy.deb'.
Warning: apt-key output should not be parsed (stdout is not a terminal)
OK
Get:1 file:/<<BUILDDIR>>/resolver-kAQXna/apt_archive ./ InRelease
Ign:1 file:/<<BUILDDIR>>/resolver-kAQXna/apt_archive ./ InRelease
Get:2 file:/<<BUILDDIR>>/resolver-kAQXna/apt_archive ./ Release [2119 B]
Get:2 file:/<<BUILDDIR>>/resolver-kAQXna/apt_archive ./ Release [2119 B]
Get:3 file:/<<BUILDDIR>>/resolver-kAQXna/apt_archive ./ Release.gpg [299 B]
Get:3 file:/<<BUILDDIR>>/resolver-kAQXna/apt_archive ./ Release.gpg [299 B]
Get:4 file:/<<BUILDDIR>>/resolver-kAQXna/apt_archive ./ Sources [242 B]
Get:5 file:/<<BUILDDIR>>/resolver-kAQXna/apt_archive ./ Packages [561 B]
Reading package lists...
W: No sandbox user '_apt' on the system, can not drop privileges
W: file:///<<BUILDDIR>>/resolver-kAQXna/apt_archive/./Release.gpg: Signature by key 3493EC2B8E6DC280C121C60435506D9A48F77B2E uses weak digest algorithm (SHA1)
Reading package lists...

+------------------------------------------------------------------------------+
| Install yosys build dependencies (apt-based resolver)                        |
+------------------------------------------------------------------------------+

Installing build dependencies
Reading package lists...
Building dependency tree...
Reading state information...
The following additional packages will be installed:
  autoconf automake autopoint autotools-dev bison bsdmainutils debhelper
  dh-autoreconf dh-python dh-strip-nondeterminism file flex gawk gettext
  gettext-base groff-base intltool-debian libarchive-zip-perl libbison-dev
  libbsd0 libcroco3 libexpat1 libffi-dev libffi6
  libfile-stripnondeterminism-perl libfl-dev libglib2.0-0 libicu57
  libmagic-mgc libmagic1 libmpdec2 libpipeline1 libpython3-stdlib
  libpython3.5-minimal libpython3.5-stdlib libreadline-dev libreadline6-dev
  libsigsegv2 libsqlite3-0 libssl1.0.2 libtcl8.6 libtimedate-perl libtinfo-dev
  libtool libunistring0 libxml2 m4 man-db mime-support pkg-config po-debconf
  python3 python3-minimal python3.5 python3.5-minimal tcl tcl-dev tcl8.6
  tcl8.6-dev txt2man zlib1g-dev
Suggested packages:
  autoconf-archive gnu-standards autoconf-doc bison-doc wamerican | wordlist
  whois vacation dh-make gawk-doc gettext-doc libasprintf-dev libgettextpo-dev
  groff readline-doc libtool-doc gfortran | fortran95-compiler gcj-jdk less
  www-browser libmail-box-perl python3-doc python3-tk python3-venv
  python3.5-venv python3.5-doc binfmt-support tcl-doc tcl-tclreadline
  tcl8.6-doc
Recommended packages:
  curl | wget | lynx-cur libglib2.0-data shared-mime-info xdg-user-dirs
  libltdl-dev xml-core libmail-sendmail-perl
The following NEW packages will be installed:
  autoconf automake autopoint autotools-dev bison bsdmainutils debhelper
  dh-autoreconf dh-python dh-strip-nondeterminism file flex gawk gettext
  gettext-base groff-base intltool-debian libarchive-zip-perl libbison-dev
  libbsd0 libcroco3 libexpat1 libffi-dev libffi6
  libfile-stripnondeterminism-perl libfl-dev libglib2.0-0 libicu57
  libmagic-mgc libmagic1 libmpdec2 libpipeline1 libpython3-stdlib
  libpython3.5-minimal libpython3.5-stdlib libreadline-dev libreadline6-dev
  libsigsegv2 libsqlite3-0 libssl1.0.2 libtcl8.6 libtimedate-perl libtinfo-dev
  libtool libunistring0 libxml2 m4 man-db mime-support pkg-config po-debconf
  python3 python3-minimal python3.5 python3.5-minimal
  sbuild-build-depends-yosys-dummy tcl tcl-dev tcl8.6 tcl8.6-dev txt2man
  zlib1g-dev
0 upgraded, 62 newly installed, 0 to remove and 39 not upgraded.
Need to get 29.4 MB/29.4 MB of archives.
After this operation, 106 MB of additional disk space will be used.
Get:1 file:/<<BUILDDIR>>/resolver-kAQXna/apt_archive ./ sbuild-build-depends-yosys-dummy 0.invalid.0 [820 B]
Get:2 http://172.17.0.1/private stretch-staging/main armhf groff-base armhf 1.22.3-8 [1087 kB]
Get:3 http://172.17.0.1/private stretch-staging/main armhf libbsd0 armhf 0.8.3-1 [89.0 kB]
Get:4 http://172.17.0.1/private stretch-staging/main armhf bsdmainutils armhf 9.0.10 [177 kB]
Get:5 http://172.17.0.1/private stretch-staging/main armhf libpipeline1 armhf 1.4.1-2 [23.7 kB]
Get:6 http://172.17.0.1/private stretch-staging/main armhf man-db armhf 2.7.5-1 [975 kB]
Get:7 http://172.17.0.1/private stretch-staging/main armhf libsigsegv2 armhf 2.10-5 [28.4 kB]
Get:8 http://172.17.0.1/private stretch-staging/main armhf m4 armhf 1.4.17-5 [239 kB]
Get:9 http://172.17.0.1/private stretch-staging/main armhf libfl-dev armhf 2.6.1-1 [92.4 kB]
Get:10 http://172.17.0.1/private stretch-staging/main armhf flex armhf 2.6.1-1 [413 kB]
Get:11 http://172.17.0.1/private stretch-staging/main armhf gawk armhf 1:4.1.3+dfsg-0.1 [509 kB]
Get:12 http://172.17.0.1/private stretch-staging/main armhf libssl1.0.2 armhf 1.0.2h-1 [889 kB]
Get:13 http://172.17.0.1/private stretch-staging/main armhf libpython3.5-minimal armhf 3.5.2-4 [560 kB]
Get:14 http://172.17.0.1/private stretch-staging/main armhf libexpat1 armhf 2.2.0-1 [61.7 kB]
Get:15 http://172.17.0.1/private stretch-staging/main armhf python3.5-minimal armhf 3.5.2-4 [1169 kB]
Get:16 http://172.17.0.1/private stretch-staging/main armhf python3-minimal armhf 3.5.1-4 [35.3 kB]
Get:17 http://172.17.0.1/private stretch-staging/main armhf mime-support all 3.60 [36.7 kB]
Get:18 http://172.17.0.1/private stretch-staging/main armhf libmpdec2 armhf 2.4.2-1 [67.5 kB]
Get:19 http://172.17.0.1/private stretch-staging/main armhf libsqlite3-0 armhf 3.14.2-1 [482 kB]
Get:20 http://172.17.0.1/private stretch-staging/main armhf libpython3.5-stdlib armhf 3.5.2-4 [2019 kB]
Get:21 http://172.17.0.1/private stretch-staging/main armhf python3.5 armhf 3.5.2-4 [216 kB]
Get:22 http://172.17.0.1/private stretch-staging/main armhf libpython3-stdlib armhf 3.5.1-4 [18.6 kB]
Get:23 http://172.17.0.1/private stretch-staging/main armhf dh-python all 2.20160818 [83.0 kB]
Get:24 http://172.17.0.1/private stretch-staging/main armhf python3 armhf 3.5.1-4 [21.7 kB]
Get:25 http://172.17.0.1/private stretch-staging/main armhf libmagic-mgc armhf 1:5.28-4 [210 kB]
Get:26 http://172.17.0.1/private stretch-staging/main armhf libmagic1 armhf 1:5.28-4 [104 kB]
Get:27 http://172.17.0.1/private stretch-staging/main armhf file armhf 1:5.28-4 [62.7 kB]
Get:28 http://172.17.0.1/private stretch-staging/main armhf gettext-base armhf 0.19.8.1-1 [117 kB]
Get:29 http://172.17.0.1/private stretch-staging/main armhf libicu57 armhf 57.1-4 [7407 kB]
Get:30 http://172.17.0.1/private stretch-staging/main armhf libxml2 armhf 2.9.4+dfsg1-2 [805 kB]
Get:31 http://172.17.0.1/private stretch-staging/main armhf autoconf all 2.69-10 [338 kB]
Get:32 http://172.17.0.1/private stretch-staging/main armhf autotools-dev all 20160430.1 [72.6 kB]
Get:33 http://172.17.0.1/private stretch-staging/main armhf automake all 1:1.15-4 [735 kB]
Get:34 http://172.17.0.1/private stretch-staging/main armhf autopoint all 0.19.8.1-1 [433 kB]
Get:35 http://172.17.0.1/private stretch-staging/main armhf libbison-dev armhf 2:3.0.4.dfsg-1 [433 kB]
Get:36 http://172.17.0.1/private stretch-staging/main armhf bison armhf 2:3.0.4.dfsg-1 [744 kB]
Get:37 http://172.17.0.1/private stretch-staging/main armhf libtool all 2.4.6-2 [545 kB]
Get:38 http://172.17.0.1/private stretch-staging/main armhf dh-autoreconf all 12 [15.8 kB]
Get:39 http://172.17.0.1/private stretch-staging/main armhf libarchive-zip-perl all 1.59-1 [95.5 kB]
Get:40 http://172.17.0.1/private stretch-staging/main armhf libfile-stripnondeterminism-perl all 0.028-1 [14.6 kB]
Get:41 http://172.17.0.1/private stretch-staging/main armhf libtimedate-perl all 2.3000-2 [42.2 kB]
Get:42 http://172.17.0.1/private stretch-staging/main armhf dh-strip-nondeterminism all 0.028-1 [9020 B]
Get:43 http://172.17.0.1/private stretch-staging/main armhf libglib2.0-0 armhf 2.49.6-1 [2518 kB]
Get:44 http://172.17.0.1/private stretch-staging/main armhf libcroco3 armhf 0.6.11-2 [131 kB]
Get:45 http://172.17.0.1/private stretch-staging/main armhf libunistring0 armhf 0.9.6+really0.9.3-0.1 [252 kB]
Get:46 http://172.17.0.1/private stretch-staging/main armhf gettext armhf 0.19.8.1-1 [1433 kB]
Get:47 http://172.17.0.1/private stretch-staging/main armhf intltool-debian all 0.35.0+20060710.4 [26.3 kB]
Get:48 http://172.17.0.1/private stretch-staging/main armhf po-debconf all 1.0.19 [249 kB]
Get:49 http://172.17.0.1/private stretch-staging/main armhf debhelper all 10 [823 kB]
Get:50 http://172.17.0.1/private stretch-staging/main armhf libffi-dev armhf 3.2.1-4 [159 kB]
Get:51 http://172.17.0.1/private stretch-staging/main armhf libtinfo-dev armhf 6.0+20160625-1 [65.6 kB]
Get:52 http://172.17.0.1/private stretch-staging/main armhf libreadline6-dev armhf 6.3-8+b3 [105 kB]
Get:53 http://172.17.0.1/private stretch-staging/main armhf libreadline-dev armhf 6.3-8+b3 [958 B]
Get:54 http://172.17.0.1/private stretch-staging/main armhf libtcl8.6 armhf 8.6.6+dfsg-1 [861 kB]
Get:55 http://172.17.0.1/private stretch-staging/main armhf tcl8.6 armhf 8.6.6+dfsg-1 [123 kB]
Get:56 http://172.17.0.1/private stretch-staging/main armhf tcl armhf 8.6.0+9 [5502 B]
Get:57 http://172.17.0.1/private stretch-staging/main armhf zlib1g-dev armhf 1:1.2.8.dfsg-2+b1 [197 kB]
Get:58 http://172.17.0.1/private stretch-staging/main armhf tcl8.6-dev armhf 8.6.6+dfsg-1 [890 kB]
Get:59 http://172.17.0.1/private stretch-staging/main armhf tcl-dev armhf 8.6.0+9 [7986 B]
Get:60 http://172.17.0.1/private stretch-staging/main armhf txt2man all 1.6.0-1 [31.6 kB]
debconf: delaying package configuration, since apt-utils is not installed
Fetched 29.4 MB in 9s (2936 kB/s)
Selecting previously unselected package groff-base.
(Reading database ... 
(Reading database ... 5%
(Reading database ... 10%
(Reading database ... 15%
(Reading database ... 20%
(Reading database ... 25%
(Reading database ... 30%
(Reading database ... 35%
(Reading database ... 40%
(Reading database ... 45%
(Reading database ... 50%
(Reading database ... 55%
(Reading database ... 60%
(Reading database ... 65%
(Reading database ... 70%
(Reading database ... 75%
(Reading database ... 80%
(Reading database ... 85%
(Reading database ... 90%
(Reading database ... 95%
(Reading database ... 100%
(Reading database ... 13951 files and directories currently installed.)
Preparing to unpack .../groff-base_1.22.3-8_armhf.deb ...
Unpacking groff-base (1.22.3-8) ...
Selecting previously unselected package libbsd0:armhf.
Preparing to unpack .../libbsd0_0.8.3-1_armhf.deb ...
Unpacking libbsd0:armhf (0.8.3-1) ...
Selecting previously unselected package bsdmainutils.
Preparing to unpack .../bsdmainutils_9.0.10_armhf.deb ...
Unpacking bsdmainutils (9.0.10) ...
Selecting previously unselected package libpipeline1:armhf.
Preparing to unpack .../libpipeline1_1.4.1-2_armhf.deb ...
Unpacking libpipeline1:armhf (1.4.1-2) ...
Selecting previously unselected package man-db.
Preparing to unpack .../man-db_2.7.5-1_armhf.deb ...
Unpacking man-db (2.7.5-1) ...
Selecting previously unselected package libsigsegv2:armhf.
Preparing to unpack .../libsigsegv2_2.10-5_armhf.deb ...
Unpacking libsigsegv2:armhf (2.10-5) ...
Selecting previously unselected package m4.
Preparing to unpack .../archives/m4_1.4.17-5_armhf.deb ...
Unpacking m4 (1.4.17-5) ...
Selecting previously unselected package libfl-dev:armhf.
Preparing to unpack .../libfl-dev_2.6.1-1_armhf.deb ...
Unpacking libfl-dev:armhf (2.6.1-1) ...
Selecting previously unselected package flex.
Preparing to unpack .../flex_2.6.1-1_armhf.deb ...
Unpacking flex (2.6.1-1) ...
Processing triggers for libc-bin (2.23-4) ...
Setting up libsigsegv2:armhf (2.10-5) ...
Processing triggers for libc-bin (2.23-4) ...
Selecting previously unselected package gawk.
(Reading database ... 
(Reading database ... 5%
(Reading database ... 10%
(Reading database ... 15%
(Reading database ... 20%
(Reading database ... 25%
(Reading database ... 30%
(Reading database ... 35%
(Reading database ... 40%
(Reading database ... 45%
(Reading database ... 50%
(Reading database ... 55%
(Reading database ... 60%
(Reading database ... 65%
(Reading database ... 70%
(Reading database ... 75%
(Reading database ... 80%
(Reading database ... 85%
(Reading database ... 90%
(Reading database ... 95%
(Reading database ... 100%
(Reading database ... 14691 files and directories currently installed.)
Preparing to unpack .../gawk_1%3a4.1.3+dfsg-0.1_armhf.deb ...
Unpacking gawk (1:4.1.3+dfsg-0.1) ...
Selecting previously unselected package libssl1.0.2:armhf.
Preparing to unpack .../libssl1.0.2_1.0.2h-1_armhf.deb ...
Unpacking libssl1.0.2:armhf (1.0.2h-1) ...
Selecting previously unselected package libpython3.5-minimal:armhf.
Preparing to unpack .../libpython3.5-minimal_3.5.2-4_armhf.deb ...
Unpacking libpython3.5-minimal:armhf (3.5.2-4) ...
Selecting previously unselected package libexpat1:armhf.
Preparing to unpack .../libexpat1_2.2.0-1_armhf.deb ...
Unpacking libexpat1:armhf (2.2.0-1) ...
Selecting previously unselected package python3.5-minimal.
Preparing to unpack .../python3.5-minimal_3.5.2-4_armhf.deb ...
Unpacking python3.5-minimal (3.5.2-4) ...
Selecting previously unselected package python3-minimal.
Preparing to unpack .../python3-minimal_3.5.1-4_armhf.deb ...
Unpacking python3-minimal (3.5.1-4) ...
Selecting previously unselected package mime-support.
Preparing to unpack .../mime-support_3.60_all.deb ...
Unpacking mime-support (3.60) ...
Selecting previously unselected package libmpdec2:armhf.
Preparing to unpack .../libmpdec2_2.4.2-1_armhf.deb ...
Unpacking libmpdec2:armhf (2.4.2-1) ...
Selecting previously unselected package libsqlite3-0:armhf.
Preparing to unpack .../libsqlite3-0_3.14.2-1_armhf.deb ...
Unpacking libsqlite3-0:armhf (3.14.2-1) ...
Selecting previously unselected package libpython3.5-stdlib:armhf.
Preparing to unpack .../libpython3.5-stdlib_3.5.2-4_armhf.deb ...
Unpacking libpython3.5-stdlib:armhf (3.5.2-4) ...
Selecting previously unselected package python3.5.
Preparing to unpack .../python3.5_3.5.2-4_armhf.deb ...
Unpacking python3.5 (3.5.2-4) ...
Selecting previously unselected package libpython3-stdlib:armhf.
Preparing to unpack .../libpython3-stdlib_3.5.1-4_armhf.deb ...
Unpacking libpython3-stdlib:armhf (3.5.1-4) ...
Selecting previously unselected package dh-python.
Preparing to unpack .../dh-python_2.20160818_all.deb ...
Unpacking dh-python (2.20160818) ...
Processing triggers for libc-bin (2.23-4) ...
Setting up libssl1.0.2:armhf (1.0.2h-1) ...
Setting up libpython3.5-minimal:armhf (3.5.2-4) ...
Setting up libexpat1:armhf (2.2.0-1) ...
Setting up python3.5-minimal (3.5.2-4) ...
Setting up python3-minimal (3.5.1-4) ...
Processing triggers for libc-bin (2.23-4) ...
Selecting previously unselected package python3.
(Reading database ... 
(Reading database ... 5%
(Reading database ... 10%
(Reading database ... 15%
(Reading database ... 20%
(Reading database ... 25%
(Reading database ... 30%
(Reading database ... 35%
(Reading database ... 40%
(Reading database ... 45%
(Reading database ... 50%
(Reading database ... 55%
(Reading database ... 60%
(Reading database ... 65%
(Reading database ... 70%
(Reading database ... 75%
(Reading database ... 80%
(Reading database ... 85%
(Reading database ... 90%
(Reading database ... 95%
(Reading database ... 100%
(Reading database ... 15832 files and directories currently installed.)
Preparing to unpack .../python3_3.5.1-4_armhf.deb ...
Unpacking python3 (3.5.1-4) ...
Selecting previously unselected package libmagic-mgc.
Preparing to unpack .../libmagic-mgc_1%3a5.28-4_armhf.deb ...
Unpacking libmagic-mgc (1:5.28-4) ...
Selecting previously unselected package libmagic1:armhf.
Preparing to unpack .../libmagic1_1%3a5.28-4_armhf.deb ...
Unpacking libmagic1:armhf (1:5.28-4) ...
Selecting previously unselected package file.
Preparing to unpack .../file_1%3a5.28-4_armhf.deb ...
Unpacking file (1:5.28-4) ...
Selecting previously unselected package gettext-base.
Preparing to unpack .../gettext-base_0.19.8.1-1_armhf.deb ...
Unpacking gettext-base (0.19.8.1-1) ...
Selecting previously unselected package libicu57:armhf.
Preparing to unpack .../libicu57_57.1-4_armhf.deb ...
Unpacking libicu57:armhf (57.1-4) ...
Selecting previously unselected package libxml2:armhf.
Preparing to unpack .../libxml2_2.9.4+dfsg1-2_armhf.deb ...
Unpacking libxml2:armhf (2.9.4+dfsg1-2) ...
Selecting previously unselected package autoconf.
Preparing to unpack .../autoconf_2.69-10_all.deb ...
Unpacking autoconf (2.69-10) ...
Selecting previously unselected package autotools-dev.
Preparing to unpack .../autotools-dev_20160430.1_all.deb ...
Unpacking autotools-dev (20160430.1) ...
Selecting previously unselected package automake.
Preparing to unpack .../automake_1%3a1.15-4_all.deb ...
Unpacking automake (1:1.15-4) ...
Selecting previously unselected package autopoint.
Preparing to unpack .../autopoint_0.19.8.1-1_all.deb ...
Unpacking autopoint (0.19.8.1-1) ...
Selecting previously unselected package libbison-dev:armhf.
Preparing to unpack .../libbison-dev_2%3a3.0.4.dfsg-1_armhf.deb ...
Unpacking libbison-dev:armhf (2:3.0.4.dfsg-1) ...
Selecting previously unselected package bison.
Preparing to unpack .../bison_2%3a3.0.4.dfsg-1_armhf.deb ...
Unpacking bison (2:3.0.4.dfsg-1) ...
Selecting previously unselected package libtool.
Preparing to unpack .../libtool_2.4.6-2_all.deb ...
Unpacking libtool (2.4.6-2) ...
Selecting previously unselected package dh-autoreconf.
Preparing to unpack .../dh-autoreconf_12_all.deb ...
Unpacking dh-autoreconf (12) ...
Selecting previously unselected package libarchive-zip-perl.
Preparing to unpack .../libarchive-zip-perl_1.59-1_all.deb ...
Unpacking libarchive-zip-perl (1.59-1) ...
Selecting previously unselected package libfile-stripnondeterminism-perl.
Preparing to unpack .../libfile-stripnondeterminism-perl_0.028-1_all.deb ...
Unpacking libfile-stripnondeterminism-perl (0.028-1) ...
Selecting previously unselected package libtimedate-perl.
Preparing to unpack .../libtimedate-perl_2.3000-2_all.deb ...
Unpacking libtimedate-perl (2.3000-2) ...
Selecting previously unselected package dh-strip-nondeterminism.
Preparing to unpack .../dh-strip-nondeterminism_0.028-1_all.deb ...
Unpacking dh-strip-nondeterminism (0.028-1) ...
Selecting previously unselected package libffi6:armhf.
Preparing to unpack .../libffi6_3.2.1-4_armhf.deb ...
Unpacking libffi6:armhf (3.2.1-4) ...
Selecting previously unselected package libglib2.0-0:armhf.
Preparing to unpack .../libglib2.0-0_2.49.6-1_armhf.deb ...
Unpacking libglib2.0-0:armhf (2.49.6-1) ...
Selecting previously unselected package libcroco3:armhf.
Preparing to unpack .../libcroco3_0.6.11-2_armhf.deb ...
Unpacking libcroco3:armhf (0.6.11-2) ...
Selecting previously unselected package libunistring0:armhf.
Preparing to unpack .../libunistring0_0.9.6+really0.9.3-0.1_armhf.deb ...
Unpacking libunistring0:armhf (0.9.6+really0.9.3-0.1) ...
Selecting previously unselected package gettext.
Preparing to unpack .../gettext_0.19.8.1-1_armhf.deb ...
Unpacking gettext (0.19.8.1-1) ...
Selecting previously unselected package intltool-debian.
Preparing to unpack .../intltool-debian_0.35.0+20060710.4_all.deb ...
Unpacking intltool-debian (0.35.0+20060710.4) ...
Selecting previously unselected package po-debconf.
Preparing to unpack .../po-debconf_1.0.19_all.deb ...
Unpacking po-debconf (1.0.19) ...
Selecting previously unselected package debhelper.
Preparing to unpack .../archives/debhelper_10_all.deb ...
Unpacking debhelper (10) ...
Selecting previously unselected package libffi-dev:armhf.
Preparing to unpack .../libffi-dev_3.2.1-4_armhf.deb ...
Unpacking libffi-dev:armhf (3.2.1-4) ...
Selecting previously unselected package libtinfo-dev:armhf.
Preparing to unpack .../libtinfo-dev_6.0+20160625-1_armhf.deb ...
Unpacking libtinfo-dev:armhf (6.0+20160625-1) ...
Selecting previously unselected package libreadline6-dev:armhf.
Preparing to unpack .../libreadline6-dev_6.3-8+b3_armhf.deb ...
Unpacking libreadline6-dev:armhf (6.3-8+b3) ...
Selecting previously unselected package libreadline-dev:armhf.
Preparing to unpack .../libreadline-dev_6.3-8+b3_armhf.deb ...
Unpacking libreadline-dev:armhf (6.3-8+b3) ...
Selecting previously unselected package libtcl8.6:armhf.
Preparing to unpack .../libtcl8.6_8.6.6+dfsg-1_armhf.deb ...
Unpacking libtcl8.6:armhf (8.6.6+dfsg-1) ...
Selecting previously unselected package pkg-config.
Preparing to unpack .../pkg-config_0.29-4_armhf.deb ...
Unpacking pkg-config (0.29-4) ...
Selecting previously unselected package tcl8.6.
Preparing to unpack .../tcl8.6_8.6.6+dfsg-1_armhf.deb ...
Unpacking tcl8.6 (8.6.6+dfsg-1) ...
Selecting previously unselected package tcl.
Preparing to unpack .../archives/tcl_8.6.0+9_armhf.deb ...
Unpacking tcl (8.6.0+9) ...
Selecting previously unselected package zlib1g-dev:armhf.
Preparing to unpack .../zlib1g-dev_1%3a1.2.8.dfsg-2+b1_armhf.deb ...
Unpacking zlib1g-dev:armhf (1:1.2.8.dfsg-2+b1) ...
Selecting previously unselected package tcl8.6-dev:armhf.
Preparing to unpack .../tcl8.6-dev_8.6.6+dfsg-1_armhf.deb ...
Unpacking tcl8.6-dev:armhf (8.6.6+dfsg-1) ...
Selecting previously unselected package tcl-dev:armhf.
Preparing to unpack .../tcl-dev_8.6.0+9_armhf.deb ...
Unpacking tcl-dev:armhf (8.6.0+9) ...
Selecting previously unselected package txt2man.
Preparing to unpack .../txt2man_1.6.0-1_all.deb ...
Unpacking txt2man (1.6.0-1) ...
Selecting previously unselected package sbuild-build-depends-yosys-dummy.
Preparing to unpack .../sbuild-build-depends-yosys-dummy.deb ...
Unpacking sbuild-build-depends-yosys-dummy (0.invalid.0) ...
Processing triggers for libc-bin (2.23-4) ...
Setting up libarchive-zip-perl (1.59-1) ...
Setting up mime-support (3.60) ...
Setting up libtimedate-perl (2.3000-2) ...
Setting up groff-base (1.22.3-8) ...
Setting up libtinfo-dev:armhf (6.0+20160625-1) ...
Setting up libreadline6-dev:armhf (6.3-8+b3) ...
Setting up gettext-base (0.19.8.1-1) ...
Setting up libpipeline1:armhf (1.4.1-2) ...
Setting up m4 (1.4.17-5) ...
Setting up gawk (1:4.1.3+dfsg-0.1) ...
Setting up libicu57:armhf (57.1-4) ...
Setting up libbsd0:armhf (0.8.3-1) ...
Setting up libxml2:armhf (2.9.4+dfsg1-2) ...
Setting up libmagic-mgc (1:5.28-4) ...
Setting up libfl-dev:armhf (2.6.1-1) ...
Setting up libmagic1:armhf (1:5.28-4) ...
Setting up autotools-dev (20160430.1) ...
Setting up libunistring0:armhf (0.9.6+really0.9.3-0.1) ...
Setting up libreadline-dev:armhf (6.3-8+b3) ...
Setting up libsqlite3-0:armhf (3.14.2-1) ...
Setting up libbison-dev:armhf (2:3.0.4.dfsg-1) ...
Setting up libffi6:armhf (3.2.1-4) ...
Setting up libtcl8.6:armhf (8.6.6+dfsg-1) ...
Setting up bison (2:3.0.4.dfsg-1) ...
update-alternatives: using /usr/bin/bison.yacc to provide /usr/bin/yacc (yacc) in auto mode
Setting up bsdmainutils (9.0.10) ...
update-alternatives: using /usr/bin/bsd-write to provide /usr/bin/write (write) in auto mode
update-alternatives: using /usr/bin/bsd-from to provide /usr/bin/from (from) in auto mode
Setting up autopoint (0.19.8.1-1) ...
Setting up libmpdec2:armhf (2.4.2-1) ...
Setting up zlib1g-dev:armhf (1:1.2.8.dfsg-2+b1) ...
Setting up libfile-stripnondeterminism-perl (0.028-1) ...
Setting up txt2man (1.6.0-1) ...
Setting up flex (2.6.1-1) ...
Setting up libglib2.0-0:armhf (2.49.6-1) ...
No schema files found: doing nothing.
Setting up libpython3.5-stdlib:armhf (3.5.2-4) ...
Setting up libffi-dev:armhf (3.2.1-4) ...
Setting up autoconf (2.69-10) ...
Setting up file (1:5.28-4) ...
Setting up libcroco3:armhf (0.6.11-2) ...
Setting up pkg-config (0.29-4) ...
Setting up tcl8.6 (8.6.6+dfsg-1) ...
Setting up automake (1:1.15-4) ...
update-alternatives: using /usr/bin/automake-1.15 to provide /usr/bin/automake (automake) in auto mode
Setting up man-db (2.7.5-1) ...
Not building database; man-db/auto-update is not 'true'.
Setting up tcl8.6-dev:armhf (8.6.6+dfsg-1) ...
Setting up libtool (2.4.6-2) ...
Setting up python3.5 (3.5.2-4) ...
Setting up libpython3-stdlib:armhf (3.5.1-4) ...
Setting up gettext (0.19.8.1-1) ...
Setting up tcl (8.6.0+9) ...
Setting up intltool-debian (0.35.0+20060710.4) ...
Setting up tcl-dev:armhf (8.6.0+9) ...
Setting up po-debconf (1.0.19) ...
Setting up dh-python (2.20160818) ...
Setting up dh-autoreconf (12) ...
Setting up python3 (3.5.1-4) ...
Setting up dh-strip-nondeterminism (0.028-1) ...
Setting up debhelper (10) ...
Setting up sbuild-build-depends-yosys-dummy (0.invalid.0) ...
Processing triggers for libc-bin (2.23-4) ...
W: No sandbox user '_apt' on the system, can not drop privileges

+------------------------------------------------------------------------------+
| Build environment                                                            |
+------------------------------------------------------------------------------+

Kernel: Linux 4.5.0-1-armmp armhf (armv7l)
Toolchain package versions: binutils_2.26.1-1 dpkg-dev_1.18.10 g++-6_6.1.1-11+rpi1 gcc-6_6.1.1-11+rpi1 libc6-dev_2.23-4 libstdc++-6-dev_6.1.1-11+rpi1 libstdc++6_6.1.1-11+rpi1 linux-libc-dev_3.18.5-1~exp1+rpi19+stretch
Package versions: adduser_3.115 apt_1.3~pre3 autoconf_2.69-10 automake_1:1.15-4 autopoint_0.19.8.1-1 autotools-dev_20160430.1 base-files_9.6+rpi1 base-passwd_3.5.39 bash_4.3-15 binutils_2.26.1-1 bison_2:3.0.4.dfsg-1 bsdmainutils_9.0.10 bsdutils_1:2.28-6 build-essential_12.2 bzip2_1.0.6-8 console-setup_1.147 console-setup-linux_1.147 coreutils_8.25-2 cpio_2.11+dfsg-5 cpp_4:6.1.1-1 cpp-6_6.1.1-11+rpi1 dash_0.5.8-2.3 debconf_1.5.59 debfoster_2.7-2.1 debhelper_10 debianutils_4.8 dh-autoreconf_12 dh-python_2.20160818 dh-strip-nondeterminism_0.028-1 diffutils_1:3.3-3 dmsetup_2:1.02.130-1 dpkg_1.18.10 dpkg-dev_1.18.10 e2fslibs_1.43.1-1 e2fsprogs_1.43.1-1 fakeroot_1.21-1 file_1:5.28-4 findutils_4.6.0+git+20160703-2 flex_2.6.1-1 fuse2fs_1.43.1-1 g++_4:6.1.1-1 g++-6_6.1.1-11+rpi1 gawk_1:4.1.3+dfsg-0.1 gcc_4:6.1.1-1 gcc-4.6-base_4.6.4-5+rpi1 gcc-4.7-base_4.7.3-11+rpi1 gcc-4.8-base_4.8.5-4 gcc-4.9-base_4.9.3-14 gcc-5-base_5.4.0-4 gcc-6_6.1.1-11+rpi1 gcc-6-base_6.1.1-11+rpi1 gettext_0.19.8.1-1 gettext-base_0.19.8.1-1 gnupg_1.4.20-6 gpgv_1.4.20-6 grep_2.25-6 groff-base_1.22.3-8 gzip_1.6-5 hostname_3.18 ifupdown_0.8.13 init_1.42 init-system-helpers_1.42 initscripts_2.88dsf-59.8 insserv_1.14.0-5.4 intltool-debian_0.35.0+20060710.4 iproute2_4.6.0-1 kbd_2.0.3-2 keyboard-configuration_1.147 klibc-utils_2.0.4-9+rpi1 kmod_22-1.1 libacl1_2.2.52-3 libapparmor1_2.10.95-4 libapt-pkg5.0_1.3~pre3 libarchive-zip-perl_1.59-1 libasan3_6.1.1-11+rpi1 libatm1_1:2.5.1-1.6 libatomic1_6.1.1-11+rpi1 libattr1_1:2.4.47-2 libaudit-common_1:2.6.5-1 libaudit1_1:2.6.5-1 libbison-dev_2:3.0.4.dfsg-1 libblkid1_2.28-6 libbsd0_0.8.3-1 libbz2-1.0_1.0.6-8 libc-bin_2.23-4 libc-dev-bin_2.23-4 libc6_2.23-4 libc6-dev_2.23-4 libcap-ng0_0.7.7-3 libcap2_1:2.25-1 libcap2-bin_1:2.25-1 libcc1-0_6.1.1-11+rpi1 libcomerr2_1.43.1-1 libcroco3_0.6.11-2 libcryptsetup4_2:1.7.0-2 libdb5.3_5.3.28-12 libdbus-1-3_1.10.8-1 libdebconfclient0_0.215 libdevmapper1.02.1_2:1.02.130-1 libdpkg-perl_1.18.10 libdrm2_2.4.70-1 libexpat1_2.2.0-1 libfakeroot_1.21-1 libfdisk1_2.28-6 libffi-dev_3.2.1-4 libffi6_3.2.1-4 libfile-stripnondeterminism-perl_0.028-1 libfl-dev_2.6.1-1 libfuse2_2.9.7-1 libgc1c2_1:7.4.2-8 libgcc-6-dev_6.1.1-11+rpi1 libgcc1_1:6.1.1-11+rpi1 libgcrypt20_1.7.2-2 libgdbm3_1.8.3-14 libglib2.0-0_2.49.6-1 libgmp10_2:6.1.1+dfsg-1 libgomp1_6.1.1-11+rpi1 libgpg-error0_1.24-1 libicu57_57.1-4 libidn11_1.33-1 libisl15_0.17.1-1 libklibc_2.0.4-9+rpi1 libkmod2_22-1.1 liblocale-gettext-perl_1.07-3 liblz4-1_0.0~r131-2 liblzma5_5.1.1alpha+20120614-2.1 libmagic-mgc_1:5.28-4 libmagic1_1:5.28-4 libmount1_2.28-6 libmpc3_1.0.3-1 libmpdec2_2.4.2-1 libmpfr4_3.1.4-2 libncurses5_6.0+20160625-1 libncursesw5_6.0+20160625-1 libpam-modules_1.1.8-3.3 libpam-modules-bin_1.1.8-3.3 libpam-runtime_1.1.8-3.3 libpam0g_1.1.8-3.3 libpcre3_2:8.39-1 libperl5.22_5.22.2-3 libpipeline1_1.4.1-2 libplymouth4_0.9.2-3 libpng12-0_1.2.54-6 libprocps6_2:3.3.12-2 libpython3-stdlib_3.5.1-4 libpython3.5-minimal_3.5.2-4 libpython3.5-stdlib_3.5.2-4 libreadline-dev_6.3-8+b3 libreadline6_6.3-8+b3 libreadline6-dev_6.3-8+b3 libseccomp2_2.3.1-2 libselinux1_2.5-3 libsemanage-common_2.5-1 libsemanage1_2.5-1 libsepol1_2.5-1 libsigsegv2_2.10-5 libsmartcols1_2.28-6 libsqlite3-0_3.14.2-1 libss2_1.43.1-1 libssl1.0.2_1.0.2h-1 libstdc++-6-dev_6.1.1-11+rpi1 libstdc++6_6.1.1-11+rpi1 libsystemd0_230-7+b1 libtcl8.6_8.6.6+dfsg-1 libtext-charwidth-perl_0.04-7+b6 libtext-iconv-perl_1.7-5+b7 libtext-wrapi18n-perl_0.06-7.1 libtimedate-perl_2.3000-2 libtinfo-dev_6.0+20160625-1 libtinfo5_6.0+20160625-1 libtool_2.4.6-2 libubsan0_6.1.1-11+rpi1 libudev1_230-7+b1 libunistring0_0.9.6+really0.9.3-0.1 libusb-0.1-4_2:0.1.12-30 libustr-1.0-1_1.0.4-5 libuuid1_2.28-6 libxml2_2.9.4+dfsg1-2 linux-libc-dev_3.18.5-1~exp1+rpi19+stretch login_1:4.2-3.1 lsb-base_9.20160629+rpi1 m4_1.4.17-5 make_4.1-9 makedev_2.3.1-93 man-db_2.7.5-1 manpages_4.07-1 mawk_1.3.3-17 mime-support_3.60 mount_2.28-6 multiarch-support_2.23-4 ncurses-base_6.0+20160625-1 ncurses-bin_6.0+20160625-1 netbase_5.3 passwd_1:4.2-3.1 patch_2.7.5-1 perl_5.22.2-3 perl-base_5.22.2-3 perl-modules-5.22_5.22.2-3 pkg-config_0.29-4 po-debconf_1.0.19 procps_2:3.3.12-2 psmisc_22.21-2.1 python3_3.5.1-4 python3-minimal_3.5.1-4 python3.5_3.5.2-4 python3.5-minimal_3.5.2-4 raspbian-archive-keyring_20120528.2 readline-common_6.3-8 sbuild-build-depends-core-dummy_0.invalid.0 sbuild-build-depends-yosys-dummy_0.invalid.0 sed_4.2.2-7.1 sensible-utils_0.0.9 startpar_0.59-3.1 systemd_230-7+b1 systemd-sysv_230-7+b1 sysv-rc_2.88dsf-59.8 sysvinit-utils_2.88dsf-59.8 tar_1.29b-1 tcl_8.6.0+9 tcl-dev_8.6.0+9 tcl8.6_8.6.6+dfsg-1 tcl8.6-dev_8.6.6+dfsg-1 txt2man_1.6.0-1 tzdata_2016f-1 udev_230-7+b1 util-linux_2.28-6 xkb-data_2.17-1 xz-utils_5.1.1alpha+20120614-2.1 zlib1g_1:1.2.8.dfsg-2+b1 zlib1g-dev_1:1.2.8.dfsg-2+b1

+------------------------------------------------------------------------------+
| Build                                                                        |
+------------------------------------------------------------------------------+


Unpack source
-------------

gpgv: keyblock resource `/sbuild-nonexistent/.gnupg/trustedkeys.gpg': file open error
gpgv: Signature made Thu Sep 22 17:34:40 2016 UTC using RSA key ID D108D111
gpgv: Can't check signature: public key not found
dpkg-source: warning: failed to verify signature on ./yosys_0.6-7.dsc
dpkg-source: info: extracting yosys in yosys-0.6
dpkg-source: info: unpacking yosys_0.6.orig.tar.gz
dpkg-source: info: unpacking yosys_0.6-7.debian.tar.xz
dpkg-source: info: applying 01_gitrevision.patch
dpkg-source: info: applying 02_removeabc.patch
dpkg-source: info: applying 03_notruntests.patch
dpkg-source: info: applying 05_abc_executable.patch
dpkg-source: info: applying spelling-fixes.patch
dpkg-source: info: applying switch-to-free-font.patch
dpkg-source: info: applying manual-build.patch
dpkg-source: info: applying add-missing-headers.patch
dpkg-source: info: applying kfreebsd-support.patch
dpkg-source: info: applying fixup-initalization.patch
dpkg-source: info: applying fix_ftbfs_cannot_find_infinite.patch

Check disc space
----------------

Sufficient free space for build

User Environment
----------------

DEB_BUILD_OPTIONS=parallel=4
HOME=/sbuild-nonexistent
LOGNAME=buildd
PATH=/usr/local/sbin:/usr/local/bin:/usr/sbin:/usr/bin:/sbin:/bin:/usr/games
SCHROOT_ALIAS_NAME=stretch-staging-armhf-sbuild
SCHROOT_CHROOT_NAME=stretch-staging-armhf-sbuild
SCHROOT_COMMAND=env
SCHROOT_GID=109
SCHROOT_GROUP=buildd
SCHROOT_SESSION_ID=stretch-staging-armhf-sbuild-0a0fb173-c000-481a-94de-cdb0292b95eb
SCHROOT_UID=104
SCHROOT_USER=buildd
SHELL=/bin/sh
USER=buildd

dpkg-buildpackage
-----------------

dpkg-buildpackage: info: source package yosys
dpkg-buildpackage: info: source version 0.6-7
dpkg-buildpackage: info: source distribution unstable
 dpkg-source --before-build yosys-0.6
dpkg-buildpackage: info: host architecture armhf
 fakeroot debian/rules clean
PREFIX=/usr dh clean --parallel --with=python3
   dh_testdir -O--parallel
   debian/rules override_dh_auto_clean
make[1]: Entering directory '/<<PKGBUILDDIR>>'
dh_auto_clean
	make -j4 clean
make[2]: Entering directory '/<<PKGBUILDDIR>>'
rm -rf share
if test -d manual; then cd manual && sh clean.sh; fi
find ./ -name '*.aux' | xargs rm -f
find ./ -name '*.bbl' | xargs rm -f
find ./ -name '*.blg' | xargs rm -f
find ./ -name '*.idx' | xargs rm -f
find ./ -name '*.log' | xargs rm -f
find ./ -name '*.out' | xargs rm -f
find ./ -name '*.pdf' | xargs rm -f
find ./ -name '*.toc' | xargs rm -f
find ./ -name '*.snm' | xargs rm -f
find ./ -name '*.nav' | xargs rm -f
find ./ -name '*.vrb' | xargs rm -f
find ./ -name '*.ok' | xargs rm -f
find ./CHAPTER_Prog/ -name 'stubnets.so' | xargs rm -f
find ./CHAPTER_Prog/ -name 'stubnets.d' | xargs rm -f
find ./CHAPTER_Prog/ -name '*.log' | xargs rm -f
find ./PRESENTATION_ExAdv/ -name '*.dot' | xargs rm -f
find ./PRESENTATION_ExOth/ -name '*.dot' | xargs rm -f
find ./PRESENTATION_ExSyn/ -name '*.dot' | xargs rm -f
find ./PRESENTATION_Intro/ -name 'counter_00.dot' | xargs rm -f
find ./PRESENTATION_Intro/ -name 'counter_01.dot' | xargs rm -f
find ./PRESENTATION_Intro/ -name 'counter_02.dot' | xargs rm -f
find ./PRESENTATION_Intro/ -name 'counter_03.dot' | xargs rm -f
find ./PRESENTATION_Prog/ -name 'my_cmd.so' | xargs rm -f
find ./PRESENTATION_Prog/ -name 'my_cmd.d' | xargs rm -f
rm -f kernel/version_5869d26.o kernel/driver.o kernel/register.o kernel/rtlil.o kernel/log.o kernel/calc.o kernel/yosys.o kernel/cellaigs.o libs/bigint/BigIntegerAlgorithms.o libs/bigint/BigInteger.o libs/bigint/BigIntegerUtils.o libs/bigint/BigUnsigned.o libs/bigint/BigUnsignedInABase.o libs/sha1/sha1.o libs/subcircuit/subcircuit.o libs/ezsat/ezsat.o libs/ezsat/ezminisat.o libs/minisat/Options.o libs/minisat/SimpSolver.o libs/minisat/Solver.o libs/minisat/System.o frontends/vhdl2verilog/vhdl2verilog.o frontends/ilang/ilang_parser.tab.o frontends/ilang/ilang_lexer.o frontends/ilang/ilang_frontend.o frontends/verilog/verilog_parser.tab.o frontends/verilog/verilog_lexer.o frontends/verilog/preproc.o frontends/verilog/verilog_frontend.o frontends/verilog/const2ast.o frontends/ast/ast.o frontends/ast/simplify.o frontends/ast/genrtlil.o frontends/ast/dpicall.o frontends/blif/blifparse.o frontends/verific/verific.o frontends/liberty/liberty.o passes/tests/test_autotb.o passes/tests/test_cell.o passes/tests/test_abcloop.o passes/sat/sat.o passes/sat/freduce.o passes/sat/eval.o passes/sat/miter.o passes/sat/expose.o passes/cmds/add.o passes/cmds/delete.o passes/cmds/design.o passes/cmds/select.o passes/cmds/show.o passes/cmds/rename.o passes/cmds/connect.o passes/cmds/scatter.o passes/cmds/setundef.o passes/cmds/splitnets.o passes/cmds/stat.o passes/cmds/setattr.o passes/cmds/copy.o passes/cmds/splice.o passes/cmds/scc.o passes/cmds/torder.o passes/cmds/logcmd.o passes/cmds/tee.o passes/cmds/write_file.o passes/cmds/connwrappers.o passes/cmds/cover.o passes/cmds/trace.o passes/cmds/plugin.o passes/cmds/check.o passes/cmds/qwp.o passes/cmds/edgetypes.o passes/memory/memory.o passes/memory/memory_dff.o passes/memory/memory_share.o passes/memory/memory_collect.o passes/memory/memory_unpack.o passes/memory/memory_bram.o passes/memory/memory_map.o passes/techmap/techmap.o passes/techmap/simplemap.o passes/techmap/dfflibmap.o passes/techmap/maccmap.o passes/techmap/libparse.o passes/techmap/abc.o passes/techmap/iopadmap.o passes/techmap/hilomap.o passes/techmap/extract.o passes/techmap/alumacc.o passes/techmap/dff2dffe.o passes/techmap/dffinit.o passes/techmap/pmuxtree.o passes/techmap/muxcover.o passes/techmap/aigmap.o passes/techmap/tribuf.o passes/techmap/lut2mux.o passes/techmap/nlutmap.o passes/techmap/dffsr2dff.o passes/opt/opt.o passes/opt/opt_share.o passes/opt/opt_muxtree.o passes/opt/opt_reduce.o passes/opt/opt_rmdff.o passes/opt/opt_clean.o passes/opt/opt_const.o passes/opt/share.o passes/opt/wreduce.o passes/hierarchy/hierarchy.o passes/hierarchy/singleton.o passes/hierarchy/submod.o passes/equiv/equiv_make.o passes/equiv/equiv_miter.o passes/equiv/equiv_simple.o passes/equiv/equiv_status.o passes/equiv/equiv_add.o passes/equiv/equiv_remove.o passes/equiv/equiv_induct.o passes/equiv/equiv_struct.o passes/equiv/equiv_purge.o passes/equiv/equiv_mark.o passes/fsm/fsm.o passes/fsm/fsm_detect.o passes/fsm/fsm_extract.o passes/fsm/fsm_opt.o passes/fsm/fsm_expand.o passes/fsm/fsm_recode.o passes/fsm/fsm_info.o passes/fsm/fsm_export.o passes/fsm/fsm_map.o passes/proc/proc.o passes/proc/proc_clean.o passes/proc/proc_rmdead.o passes/proc/proc_init.o passes/proc/proc_arst.o passes/proc/proc_mux.o passes/proc/proc_dlatch.o passes/proc/proc_dff.o backends/edif/edif.o backends/smt2/smt2.o backends/btor/btor.o backends/intersynth/intersynth.o backends/ilang/ilang_backend.o backends/json/json.o backends/verilog/verilog_backend.o backends/smv/smv.o backends/blif/blif.o backends/spice/spice.o techlibs/xilinx/synth_xilinx.o techlibs/common/synth.o techlibs/common/prep.o techlibs/ice40/synth_ice40.o techlibs/ice40/ice40_ffssr.o techlibs/ice40/ice40_ffinit.o techlibs/ice40/ice40_opt.o techlibs/greenpak4/synth_greenpak4.o  frontends/ilang/ilang_parser.tab.cc frontends/ilang/ilang_parser.tab.h frontends/ilang/ilang_parser.output frontends/ilang/ilang_lexer.cc frontends/verilog/verilog_parser.tab.cc frontends/verilog/verilog_parser.tab.h frontends/verilog/verilog_parser.output frontends/verilog/verilog_lexer.cc passes/techmap/techmap.inc techlibs/xilinx/brams_init_36.vh techlibs/xilinx/brams_init_32.vh techlibs/xilinx/brams_init_18.vh techlibs/xilinx/brams_init_16.vh techlibs/common/simlib_help.inc techlibs/common/simcells_help.inc techlibs/ice40/brams_init1.vh techlibs/ice40/brams_init2.vh techlibs/ice40/brams_init3.vh yosys yosys-config yosys-filterlib yosys-smtbmc  share/include/kernel/yosys.h share/include/kernel/hashlib.h share/include/kernel/log.h share/include/kernel/rtlil.h share/include/kernel/register.h share/include/kernel/celltypes.h share/include/kernel/consteval.h share/include/kernel/sigtools.h share/include/kernel/modtools.h share/include/kernel/macc.h share/include/kernel/utils.h share/include/kernel/satgen.h share/include/libs/ezsat/ezsat.h share/include/libs/ezsat/ezminisat.h share/include/libs/sha1/sha1.h share/include/passes/fsm/fsmdata.h share/include/backends/ilang/ilang_backend.h share/include/frontends/ast/ast.h share/python3/smtio.py share/xilinx/cells_map.v share/xilinx/cells_sim.v share/xilinx/brams.txt share/xilinx/brams_map.v share/xilinx/brams_bb.v share/xilinx/drams.txt share/xilinx/drams_map.v share/xilinx/drams_bb.v share/xilinx/arith_map.v share/xilinx/brams_init_36.vh share/xilinx/brams_init_32.vh share/xilinx/brams_init_18.vh share/xilinx/brams_init_16.vh share/simlib.v share/simcells.v share/techmap.v share/pmux2mux.v share/adff2dff.v share/cells.lib share/ice40/arith_map.v share/ice40/cells_map.v share/ice40/cells_sim.v share/ice40/brams.txt share/ice40/brams_map.v share/ice40/brams_init1.vh share/ice40/brams_init2.vh share/ice40/brams_init3.vh share/greenpak4/cells_map.v share/greenpak4/cells_sim.v share/greenpak4/gp_dff.lib  passes/techmap/filterlib.o techlibs/xilinx/brams_init.mk techlibs/ice40/brams_init.mk
rm -f kernel/version_*.o kernel/version_*.cc abc/abc-[0-9a-f]*
rm -f libs/*/*.d frontends/*/*.d passes/*/*.d backends/*/*.d kernel/*.d techlibs/*/*.d
make[2]: Leaving directory '/<<PKGBUILDDIR>>'
rm -f debian/man/*.1
make[1]: Leaving directory '/<<PKGBUILDDIR>>'
   dh_clean -O--parallel
 debian/rules build-arch
PREFIX=/usr dh build-arch --parallel --with=python3
   dh_testdir -a -O--parallel
   dh_update_autotools_config -a -O--parallel
   debian/rules override_dh_auto_configure
make[1]: Entering directory '/<<PKGBUILDDIR>>'
make config-gcc
make[2]: Entering directory '/<<PKGBUILDDIR>>'
rm -rf share
if test -d manual; then cd manual && sh clean.sh; fi
find ./ -name '*.aux' | xargs rm -f
find ./ -name '*.bbl' | xargs rm -f
find ./ -name '*.blg' | xargs rm -f
find ./ -name '*.idx' | xargs rm -f
find ./ -name '*.log' | xargs rm -f
find ./ -name '*.out' | xargs rm -f
find ./ -name '*.pdf' | xargs rm -f
find ./ -name '*.toc' | xargs rm -f
find ./ -name '*.snm' | xargs rm -f
find ./ -name '*.nav' | xargs rm -f
find ./ -name '*.vrb' | xargs rm -f
find ./ -name '*.ok' | xargs rm -f
find ./CHAPTER_Prog/ -name 'stubnets.so' | xargs rm -f
find ./CHAPTER_Prog/ -name 'stubnets.d' | xargs rm -f
find ./CHAPTER_Prog/ -name '*.log' | xargs rm -f
find ./PRESENTATION_ExAdv/ -name '*.dot' | xargs rm -f
find ./PRESENTATION_ExOth/ -name '*.dot' | xargs rm -f
find ./PRESENTATION_ExSyn/ -name '*.dot' | xargs rm -f
find ./PRESENTATION_Intro/ -name 'counter_00.dot' | xargs rm -f
find ./PRESENTATION_Intro/ -name 'counter_01.dot' | xargs rm -f
find ./PRESENTATION_Intro/ -name 'counter_02.dot' | xargs rm -f
find ./PRESENTATION_Intro/ -name 'counter_03.dot' | xargs rm -f
find ./PRESENTATION_Prog/ -name 'my_cmd.so' | xargs rm -f
find ./PRESENTATION_Prog/ -name 'my_cmd.d' | xargs rm -f
rm -f kernel/version_5869d26.o kernel/driver.o kernel/register.o kernel/rtlil.o kernel/log.o kernel/calc.o kernel/yosys.o kernel/cellaigs.o libs/bigint/BigIntegerAlgorithms.o libs/bigint/BigInteger.o libs/bigint/BigIntegerUtils.o libs/bigint/BigUnsigned.o libs/bigint/BigUnsignedInABase.o libs/sha1/sha1.o libs/subcircuit/subcircuit.o libs/ezsat/ezsat.o libs/ezsat/ezminisat.o libs/minisat/Options.o libs/minisat/SimpSolver.o libs/minisat/Solver.o libs/minisat/System.o frontends/vhdl2verilog/vhdl2verilog.o frontends/ilang/ilang_parser.tab.o frontends/ilang/ilang_lexer.o frontends/ilang/ilang_frontend.o frontends/verilog/verilog_parser.tab.o frontends/verilog/verilog_lexer.o frontends/verilog/preproc.o frontends/verilog/verilog_frontend.o frontends/verilog/const2ast.o frontends/ast/ast.o frontends/ast/simplify.o frontends/ast/genrtlil.o frontends/ast/dpicall.o frontends/blif/blifparse.o frontends/verific/verific.o frontends/liberty/liberty.o passes/tests/test_autotb.o passes/tests/test_cell.o passes/tests/test_abcloop.o passes/sat/sat.o passes/sat/freduce.o passes/sat/eval.o passes/sat/miter.o passes/sat/expose.o passes/cmds/add.o passes/cmds/delete.o passes/cmds/design.o passes/cmds/select.o passes/cmds/show.o passes/cmds/rename.o passes/cmds/connect.o passes/cmds/scatter.o passes/cmds/setundef.o passes/cmds/splitnets.o passes/cmds/stat.o passes/cmds/setattr.o passes/cmds/copy.o passes/cmds/splice.o passes/cmds/scc.o passes/cmds/torder.o passes/cmds/logcmd.o passes/cmds/tee.o passes/cmds/write_file.o passes/cmds/connwrappers.o passes/cmds/cover.o passes/cmds/trace.o passes/cmds/plugin.o passes/cmds/check.o passes/cmds/qwp.o passes/cmds/edgetypes.o passes/memory/memory.o passes/memory/memory_dff.o passes/memory/memory_share.o passes/memory/memory_collect.o passes/memory/memory_unpack.o passes/memory/memory_bram.o passes/memory/memory_map.o passes/techmap/techmap.o passes/techmap/simplemap.o passes/techmap/dfflibmap.o passes/techmap/maccmap.o passes/techmap/libparse.o passes/techmap/abc.o passes/techmap/iopadmap.o passes/techmap/hilomap.o passes/techmap/extract.o passes/techmap/alumacc.o passes/techmap/dff2dffe.o passes/techmap/dffinit.o passes/techmap/pmuxtree.o passes/techmap/muxcover.o passes/techmap/aigmap.o passes/techmap/tribuf.o passes/techmap/lut2mux.o passes/techmap/nlutmap.o passes/techmap/dffsr2dff.o passes/opt/opt.o passes/opt/opt_share.o passes/opt/opt_muxtree.o passes/opt/opt_reduce.o passes/opt/opt_rmdff.o passes/opt/opt_clean.o passes/opt/opt_const.o passes/opt/share.o passes/opt/wreduce.o passes/hierarchy/hierarchy.o passes/hierarchy/singleton.o passes/hierarchy/submod.o passes/equiv/equiv_make.o passes/equiv/equiv_miter.o passes/equiv/equiv_simple.o passes/equiv/equiv_status.o passes/equiv/equiv_add.o passes/equiv/equiv_remove.o passes/equiv/equiv_induct.o passes/equiv/equiv_struct.o passes/equiv/equiv_purge.o passes/equiv/equiv_mark.o passes/fsm/fsm.o passes/fsm/fsm_detect.o passes/fsm/fsm_extract.o passes/fsm/fsm_opt.o passes/fsm/fsm_expand.o passes/fsm/fsm_recode.o passes/fsm/fsm_info.o passes/fsm/fsm_export.o passes/fsm/fsm_map.o passes/proc/proc.o passes/proc/proc_clean.o passes/proc/proc_rmdead.o passes/proc/proc_init.o passes/proc/proc_arst.o passes/proc/proc_mux.o passes/proc/proc_dlatch.o passes/proc/proc_dff.o backends/edif/edif.o backends/smt2/smt2.o backends/btor/btor.o backends/intersynth/intersynth.o backends/ilang/ilang_backend.o backends/json/json.o backends/verilog/verilog_backend.o backends/smv/smv.o backends/blif/blif.o backends/spice/spice.o techlibs/xilinx/synth_xilinx.o techlibs/common/synth.o techlibs/common/prep.o techlibs/ice40/synth_ice40.o techlibs/ice40/ice40_ffssr.o techlibs/ice40/ice40_ffinit.o techlibs/ice40/ice40_opt.o techlibs/greenpak4/synth_greenpak4.o  frontends/ilang/ilang_parser.tab.cc frontends/ilang/ilang_parser.tab.h frontends/ilang/ilang_parser.output frontends/ilang/ilang_lexer.cc frontends/verilog/verilog_parser.tab.cc frontends/verilog/verilog_parser.tab.h frontends/verilog/verilog_parser.output frontends/verilog/verilog_lexer.cc passes/techmap/techmap.inc techlibs/xilinx/brams_init_36.vh techlibs/xilinx/brams_init_32.vh techlibs/xilinx/brams_init_18.vh techlibs/xilinx/brams_init_16.vh techlibs/common/simlib_help.inc techlibs/common/simcells_help.inc techlibs/ice40/brams_init1.vh techlibs/ice40/brams_init2.vh techlibs/ice40/brams_init3.vh yosys yosys-config yosys-filterlib yosys-smtbmc  share/include/kernel/yosys.h share/include/kernel/hashlib.h share/include/kernel/log.h share/include/kernel/rtlil.h share/include/kernel/register.h share/include/kernel/celltypes.h share/include/kernel/consteval.h share/include/kernel/sigtools.h share/include/kernel/modtools.h share/include/kernel/macc.h share/include/kernel/utils.h share/include/kernel/satgen.h share/include/libs/ezsat/ezsat.h share/include/libs/ezsat/ezminisat.h share/include/libs/sha1/sha1.h share/include/passes/fsm/fsmdata.h share/include/backends/ilang/ilang_backend.h share/include/frontends/ast/ast.h share/python3/smtio.py share/xilinx/cells_map.v share/xilinx/cells_sim.v share/xilinx/brams.txt share/xilinx/brams_map.v share/xilinx/brams_bb.v share/xilinx/drams.txt share/xilinx/drams_map.v share/xilinx/drams_bb.v share/xilinx/arith_map.v share/xilinx/brams_init_36.vh share/xilinx/brams_init_32.vh share/xilinx/brams_init_18.vh share/xilinx/brams_init_16.vh share/simlib.v share/simcells.v share/techmap.v share/pmux2mux.v share/adff2dff.v share/cells.lib share/ice40/arith_map.v share/ice40/cells_map.v share/ice40/cells_sim.v share/ice40/brams.txt share/ice40/brams_map.v share/ice40/brams_init1.vh share/ice40/brams_init2.vh share/ice40/brams_init3.vh share/greenpak4/cells_map.v share/greenpak4/cells_sim.v share/greenpak4/gp_dff.lib  passes/techmap/filterlib.o techlibs/xilinx/brams_init.mk techlibs/ice40/brams_init.mk
rm -f kernel/version_*.o kernel/version_*.cc abc/abc-[0-9a-f]*
rm -f libs/*/*.d frontends/*/*.d passes/*/*.d backends/*/*.d kernel/*.d techlibs/*/*.d
echo 'CONFIG := gcc' > Makefile.conf
make[2]: Leaving directory '/<<PKGBUILDDIR>>'
make[1]: Leaving directory '/<<PKGBUILDDIR>>'
   debian/rules override_dh_auto_build-arch
make[1]: Entering directory '/<<PKGBUILDDIR>>'
dh_auto_build --parallel -- all
	make -j4 all
make[2]: Entering directory '/<<PKGBUILDDIR>>'
[Makefile.conf] CONFIG := gcc
[  0%] Building kernel/version_5869d26.cc
[  1%] Building kernel/driver.o
[  1%] Building techlibs/common/simlib_help.inc
[  1%] Building techlibs/common/simcells_help.inc
[  2%] Building kernel/rtlil.o
[  2%] Building kernel/log.o
[  3%] Building kernel/calc.o
kernel/log.cc: In function 'void Yosys::log_backtrace(const char*, int)':
kernel/log.cc:284:40: warning: unsupported argument to 'void* __builtin_return_address(unsigned int)'
  if ((p = __builtin_extract_return_addr(__builtin_return_address(1))) && dladdr(p, &dli)) {
           ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~
kernel/log.cc:293:40: warning: unsupported argument to 'void* __builtin_return_address(unsigned int)'
  if ((p = __builtin_extract_return_addr(__builtin_return_address(2))) && dladdr(p, &dli)) {
           ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~
kernel/log.cc:302:40: warning: unsupported argument to 'void* __builtin_return_address(unsigned int)'
  if ((p = __builtin_extract_return_addr(__builtin_return_address(3))) && dladdr(p, &dli)) {
           ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~
kernel/log.cc:311:40: warning: unsupported argument to 'void* __builtin_return_address(unsigned int)'
  if ((p = __builtin_extract_return_addr(__builtin_return_address(4))) && dladdr(p, &dli)) {
           ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~
kernel/log.cc:320:40: warning: unsupported argument to 'void* __builtin_return_address(unsigned int)'
  if ((p = __builtin_extract_return_addr(__builtin_return_address(5))) && dladdr(p, &dli)) {
           ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~
kernel/log.cc:329:40: warning: unsupported argument to 'void* __builtin_return_address(unsigned int)'
  if ((p = __builtin_extract_return_addr(__builtin_return_address(6))) && dladdr(p, &dli)) {
           ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~
kernel/log.cc:338:40: warning: unsupported argument to 'void* __builtin_return_address(unsigned int)'
  if ((p = __builtin_extract_return_addr(__builtin_return_address(7))) && dladdr(p, &dli)) {
           ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~
kernel/log.cc:347:40: warning: unsupported argument to 'void* __builtin_return_address(unsigned int)'
  if ((p = __builtin_extract_return_addr(__builtin_return_address(8))) && dladdr(p, &dli)) {
           ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~
[  4%] Building kernel/yosys.o
[  4%] Building kernel/cellaigs.o
[  5%] Building libs/bigint/BigIntegerAlgorithms.o
[  5%] Building libs/bigint/BigInteger.o
[  6%] Building libs/bigint/BigIntegerUtils.o
[  6%] Building libs/bigint/BigUnsigned.o
[  7%] Building libs/bigint/BigUnsignedInABase.o
[  8%] Building libs/sha1/sha1.o
[  8%] Building libs/subcircuit/subcircuit.o
[  9%] Building libs/ezsat/ezsat.o
[  9%] Building libs/ezsat/ezminisat.o
[ 10%] Building libs/minisat/Options.o
[ 11%] Building libs/minisat/SimpSolver.o
[ 11%] Building libs/minisat/Solver.o
[ 12%] Building libs/minisat/System.o
[ 12%] Building frontends/vhdl2verilog/vhdl2verilog.o
[ 12%] Building frontends/ilang/ilang_parser.tab.cc
[ 12%] Building frontends/ilang/ilang_lexer.cc
[ 14%] Building frontends/ilang/ilang_frontend.o
[ 14%] Building frontends/verilog/verilog_parser.tab.cc
[ 14%] Building frontends/verilog/verilog_lexer.cc
[ 16%] Building frontends/verilog/preproc.o
[ 16%] Building frontends/verilog/verilog_frontend.o
[ 17%] Building frontends/verilog/const2ast.o
[ 18%] Building frontends/ast/ast.o
[ 18%] Building frontends/ast/simplify.o
[ 19%] Building frontends/ast/genrtlil.o
[ 19%] Building frontends/ast/dpicall.o
[ 20%] Building frontends/blif/blifparse.o
[ 20%] Building frontends/verific/verific.o
[ 21%] Building frontends/liberty/liberty.o
[ 22%] Building passes/tests/test_autotb.o
[ 22%] Building passes/tests/test_cell.o
[ 23%] Building passes/tests/test_abcloop.o
[ 23%] Building passes/sat/sat.o
[ 24%] Building passes/sat/freduce.o
[ 24%] Building passes/sat/eval.o
[ 25%] Building passes/sat/miter.o
[ 26%] Building passes/sat/expose.o
[ 26%] Building passes/cmds/add.o
[ 27%] Building passes/cmds/delete.o
[ 27%] Building passes/cmds/design.o
[ 28%] Building passes/cmds/select.o
[ 29%] Building passes/cmds/show.o
[ 29%] Building passes/cmds/rename.o
[ 30%] Building passes/cmds/connect.o
[ 30%] Building passes/cmds/scatter.o
[ 31%] Building passes/cmds/setundef.o
[ 31%] Building passes/cmds/splitnets.o
[ 32%] Building passes/cmds/stat.o
[ 33%] Building passes/cmds/setattr.o
[ 33%] Building passes/cmds/copy.o
[ 34%] Building passes/cmds/splice.o
[ 34%] Building passes/cmds/scc.o
[ 35%] Building passes/cmds/torder.o
[ 36%] Building passes/cmds/logcmd.o
[ 36%] Building passes/cmds/tee.o
[ 37%] Building passes/cmds/write_file.o
[ 37%] Building passes/cmds/connwrappers.o
[ 38%] Building passes/cmds/cover.o
[ 38%] Building passes/cmds/trace.o
[ 39%] Building passes/cmds/plugin.o
[ 40%] Building passes/cmds/check.o
[ 40%] Building passes/cmds/qwp.o
[ 41%] Building passes/cmds/edgetypes.o
[ 41%] Building passes/memory/memory.o
[ 42%] Building passes/memory/memory_dff.o
[ 42%] Building passes/memory/memory_share.o
[ 43%] Building passes/memory/memory_collect.o
[ 44%] Building passes/memory/memory_unpack.o
[ 44%] Building passes/memory/memory_bram.o
[ 45%] Building passes/memory/memory_map.o
[ 45%] Building passes/techmap/techmap.inc
[ 46%] Building passes/techmap/simplemap.o
[ 47%] Building passes/techmap/dfflibmap.o
[ 47%] Building passes/techmap/maccmap.o
[ 48%] Building passes/techmap/libparse.o
[ 48%] Building passes/techmap/abc.o
[ 49%] Building passes/techmap/iopadmap.o
[ 49%] Building passes/techmap/hilomap.o
[ 50%] Building passes/techmap/extract.o
[ 51%] Building passes/techmap/alumacc.o
[ 51%] Building passes/techmap/dff2dffe.o
[ 52%] Building passes/techmap/dffinit.o
[ 52%] Building passes/techmap/pmuxtree.o
[ 53%] Building passes/techmap/muxcover.o
[ 54%] Building passes/techmap/aigmap.o
[ 54%] Building passes/techmap/tribuf.o
[ 55%] Building passes/techmap/lut2mux.o
[ 55%] Building passes/techmap/nlutmap.o
[ 56%] Building passes/techmap/dffsr2dff.o
[ 56%] Building passes/opt/opt.o
[ 57%] Building passes/opt/opt_share.o
[ 58%] Building passes/opt/opt_muxtree.o
[ 58%] Building passes/opt/opt_reduce.o
[ 59%] Building passes/opt/opt_rmdff.o
[ 59%] Building passes/opt/opt_clean.o
[ 60%] Building passes/opt/opt_const.o
[ 60%] Building passes/opt/share.o
[ 61%] Building passes/opt/wreduce.o
[ 62%] Building passes/hierarchy/hierarchy.o
[ 62%] Building passes/hierarchy/singleton.o
[ 63%] Building passes/hierarchy/submod.o
[ 63%] Building passes/equiv/equiv_make.o
[ 64%] Building passes/equiv/equiv_miter.o
[ 65%] Building passes/equiv/equiv_simple.o
[ 65%] Building passes/equiv/equiv_status.o
[ 66%] Building passes/equiv/equiv_add.o
[ 66%] Building passes/equiv/equiv_remove.o
[ 67%] Building passes/equiv/equiv_induct.o
[ 67%] Building passes/equiv/equiv_struct.o
[ 68%] Building passes/equiv/equiv_purge.o
[ 69%] Building passes/equiv/equiv_mark.o
[ 69%] Building passes/fsm/fsm.o
[ 70%] Building passes/fsm/fsm_detect.o
[ 70%] Building passes/fsm/fsm_extract.o
[ 71%] Building passes/fsm/fsm_opt.o
[ 72%] Building passes/fsm/fsm_expand.o
[ 72%] Building passes/fsm/fsm_recode.o
[ 73%] Building passes/fsm/fsm_info.o
[ 73%] Building passes/fsm/fsm_export.o
[ 74%] Building passes/fsm/fsm_map.o
[ 74%] Building passes/proc/proc.o
[ 75%] Building passes/proc/proc_clean.o
[ 76%] Building passes/proc/proc_rmdead.o
[ 76%] Building passes/proc/proc_init.o
[ 77%] Building passes/proc/proc_arst.o
[ 77%] Building passes/proc/proc_mux.o
[ 78%] Building passes/proc/proc_dlatch.o
[ 78%] Building passes/proc/proc_dff.o
[ 79%] Building backends/edif/edif.o
[ 80%] Building backends/smt2/smt2.o
[ 80%] Building backends/btor/btor.o
[ 81%] Building backends/intersynth/intersynth.o
[ 81%] Building backends/ilang/ilang_backend.o
[ 82%] Building backends/json/json.o
[ 83%] Building backends/verilog/verilog_backend.o
[ 83%] Building backends/smv/smv.o
[ 84%] Building backends/blif/blif.o
[ 84%] Building backends/spice/spice.o
[ 85%] Building techlibs/xilinx/synth_xilinx.o
[ 85%] Building techlibs/common/synth.o
[ 86%] Building techlibs/common/prep.o
[ 87%] Building techlibs/ice40/synth_ice40.o
[ 87%] Building techlibs/ice40/ice40_ffssr.o
[ 88%] Building techlibs/ice40/ice40_ffinit.o
[ 88%] Building techlibs/ice40/ice40_opt.o
[ 89%] Building techlibs/greenpak4/synth_greenpak4.o
[ 99%] Building yosys-config
[ 99%] Building passes/techmap/filterlib.o
[ 99%] Building yosys-smtbmc
[ 99%] Building share/include/kernel/yosys.h
[ 99%] Building share/include/kernel/hashlib.h
[ 99%] Building share/include/kernel/log.h
[ 99%] Building share/include/kernel/rtlil.h
[ 99%] Building share/include/kernel/register.h
[ 99%] Building share/include/kernel/celltypes.h
[ 99%] Building share/include/kernel/consteval.h
[ 99%] Building share/include/kernel/sigtools.h
[ 99%] Building share/include/kernel/modtools.h
[ 99%] Building share/include/kernel/macc.h
[ 99%] Building share/include/kernel/utils.h
[ 99%] Building share/include/kernel/satgen.h
[ 99%] Building share/include/libs/ezsat/ezsat.h
[ 99%] Building share/include/libs/ezsat/ezminisat.h
[ 99%] Building share/include/libs/sha1/sha1.h
[ 99%] Building share/include/passes/fsm/fsmdata.h
[ 99%] Building share/include/backends/ilang/ilang_backend.h
[ 99%] Building share/include/frontends/ast/ast.h
[ 99%] Building share/python3/smtio.py
[ 99%] Building share/xilinx/cells_map.v
[ 99%] Building share/xilinx/cells_sim.v
[ 99%] Building share/xilinx/brams.txt
[ 99%] Building share/xilinx/brams_map.v
[ 99%] Building share/xilinx/brams_bb.v
[ 99%] Building share/xilinx/drams.txt
[ 99%] Building share/xilinx/drams_map.v
[ 99%] Building share/xilinx/drams_bb.v
[ 99%] Building share/xilinx/arith_map.v
[ 99%] Building techlibs/xilinx/brams_init.mk
[ 99%] Building share/simlib.v
[ 99%] Building share/simcells.v
[ 99%] Building share/techmap.v
[ 99%] Building share/pmux2mux.v
[ 99%] Building share/adff2dff.v
[ 99%] Building share/cells.lib
[ 99%] Building share/ice40/arith_map.v
[ 99%] Building share/ice40/cells_map.v
[ 99%] Building share/ice40/cells_sim.v
[ 99%] Building share/ice40/brams.txt
[ 99%] Building share/ice40/brams_map.v
[ 99%] Building techlibs/ice40/brams_init.mk
[ 99%] Building share/greenpak4/cells_map.v
[ 99%] Building share/greenpak4/cells_sim.v
[ 99%] Building share/greenpak4/gp_dff.lib
[ 99%] Building kernel/version_5869d26.o
[ 99%] Building kernel/register.o
[ 99%] Building frontends/ilang/ilang_parser.tab.o
[ 99%] Building frontends/ilang/ilang_lexer.o
[ 99%] Building frontends/verilog/verilog_parser.tab.o
frontends/ilang/ilang_lexer.cc: In function 'int rtlil_frontend_ilang_yylex()':
frontends/ilang/ilang_lexer.cc:928:35: warning: comparison between signed and unsigned integer expressions [-Wsign-compare]
    for ( yyl = (yy_more_len); yyl < rtlil_frontend_ilang_yyleng; ++yyl )
                               ~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~
frontends/ilang/ilang_lexer.cc: In function 'int yy_get_next_buffer()':
frontends/ilang/ilang_lexer.cc:1441:23: warning: comparison between signed and unsigned integer expressions [-Wsign-compare]
   if ( number_to_move == YY_MORE_ADJ )
                        
frontends/ilang/ilang_lexer.cc: In function 'yy_buffer_state* rtlil_frontend_ilang_yy_scan_bytes(const char*, int)':
frontends/ilang/ilang_lexer.cc:1947:17: warning: comparison between signed and unsigned integer expressions [-Wsign-compare]
  for ( i = 0; i < _yybytes_len; ++i )
               ~~^~~~~~~~~~~~~~
[ 99%] Building frontends/verilog/verilog_lexer.o
frontends/verilog/verilog_lexer.cc: In function 'int frontend_verilog_yylex()':
frontends/verilog/verilog_lexer.cc:1379:35: warning: comparison between signed and unsigned integer expressions [-Wsign-compare]
    for ( yyl = (yy_more_len); yyl < frontend_verilog_yyleng; ++yyl )
                               ~~~~^~~~~~~~~~~~~~~~~~~~~~~~~
frontends/verilog/verilog_lexer.cc: In function 'int yy_get_next_buffer()':
frontends/verilog/verilog_lexer.cc:2378:23: warning: comparison between signed and unsigned integer expressions [-Wsign-compare]
   if ( number_to_move == YY_MORE_ADJ )
                        
frontends/verilog/verilog_lexer.cc: In function 'yy_buffer_state* frontend_verilog_yy_scan_bytes(const char*, int)':
frontends/verilog/verilog_lexer.cc:2884:17: warning: comparison between signed and unsigned integer expressions [-Wsign-compare]
  for ( i = 0; i < _yybytes_len; ++i )
               ~~^~~~~~~~~~~~~~
[ 99%] Building passes/techmap/techmap.o
[ 99%] Building yosys-filterlib
[ 99%] Building share/xilinx/brams_init_36.vh
[ 99%] Building share/xilinx/brams_init_32.vh
[ 99%] Building share/xilinx/brams_init_18.vh
[ 99%] Building share/xilinx/brams_init_16.vh
[ 99%] Building share/ice40/brams_init1.vh
[ 99%] Building share/ice40/brams_init2.vh
[ 99%] Building share/ice40/brams_init3.vh
[100%] Building yosys

  Build successful.

make[2]: Leaving directory '/<<PKGBUILDDIR>>'
make[1]: Leaving directory '/<<PKGBUILDDIR>>'
   dh_auto_test -a -O--parallel
 fakeroot debian/rules binary-arch
PREFIX=/usr dh binary-arch --parallel --with=python3
   dh_testroot -a -O--parallel
   dh_prep -a -O--parallel
   dh_auto_install -a -O--parallel
	make -j4 install DESTDIR=/<<PKGBUILDDIR>>/debian/tmp AM_UPDATE_INFO_DIR=no
make[1]: Entering directory '/<<PKGBUILDDIR>>'
[Makefile.conf] CONFIG := gcc
mkdir -p /<<PKGBUILDDIR>>/debian/tmp/usr/bin
install yosys yosys-config yosys-filterlib yosys-smtbmc /<<PKGBUILDDIR>>/debian/tmp/usr/bin/
mkdir -p /<<PKGBUILDDIR>>/debian/tmp/usr/share/yosys
cp -r share/. /<<PKGBUILDDIR>>/debian/tmp/usr/share/yosys/.
make[1]: Leaving directory '/<<PKGBUILDDIR>>'
   debian/rules override_dh_install
make[1]: Entering directory '/<<PKGBUILDDIR>>'
dh_install --fail-missing
make[1]: Leaving directory '/<<PKGBUILDDIR>>'
   dh_installdocs -a -O--parallel
   dh_installchangelogs -a -O--parallel
   debian/rules override_dh_installman
make[1]: Entering directory '/<<PKGBUILDDIR>>'
cd debian/man ; CHANGELOG_DATE="22 September 2016" ./genmanpages.sh
dh_installman
make[1]: Leaving directory '/<<PKGBUILDDIR>>'
   dh_python3 -a -O--parallel
I: dh_python3 tools:100: replacing shebang in debian/yosys/usr/bin/yosys-smtbmc
   dh_perl -a -O--parallel
   dh_link -a -O--parallel
   dh_strip_nondeterminism -a -O--parallel
   debian/rules override_dh_compress
make[1]: Entering directory '/<<PKGBUILDDIR>>'
dh_compress --exclude=.pdf
make[1]: Leaving directory '/<<PKGBUILDDIR>>'
   dh_fixperms -a -O--parallel
   dh_strip -a -O--parallel
   dh_makeshlibs -a -O--parallel
   dh_shlibdeps -a -O--parallel
dpkg-shlibdeps: warning: debian/yosys/usr/bin/yosys contains an unresolvable reference to symbol __aeabi_atexit@CXXABI_ARM_1.3.3: it's probably a plugin
dpkg-shlibdeps: warning: debian/yosys/usr/bin/yosys-filterlib contains an unresolvable reference to symbol __aeabi_atexit@CXXABI_ARM_1.3.3: it's probably a plugin
   dh_installdeb -a -O--parallel
   dh_gencontrol -a -O--parallel
dpkg-gencontrol: warning: File::FcntlLock not available; using flock which is not NFS-safe
dpkg-gencontrol: warning: File::FcntlLock not available; using flock which is not NFS-safe
dpkg-gencontrol: warning: Depends field of package yosys-dev: unknown substitution variable ${shlibs:Depends}
dpkg-gencontrol: warning: Depends field of package yosys-dev: unknown substitution variable ${python3:Depends}
dpkg-gencontrol: warning: File::FcntlLock not available; using flock which is not NFS-safe
   dh_md5sums -a -O--parallel
   dh_builddeb -a -O--parallel
dpkg-deb: building package 'yosys-dbgsym' in '../yosys-dbgsym_0.6-7_armhf.deb'.
dpkg-deb: building package 'yosys-dev' in '../yosys-dev_0.6-7_armhf.deb'.
dpkg-deb: building package 'yosys' in '../yosys_0.6-7_armhf.deb'.
 dpkg-genchanges --build=any -mRaspbian wandboard test autobuilder <root@raspbian.org> >../yosys_0.6-7_armhf.changes
dpkg-genchanges: info: binary-only arch-specific upload (source code and arch-indep packages not included)
 dpkg-source --after-build yosys-0.6
dpkg-buildpackage: info: binary-only upload (no source included)
--------------------------------------------------------------------------------
Build finished at 20160928-1240

Finished
--------

I: Built successfully

+------------------------------------------------------------------------------+
| Post Build Chroot                                                            |
+------------------------------------------------------------------------------+


+------------------------------------------------------------------------------+
| Changes                                                                      |
+------------------------------------------------------------------------------+


yosys_0.6-7_armhf.changes:
--------------------------

Format: 1.8
Date: Thu, 22 Sep 2016 19:30:37 +0200
Source: yosys
Binary: yosys yosys-dev yosys-doc
Architecture: armhf
Version: 0.6-7
Distribution: stretch-staging
Urgency: medium
Maintainer: Raspbian wandboard test autobuilder <root@raspbian.org>
Changed-By: Ruben Undheim <ruben.undheim@gmail.com>
Description:
 yosys      - Framework for Verilog RTL synthesis
 yosys-dev  - Framework for Verilog RTL synthesis (development files)
 yosys-doc  - Documentation for Yosys
Closes: 835678 835953
Changes:
 yosys (0.6-7) unstable; urgency=medium
 .
   [ Ruben Undheim ]
   * Use gbp-pq for patches.
   * debian/control:
     - Removed dependency on GCC 5 which was added in 0.6-6. (Closes: #835953)
       It causes problems now since GCC 6 is default.
 .
   [Sebastian Kuzminsky]
   * debian/patches/switch-to-free-font.patch: Switch manual tt font
     from libertine to inconsolata.  The yosys manual doesn't render with
     libertine on sid, but it renders nicely with inconsolata. (Closes: #835678)
Checksums-Sha1:
 a361b60df3e97d3b3c9631e15166d6775e0d6aaa 32751612 yosys-dbgsym_0.6-7_armhf.deb
 ec8f8afbf45a83e84c48eb1f48d68f303ece8f52 51512 yosys-dev_0.6-7_armhf.deb
 f14631c726cd3f6691f50c1d20f81b37a8727cb9 1292112 yosys_0.6-7_armhf.deb
Checksums-Sha256:
 d59ebc21b6dd46e972e6cb5f68a82cef4786f639a4bbc0b5d37b4eff41d043c5 32751612 yosys-dbgsym_0.6-7_armhf.deb
 ac2eb724d5b63bfcc93ee327fb61b7bda6272b1a896df31a836c7aae163705c7 51512 yosys-dev_0.6-7_armhf.deb
 74a7179afc43c07266c20af2f7de75200f3765b4fc092863e445075653987e67 1292112 yosys_0.6-7_armhf.deb
Files:
 f7fb72dc9ea999600ba0a12c5af20f4d 32751612 debug extra yosys-dbgsym_0.6-7_armhf.deb
 085cafcca3c06c5c65a9f96b23f1790c 51512 electronics optional yosys-dev_0.6-7_armhf.deb
 a4e0207bd6e86844b110c25469344a35 1292112 electronics optional yosys_0.6-7_armhf.deb

+------------------------------------------------------------------------------+
| Package contents                                                             |
+------------------------------------------------------------------------------+


yosys-dbgsym_0.6-7_armhf.deb
----------------------------

 new debian package, version 2.0.
 size 32751612 bytes: control archive=535 bytes.
     444 bytes,    13 lines      control              
     212 bytes,     2 lines      md5sums              
 Package: yosys-dbgsym
 Source: yosys
 Version: 0.6-7
 Architecture: armhf
 Maintainer: Debian Science Maintainers <debian-science-maintainers@lists.alioth.debian.org>
 Installed-Size: 32974
 Depends: yosys (= 0.6-7)
 Section: debug
 Priority: extra
 Homepage: http://www.clifford.at/yosys
 Description: Debug symbols for yosys
 Auto-Built-Package: debug-symbols
 Build-Ids: c12cd78d882c7ada7c96ee12ab1e47d8044d4a2b da43eee05130e52a4f133991dbab352a35c5f6f8

drwxr-xr-x root/root         0 2016-09-22 17:30 ./
drwxr-xr-x root/root         0 2016-09-22 17:30 ./usr/
drwxr-xr-x root/root         0 2016-09-22 17:30 ./usr/lib/
drwxr-xr-x root/root         0 2016-09-22 17:30 ./usr/lib/debug/
drwxr-xr-x root/root         0 2016-09-22 17:30 ./usr/lib/debug/.build-id/
drwxr-xr-x root/root         0 2016-09-22 17:30 ./usr/lib/debug/.build-id/c1/
-rw-r--r-- root/root  33654792 2016-09-22 17:30 ./usr/lib/debug/.build-id/c1/2cd78d882c7ada7c96ee12ab1e47d8044d4a2b.debug
drwxr-xr-x root/root         0 2016-09-22 17:30 ./usr/lib/debug/.build-id/da/
-rw-r--r-- root/root     97388 2016-09-22 17:30 ./usr/lib/debug/.build-id/da/43eee05130e52a4f133991dbab352a35c5f6f8.debug
drwxr-xr-x root/root         0 2016-09-22 17:30 ./usr/share/
drwxr-xr-x root/root         0 2016-09-22 17:30 ./usr/share/doc/
lrwxrwxrwx root/root         0 2016-09-22 17:30 ./usr/share/doc/yosys-dbgsym -> yosys


yosys-dev_0.6-7_armhf.deb
-------------------------

 new debian package, version 2.0.
 size 51512 bytes: control archive=1355 bytes.
     794 bytes,    19 lines      control              
    1715 bytes,    23 lines      md5sums              
 Package: yosys-dev
 Source: yosys
 Version: 0.6-7
 Architecture: armhf
 Maintainer: Debian Science Maintainers <debian-science-maintainers@lists.alioth.debian.org>
 Installed-Size: 285
 Section: electronics
 Priority: optional
 Homepage: http://www.clifford.at/yosys
 Description: Framework for Verilog RTL synthesis (development files)
  Yosys is a framework for Verilog RTL synthesis. It currently has extensive
  Verilog-2005 support and provides a basic set of synthesis algorithms for
  various application domains.
  .
  Yosys can be adapted to perform any synthesis job by combining the existing
  passes (algorithms) using synthesis scripts and adding additional passes as
  needed by extending the yosys C++ code base.
  .
  This package contains the headers and programs needed to build yosys plugins.

drwxr-xr-x root/root         0 2016-09-22 17:30 ./
drwxr-xr-x root/root         0 2016-09-22 17:30 ./usr/
drwxr-xr-x root/root         0 2016-09-22 17:30 ./usr/bin/
-rwxr-xr-x root/root      3468 2016-09-22 17:30 ./usr/bin/yosys-config
drwxr-xr-x root/root         0 2016-09-22 17:30 ./usr/share/
drwxr-xr-x root/root         0 2016-09-22 17:30 ./usr/share/doc/
drwxr-xr-x root/root         0 2016-09-22 17:30 ./usr/share/doc/yosys-dev/
-rw-r--r-- root/root      1830 2016-09-22 17:30 ./usr/share/doc/yosys-dev/changelog.Debian.gz
-rw-r--r-- root/root      5353 2016-02-26 15:55 ./usr/share/doc/yosys-dev/changelog.gz
-rw-r--r-- root/root      5875 2016-08-19 17:53 ./usr/share/doc/yosys-dev/copyright
drwxr-xr-x root/root         0 2016-09-22 17:30 ./usr/share/man/
drwxr-xr-x root/root         0 2016-09-22 17:30 ./usr/share/man/man1/
-rw-r--r-- root/root       898 2016-09-22 17:30 ./usr/share/man/man1/yosys-config.1.gz
drwxr-xr-x root/root         0 2016-09-22 17:30 ./usr/share/yosys/
drwxr-xr-x root/root         0 2016-09-22 17:30 ./usr/share/yosys/include/
drwxr-xr-x root/root         0 2016-09-22 17:30 ./usr/share/yosys/include/backends/
drwxr-xr-x root/root         0 2016-09-22 17:30 ./usr/share/yosys/include/backends/ilang/
-rw-r--r-- root/root      2445 2016-09-22 17:30 ./usr/share/yosys/include/backends/ilang/ilang_backend.h
drwxr-xr-x root/root         0 2016-09-22 17:30 ./usr/share/yosys/include/frontends/
drwxr-xr-x root/root         0 2016-09-22 17:30 ./usr/share/yosys/include/frontends/ast/
-rw-r--r-- root/root     10186 2016-09-22 17:30 ./usr/share/yosys/include/frontends/ast/ast.h
drwxr-xr-x root/root         0 2016-09-22 17:30 ./usr/share/yosys/include/kernel/
-rw-r--r-- root/root     12748 2016-09-22 17:30 ./usr/share/yosys/include/kernel/celltypes.h
-rw-r--r-- root/root      9807 2016-09-22 17:30 ./usr/share/yosys/include/kernel/consteval.h
-rw-r--r-- root/root     24489 2016-09-22 17:30 ./usr/share/yosys/include/kernel/hashlib.h
-rw-r--r-- root/root      9045 2016-09-22 17:30 ./usr/share/yosys/include/kernel/log.h
-rw-r--r-- root/root      7011 2016-09-22 17:30 ./usr/share/yosys/include/kernel/macc.h
-rw-r--r-- root/root     14512 2016-09-22 17:30 ./usr/share/yosys/include/kernel/modtools.h
-rw-r--r-- root/root      4567 2016-09-22 17:30 ./usr/share/yosys/include/kernel/register.h
-rw-r--r-- root/root     53658 2016-09-22 17:30 ./usr/share/yosys/include/kernel/rtlil.h
-rw-r--r-- root/root     49076 2016-09-22 17:30 ./usr/share/yosys/include/kernel/satgen.h
-rw-r--r-- root/root      6625 2016-09-22 17:30 ./usr/share/yosys/include/kernel/sigtools.h
-rw-r--r-- root/root      5030 2016-09-22 17:30 ./usr/share/yosys/include/kernel/utils.h
-rw-r--r-- root/root      9133 2016-09-22 17:30 ./usr/share/yosys/include/kernel/yosys.h
drwxr-xr-x root/root         0 2016-09-22 17:30 ./usr/share/yosys/include/libs/
drwxr-xr-x root/root         0 2016-09-22 17:30 ./usr/share/yosys/include/libs/ezsat/
-rw-r--r-- root/root      2094 2016-09-22 17:30 ./usr/share/yosys/include/libs/ezsat/ezminisat.h
-rw-r--r-- root/root     14521 2016-09-22 17:30 ./usr/share/yosys/include/libs/ezsat/ezsat.h
drwxr-xr-x root/root         0 2016-09-22 17:30 ./usr/share/yosys/include/libs/sha1/
-rw-r--r-- root/root      1370 2016-09-22 17:30 ./usr/share/yosys/include/libs/sha1/sha1.h
drwxr-xr-x root/root         0 2016-09-22 17:30 ./usr/share/yosys/include/passes/
drwxr-xr-x root/root         0 2016-09-22 17:30 ./usr/share/yosys/include/passes/fsm/
-rw-r--r-- root/root      6737 2016-09-22 17:30 ./usr/share/yosys/include/passes/fsm/fsmdata.h


yosys_0.6-7_armhf.deb
---------------------

 new debian package, version 2.0.
 size 1292112 bytes: control archive=2228 bytes.
     862 bytes,    17 lines      control              
    2882 bytes,    43 lines      md5sums              
     173 bytes,     9 lines   *  postinst             #!/bin/sh
     385 bytes,    12 lines   *  prerm                #!/bin/sh
 Package: yosys
 Version: 0.6-7
 Architecture: armhf
 Maintainer: Debian Science Maintainers <debian-science-maintainers@lists.alioth.debian.org>
 Installed-Size: 4626
 Depends: libc6 (>= 2.11), libffi6 (>= 3.0.4), libgcc1 (>= 1:3.5), libreadline6 (>= 6.0), libstdc++6 (>= 5.2), libtcl8.6 (>= 8.6.0), python3:any (>= 3.3.2-2~), berkeley-abc (>= 1.01), xdot
 Section: electronics
 Priority: optional
 Homepage: http://www.clifford.at/yosys
 Description: Framework for Verilog RTL synthesis
  This is a framework for Verilog RTL synthesis. It currently has extensive
  Verilog-2005 support and provides a basic set of synthesis algorithms for
  various application domains.
  .
  Yosys can be adapted to perform any synthesis job by combining the existing
  passes (algorithms) using synthesis scripts and adding additional passes as
  needed by extending the yosys C++ code base.

drwxr-xr-x root/root         0 2016-09-22 17:30 ./
drwxr-xr-x root/root         0 2016-09-22 17:30 ./usr/
drwxr-xr-x root/root         0 2016-09-22 17:30 ./usr/bin/
-rwxr-xr-x root/root   4054444 2016-09-22 17:30 ./usr/bin/yosys
lrwxrwxrwx root/root         0 2016-09-22 17:30 ./usr/bin/yosys-abc -> berkeley-abc
-rwxr-xr-x root/root     30484 2016-09-22 17:30 ./usr/bin/yosys-filterlib
-rwxr-xr-x root/root      6725 2016-09-22 17:30 ./usr/bin/yosys-smtbmc
drwxr-xr-x root/root         0 2016-09-22 17:30 ./usr/share/
drwxr-xr-x root/root         0 2016-09-22 17:30 ./usr/share/doc/
drwxr-xr-x root/root         0 2016-09-22 17:30 ./usr/share/doc/yosys/
-rw-r--r-- root/root      5317 2016-02-26 15:55 ./usr/share/doc/yosys/README.gz
-rw-r--r-- root/root      1830 2016-09-22 17:30 ./usr/share/doc/yosys/changelog.Debian.gz
-rw-r--r-- root/root      5353 2016-02-26 15:55 ./usr/share/doc/yosys/changelog.gz
-rw-r--r-- root/root      5875 2016-08-19 17:53 ./usr/share/doc/yosys/copyright
drwxr-xr-x root/root         0 2016-09-22 17:30 ./usr/share/man/
drwxr-xr-x root/root         0 2016-09-22 17:30 ./usr/share/man/man1/
-rw-r--r-- root/root       560 2016-09-22 17:30 ./usr/share/man/man1/yosys-abc.1.gz
-rw-r--r-- root/root       963 2016-09-22 17:30 ./usr/share/man/man1/yosys-filterlib.1.gz
-rw-r--r-- root/root       654 2016-09-22 17:30 ./usr/share/man/man1/yosys-smtbmc.1.gz
-rw-r--r-- root/root      1185 2016-09-22 17:30 ./usr/share/man/man1/yosys.1.gz
drwxr-xr-x root/root         0 2016-09-22 17:30 ./usr/share/python3/
drwxr-xr-x root/root         0 2016-09-22 17:30 ./usr/share/python3/runtime.d/
-rwxr-xr-x root/root       125 2016-09-22 17:30 ./usr/share/python3/runtime.d/yosys.rtupdate
drwxr-xr-x root/root         0 2016-09-22 17:30 ./usr/share/yosys/
-rw-r--r-- root/root       520 2016-09-22 17:30 ./usr/share/yosys/adff2dff.v
-rw-r--r-- root/root      2432 2016-09-22 17:30 ./usr/share/yosys/cells.lib
drwxr-xr-x root/root         0 2016-09-22 17:30 ./usr/share/yosys/greenpak4/
-rw-r--r-- root/root      1029 2016-09-22 17:30 ./usr/share/yosys/greenpak4/cells_map.v
-rw-r--r-- root/root       583 2016-09-22 17:30 ./usr/share/yosys/greenpak4/cells_sim.v
-rw-r--r-- root/root       722 2016-09-22 17:30 ./usr/share/yosys/greenpak4/gp_dff.lib
drwxr-xr-x root/root         0 2016-09-22 17:30 ./usr/share/yosys/ice40/
-rw-r--r-- root/root      2046 2016-09-22 17:30 ./usr/share/yosys/ice40/arith_map.v
-rw-r--r-- root/root       534 2016-09-22 17:30 ./usr/share/yosys/ice40/brams.txt
-rw-r--r-- root/root     50688 2016-09-22 17:30 ./usr/share/yosys/ice40/brams_init1.vh
-rw-r--r-- root/root     50688 2016-09-22 17:30 ./usr/share/yosys/ice40/brams_init2.vh
-rw-r--r-- root/root     50688 2016-09-22 17:30 ./usr/share/yosys/ice40/brams_init3.vh
-rw-r--r-- root/root      7980 2016-09-22 17:30 ./usr/share/yosys/ice40/brams_map.v
-rw-r--r-- root/root      3377 2016-09-22 17:30 ./usr/share/yosys/ice40/cells_map.v
-rw-r--r-- root/root     26434 2016-09-22 17:30 ./usr/share/yosys/ice40/cells_sim.v
-rw-r--r-- root/root       342 2016-09-22 17:30 ./usr/share/yosys/pmux2mux.v
-rw-r--r-- root/root     30233 2016-09-22 17:30 ./usr/share/yosys/simcells.v
-rw-r--r-- root/root     33055 2016-09-22 17:30 ./usr/share/yosys/simlib.v
-rw-r--r-- root/root     10455 2016-09-22 17:30 ./usr/share/yosys/smtio.py
-rw-r--r-- root/root     11989 2016-09-22 17:30 ./usr/share/yosys/techmap.v
drwxr-xr-x root/root         0 2016-09-22 17:30 ./usr/share/yosys/xilinx/
-rw-r--r-- root/root      2327 2016-09-22 17:30 ./usr/share/yosys/xilinx/arith_map.v
-rw-r--r-- root/root      1674 2016-09-22 17:30 ./usr/share/yosys/xilinx/brams.txt
-rw-r--r-- root/root     22243 2016-09-22 17:30 ./usr/share/yosys/xilinx/brams_bb.v
-rw-r--r-- root/root      2048 2016-09-22 17:30 ./usr/share/yosys/xilinx/brams_init_16.vh
-rw-r--r-- root/root     81528 2016-09-22 17:30 ./usr/share/yosys/xilinx/brams_init_18.vh
-rw-r--r-- root/root      4096 2016-09-22 17:30 ./usr/share/yosys/xilinx/brams_init_32.vh
-rw-r--r-- root/root    165056 2016-09-22 17:30 ./usr/share/yosys/xilinx/brams_init_36.vh
-rw-r--r-- root/root      8255 2016-09-22 17:30 ./usr/share/yosys/xilinx/brams_map.v
-rw-r--r-- root/root      4580 2016-09-22 17:30 ./usr/share/yosys/xilinx/cells_map.v
-rw-r--r-- root/root      5265 2016-09-22 17:30 ./usr/share/yosys/xilinx/cells_sim.v
-rw-r--r-- root/root       423 2016-09-22 17:30 ./usr/share/yosys/xilinx/drams.txt
-rw-r--r-- root/root       382 2016-09-22 17:30 ./usr/share/yosys/xilinx/drams_bb.v
-rw-r--r-- root/root      1040 2016-09-22 17:30 ./usr/share/yosys/xilinx/drams_map.v


+------------------------------------------------------------------------------+
| Post Build                                                                   |
+------------------------------------------------------------------------------+


+------------------------------------------------------------------------------+
| Cleanup                                                                      |
+------------------------------------------------------------------------------+

Purging /<<BUILDDIR>>
Not cleaning session: cloned chroot in use

+------------------------------------------------------------------------------+
| Summary                                                                      |
+------------------------------------------------------------------------------+

Build Architecture: armhf
Build-Space: 502016
Build-Time: 2263
Distribution: stretch-staging
Host Architecture: armhf
Install-Time: 338
Job: yosys_0.6-7
Machine Architecture: armhf
Package: yosys
Package-Time: 2652
Source-Version: 0.6-7
Space: 502016
Status: successful
Version: 0.6-7
--------------------------------------------------------------------------------
Finished at 20160928-1240
Build needed 00:44:12, 502016k disc space