Raspbian Package Auto-Building

Build log for yosys (0.5.0+20151013gitf13e387-1) on armhf

yosys0.5.0+20151013gitf13e387-1armhf → 2016-02-18 14:39:01

sbuild (Debian sbuild) 0.66.0 (04 Oct 2015) on bm-wb-04

+==============================================================================+
| yosys 0.5.0+20151013gitf13e387-1 (armhf)                   18 Feb 2016 12:52 |
+==============================================================================+

Package: yosys
Version: 0.5.0+20151013gitf13e387-1
Source Version: 0.5.0+20151013gitf13e387-1
Distribution: stretch-staging
Machine Architecture: armhf
Host Architecture: armhf
Build Architecture: armhf

I: NOTICE: Log filtering will replace 'build/yosys-mKFzPT/yosys-0.5.0+20151013gitf13e387' with '<<PKGBUILDDIR>>'
I: NOTICE: Log filtering will replace 'build/yosys-mKFzPT' with '<<BUILDDIR>>'
I: NOTICE: Log filtering will replace 'var/lib/schroot/mount/stretch-staging-armhf-sbuild-aeb4802d-6c3b-4296-a9b8-d2665bd3a897' with '<<CHROOT>>'

+------------------------------------------------------------------------------+
| Update chroot                                                                |
+------------------------------------------------------------------------------+

Get:1 http://172.17.0.1/private stretch-staging InRelease [11.3 kB]
Get:2 http://172.17.0.1/private stretch-staging/main Sources [8736 kB]
Get:3 http://172.17.0.1/private stretch-staging/main armhf Packages [10.8 MB]
Fetched 19.6 MB in 22s (881 kB/s)
Reading package lists...
W: No sandbox user '_apt' on the system, can not drop privileges

+------------------------------------------------------------------------------+
| Fetch source files                                                           |
+------------------------------------------------------------------------------+


Check APT
---------

Checking available source versions...

Download source files with APT
------------------------------

Reading package lists...
NOTICE: 'yosys' packaging is maintained in the 'Git' version control system at:
https://anonscm.debian.org/git/debian-science/packages/yosys.git
Please use:
git clone https://anonscm.debian.org/git/debian-science/packages/yosys.git
to retrieve the latest (possibly unreleased) updates to the package.
Need to get 860 kB of source archives.
Get:1 http://172.17.0.1/private stretch-staging/main yosys 0.5.0+20151013gitf13e387-1 (dsc) [2127 B]
Get:2 http://172.17.0.1/private stretch-staging/main yosys 0.5.0+20151013gitf13e387-1 (tar) [851 kB]
Get:3 http://172.17.0.1/private stretch-staging/main yosys 0.5.0+20151013gitf13e387-1 (diff) [6944 B]
Fetched 860 kB in 0s (6380 kB/s)
Download complete and in download only mode

Check architectures
-------------------


Check dependencies
------------------

Merged Build-Depends: build-essential, fakeroot
Filtered Build-Depends: build-essential, fakeroot
dpkg-deb: building package 'sbuild-build-depends-core-dummy' in '/<<BUILDDIR>>/resolver-m37AJW/apt_archive/sbuild-build-depends-core-dummy.deb'.
OK
Get:1 file:/<<BUILDDIR>>/resolver-m37AJW/apt_archive ./ InRelease
Ign:1 file:/<<BUILDDIR>>/resolver-m37AJW/apt_archive ./ InRelease
Get:2 file:/<<BUILDDIR>>/resolver-m37AJW/apt_archive ./ Release [2119 B]
Get:2 file:/<<BUILDDIR>>/resolver-m37AJW/apt_archive ./ Release [2119 B]
Get:3 file:/<<BUILDDIR>>/resolver-m37AJW/apt_archive ./ Release.gpg [299 B]
Get:3 file:/<<BUILDDIR>>/resolver-m37AJW/apt_archive ./ Release.gpg [299 B]
Get:4 file:/<<BUILDDIR>>/resolver-m37AJW/apt_archive ./ Sources [214 B]
Get:5 file:/<<BUILDDIR>>/resolver-m37AJW/apt_archive ./ Packages [527 B]
Reading package lists...
W: No sandbox user '_apt' on the system, can not drop privileges
Reading package lists...

+------------------------------------------------------------------------------+
| Install core build dependencies (apt-based resolver)                         |
+------------------------------------------------------------------------------+

Installing build dependencies
Reading package lists...
Building dependency tree...
Reading state information...
The following NEW packages will be installed:
  sbuild-build-depends-core-dummy
0 upgraded, 1 newly installed, 0 to remove and 11 not upgraded.
Need to get 0 B/768 B of archives.
After this operation, 0 B of additional disk space will be used.
Get:1 file:/<<BUILDDIR>>/resolver-m37AJW/apt_archive ./ sbuild-build-depends-core-dummy 0.invalid.0 [768 B]
debconf: delaying package configuration, since apt-utils is not installed
Selecting previously unselected package sbuild-build-depends-core-dummy.
(Reading database ... 
(Reading database ... 5%
(Reading database ... 10%
(Reading database ... 15%
(Reading database ... 20%
(Reading database ... 25%
(Reading database ... 30%
(Reading database ... 35%
(Reading database ... 40%
(Reading database ... 45%
(Reading database ... 50%
(Reading database ... 55%
(Reading database ... 60%
(Reading database ... 65%
(Reading database ... 70%
(Reading database ... 75%
(Reading database ... 80%
(Reading database ... 85%
(Reading database ... 90%
(Reading database ... 95%
(Reading database ... 100%
(Reading database ... 13601 files and directories currently installed.)
Preparing to unpack .../sbuild-build-depends-core-dummy.deb ...
Unpacking sbuild-build-depends-core-dummy (0.invalid.0) ...
Setting up sbuild-build-depends-core-dummy (0.invalid.0) ...
W: No sandbox user '_apt' on the system, can not drop privileges
Merged Build-Depends: debhelper (>= 9), tcl-dev, libreadline-dev, bison, flex, gawk, libffi-dev, pkg-config, python3
Filtered Build-Depends: debhelper (>= 9), tcl-dev, libreadline-dev, bison, flex, gawk, libffi-dev, pkg-config, python3
dpkg-deb: building package 'sbuild-build-depends-yosys-dummy' in '/<<BUILDDIR>>/resolver-ygKqXG/apt_archive/sbuild-build-depends-yosys-dummy.deb'.
OK
Get:1 file:/<<BUILDDIR>>/resolver-ygKqXG/apt_archive ./ InRelease
Ign:1 file:/<<BUILDDIR>>/resolver-ygKqXG/apt_archive ./ InRelease
Get:2 file:/<<BUILDDIR>>/resolver-ygKqXG/apt_archive ./ Release [2119 B]
Get:2 file:/<<BUILDDIR>>/resolver-ygKqXG/apt_archive ./ Release [2119 B]
Get:3 file:/<<BUILDDIR>>/resolver-ygKqXG/apt_archive ./ Release.gpg [299 B]
Get:3 file:/<<BUILDDIR>>/resolver-ygKqXG/apt_archive ./ Release.gpg [299 B]
Get:4 file:/<<BUILDDIR>>/resolver-ygKqXG/apt_archive ./ Sources [256 B]
Get:5 file:/<<BUILDDIR>>/resolver-ygKqXG/apt_archive ./ Packages [574 B]
Reading package lists...
W: No sandbox user '_apt' on the system, can not drop privileges
Reading package lists...

+------------------------------------------------------------------------------+
| Install yosys build dependencies (apt-based resolver)                        |
+------------------------------------------------------------------------------+

Installing build dependencies
Reading package lists...
Building dependency tree...
Reading state information...
The following additional packages will be installed:
  autotools-dev bison bsdmainutils debhelper dh-python dh-strip-nondeterminism
  file flex gawk gettext gettext-base groff-base intltool-debian
  libarchive-zip-perl libbison-dev libcroco3 libexpat1 libffi-dev libffi6
  libfile-stripnondeterminism-perl libfl-dev libglib2.0-0 libicu55 libmagic1
  libmpdec2 libpipeline1 libpython3-stdlib libpython3.5-minimal
  libpython3.5-stdlib libreadline-dev libreadline6-dev libsigsegv2
  libsqlite3-0 libssl1.0.2 libtcl8.6 libtinfo-dev libunistring0 libxml2 m4
  man-db mime-support pkg-config po-debconf python3 python3-minimal python3.5
  python3.5-minimal tcl tcl-dev tcl8.6 tcl8.6-dev zlib1g-dev
Suggested packages:
  bison-doc wamerican | wordlist whois vacation dh-make gawk-doc gettext-doc
  autopoint libasprintf-dev libgettextpo-dev groff readline-doc less
  www-browser libmail-box-perl python3-doc python3-tk python3-venv
  python3.5-venv python3.5-doc binfmt-support tcl-doc tcl-tclreadline
  tcl8.6-doc
Recommended packages:
  curl | wget | lynx-cur libglib2.0-data shared-mime-info xdg-user-dirs
  xml-core libmail-sendmail-perl
The following NEW packages will be installed:
  autotools-dev bison bsdmainutils debhelper dh-python dh-strip-nondeterminism
  file flex gawk gettext gettext-base groff-base intltool-debian
  libarchive-zip-perl libbison-dev libcroco3 libexpat1 libffi-dev libffi6
  libfile-stripnondeterminism-perl libfl-dev libglib2.0-0 libicu55 libmagic1
  libmpdec2 libpipeline1 libpython3-stdlib libpython3.5-minimal
  libpython3.5-stdlib libreadline-dev libreadline6-dev libsigsegv2
  libsqlite3-0 libssl1.0.2 libtcl8.6 libtinfo-dev libunistring0 libxml2 m4
  man-db mime-support pkg-config po-debconf python3 python3-minimal python3.5
  python3.5-minimal sbuild-build-depends-yosys-dummy tcl tcl-dev tcl8.6
  tcl8.6-dev zlib1g-dev
0 upgraded, 53 newly installed, 0 to remove and 11 not upgraded.
Need to get 27.1 MB/27.1 MB of archives.
After this operation, 99.1 MB of additional disk space will be used.
Get:1 file:/<<BUILDDIR>>/resolver-ygKqXG/apt_archive ./ sbuild-build-depends-yosys-dummy 0.invalid.0 [810 B]
Get:2 http://172.17.0.1/private stretch-staging/main armhf groff-base armhf 1.22.3-7 [1083 kB]
Get:3 http://172.17.0.1/private stretch-staging/main armhf bsdmainutils armhf 9.0.6 [177 kB]
Get:4 http://172.17.0.1/private stretch-staging/main armhf libpipeline1 armhf 1.4.1-2 [23.7 kB]
Get:5 http://172.17.0.1/private stretch-staging/main armhf man-db armhf 2.7.5-1 [975 kB]
Get:6 http://172.17.0.1/private stretch-staging/main armhf libsigsegv2 armhf 2.10-4 [28.2 kB]
Get:7 http://172.17.0.1/private stretch-staging/main armhf m4 armhf 1.4.17-5 [239 kB]
Get:8 http://172.17.0.1/private stretch-staging/main armhf libfl-dev armhf 2.5.39-8+b1 [75.1 kB]
Get:9 http://172.17.0.1/private stretch-staging/main armhf flex armhf 2.5.39-8+b1 [407 kB]
Get:10 http://172.17.0.1/private stretch-staging/main armhf gawk armhf 1:4.1.3+dfsg-0.1 [509 kB]
Get:11 http://172.17.0.1/private stretch-staging/main armhf libmpdec2 armhf 2.4.1-1 [65.8 kB]
Get:12 http://172.17.0.1/private stretch-staging/main armhf libunistring0 armhf 0.9.3-5.2 [253 kB]
Get:13 http://172.17.0.1/private stretch-staging/main armhf libssl1.0.2 armhf 1.0.2f-2 [883 kB]
Get:14 http://172.17.0.1/private stretch-staging/main armhf libpython3.5-minimal armhf 3.5.1-5 [553 kB]
Get:15 http://172.17.0.1/private stretch-staging/main armhf libexpat1 armhf 2.1.0-7 [59.8 kB]
Get:16 http://172.17.0.1/private stretch-staging/main armhf python3.5-minimal armhf 3.5.1-5 [1333 kB]
Get:17 http://172.17.0.1/private stretch-staging/main armhf python3-minimal armhf 3.5.1-1 [35.1 kB]
Get:18 http://172.17.0.1/private stretch-staging/main armhf mime-support all 3.59 [36.4 kB]
Get:19 http://172.17.0.1/private stretch-staging/main armhf libffi6 armhf 3.2.1-4 [18.5 kB]
Get:20 http://172.17.0.1/private stretch-staging/main armhf libsqlite3-0 armhf 3.10.2-1 [408 kB]
Get:21 http://172.17.0.1/private stretch-staging/main armhf libpython3.5-stdlib armhf 3.5.1-5 [2063 kB]
Get:22 http://172.17.0.1/private stretch-staging/main armhf python3.5 armhf 3.5.1-5 [194 kB]
Get:23 http://172.17.0.1/private stretch-staging/main armhf libpython3-stdlib armhf 3.5.1-1 [18.5 kB]
Get:24 http://172.17.0.1/private stretch-staging/main armhf dh-python all 2.20151103 [76.9 kB]
Get:25 http://172.17.0.1/private stretch-staging/main armhf python3 armhf 3.5.1-1 [21.5 kB]
Get:26 http://172.17.0.1/private stretch-staging/main armhf libmagic1 armhf 1:5.25-2 [250 kB]
Get:27 http://172.17.0.1/private stretch-staging/main armhf file armhf 1:5.25-2 [61.2 kB]
Get:28 http://172.17.0.1/private stretch-staging/main armhf gettext-base armhf 0.19.7-2 [111 kB]
Get:29 http://172.17.0.1/private stretch-staging/main armhf libicu55 armhf 55.1-7 [7380 kB]
Get:30 http://172.17.0.1/private stretch-staging/main armhf libxml2 armhf 2.9.3+dfsg1-1 [800 kB]
Get:31 http://172.17.0.1/private stretch-staging/main armhf autotools-dev all 20150820.1 [71.7 kB]
Get:32 http://172.17.0.1/private stretch-staging/main armhf libbison-dev armhf 2:3.0.4.dfsg-1 [433 kB]
Get:33 http://172.17.0.1/private stretch-staging/main armhf bison armhf 2:3.0.4.dfsg-1 [744 kB]
Get:34 http://172.17.0.1/private stretch-staging/main armhf libglib2.0-0 armhf 2.46.2-3 [2482 kB]
Get:35 http://172.17.0.1/private stretch-staging/main armhf libcroco3 armhf 0.6.11-1 [131 kB]
Get:36 http://172.17.0.1/private stretch-staging/main armhf gettext armhf 0.19.7-2 [1400 kB]
Get:37 http://172.17.0.1/private stretch-staging/main armhf intltool-debian all 0.35.0+20060710.4 [26.3 kB]
Get:38 http://172.17.0.1/private stretch-staging/main armhf po-debconf all 1.0.19 [249 kB]
Get:39 http://172.17.0.1/private stretch-staging/main armhf libarchive-zip-perl all 1.56-2 [94.9 kB]
Get:40 http://172.17.0.1/private stretch-staging/main armhf libfile-stripnondeterminism-perl all 0.015-1 [11.0 kB]
Get:41 http://172.17.0.1/private stretch-staging/main armhf dh-strip-nondeterminism all 0.015-1 [6810 B]
Get:42 http://172.17.0.1/private stretch-staging/main armhf debhelper all 9.20160115 [827 kB]
Get:43 http://172.17.0.1/private stretch-staging/main armhf libffi-dev armhf 3.2.1-4 [159 kB]
Get:44 http://172.17.0.1/private stretch-staging/main armhf libtinfo-dev armhf 6.0+20151024-2 [65.8 kB]
Get:45 http://172.17.0.1/private stretch-staging/main armhf libreadline6-dev armhf 6.3-8+b3 [105 kB]
Get:46 http://172.17.0.1/private stretch-staging/main armhf libreadline-dev armhf 6.3-8+b3 [958 B]
Get:47 http://172.17.0.1/private stretch-staging/main armhf libtcl8.6 armhf 8.6.4+dfsg-3 [855 kB]
Get:48 http://172.17.0.1/private stretch-staging/main armhf pkg-config armhf 0.29-3 [59.0 kB]
Get:49 http://172.17.0.1/private stretch-staging/main armhf tcl8.6 armhf 8.6.4+dfsg-3 [122 kB]
Get:50 http://172.17.0.1/private stretch-staging/main armhf tcl armhf 8.6.0+9 [5502 B]
Get:51 http://172.17.0.1/private stretch-staging/main armhf zlib1g-dev armhf 1:1.2.8.dfsg-2+b1 [197 kB]
Get:52 http://172.17.0.1/private stretch-staging/main armhf tcl8.6-dev armhf 8.6.4+dfsg-3 [885 kB]
Get:53 http://172.17.0.1/private stretch-staging/main armhf tcl-dev armhf 8.6.0+9 [7986 B]
debconf: delaying package configuration, since apt-utils is not installed
Fetched 27.1 MB in 3s (6851 kB/s)
Selecting previously unselected package groff-base.
(Reading database ... 
(Reading database ... 5%
(Reading database ... 10%
(Reading database ... 15%
(Reading database ... 20%
(Reading database ... 25%
(Reading database ... 30%
(Reading database ... 35%
(Reading database ... 40%
(Reading database ... 45%
(Reading database ... 50%
(Reading database ... 55%
(Reading database ... 60%
(Reading database ... 65%
(Reading database ... 70%
(Reading database ... 75%
(Reading database ... 80%
(Reading database ... 85%
(Reading database ... 90%
(Reading database ... 95%
(Reading database ... 100%
(Reading database ... 13601 files and directories currently installed.)
Preparing to unpack .../groff-base_1.22.3-7_armhf.deb ...
Unpacking groff-base (1.22.3-7) ...
Selecting previously unselected package bsdmainutils.
Preparing to unpack .../bsdmainutils_9.0.6_armhf.deb ...
Unpacking bsdmainutils (9.0.6) ...
Selecting previously unselected package libpipeline1:armhf.
Preparing to unpack .../libpipeline1_1.4.1-2_armhf.deb ...
Unpacking libpipeline1:armhf (1.4.1-2) ...
Selecting previously unselected package man-db.
Preparing to unpack .../man-db_2.7.5-1_armhf.deb ...
Unpacking man-db (2.7.5-1) ...
Selecting previously unselected package libsigsegv2:armhf.
Preparing to unpack .../libsigsegv2_2.10-4_armhf.deb ...
Unpacking libsigsegv2:armhf (2.10-4) ...
Selecting previously unselected package m4.
Preparing to unpack .../archives/m4_1.4.17-5_armhf.deb ...
Unpacking m4 (1.4.17-5) ...
Selecting previously unselected package libfl-dev:armhf.
Preparing to unpack .../libfl-dev_2.5.39-8+b1_armhf.deb ...
Unpacking libfl-dev:armhf (2.5.39-8+b1) ...
Selecting previously unselected package flex.
Preparing to unpack .../flex_2.5.39-8+b1_armhf.deb ...
Unpacking flex (2.5.39-8+b1) ...
Processing triggers for libc-bin (2.21-7) ...
Setting up libsigsegv2:armhf (2.10-4) ...
Processing triggers for libc-bin (2.21-7) ...
Selecting previously unselected package gawk.
(Reading database ... 
(Reading database ... 5%
(Reading database ... 10%
(Reading database ... 15%
(Reading database ... 20%
(Reading database ... 25%
(Reading database ... 30%
(Reading database ... 35%
(Reading database ... 40%
(Reading database ... 45%
(Reading database ... 50%
(Reading database ... 55%
(Reading database ... 60%
(Reading database ... 65%
(Reading database ... 70%
(Reading database ... 75%
(Reading database ... 80%
(Reading database ... 85%
(Reading database ... 90%
(Reading database ... 95%
(Reading database ... 100%
(Reading database ... 14338 files and directories currently installed.)
Preparing to unpack .../gawk_1%3a4.1.3+dfsg-0.1_armhf.deb ...
Unpacking gawk (1:4.1.3+dfsg-0.1) ...
Selecting previously unselected package libmpdec2:armhf.
Preparing to unpack .../libmpdec2_2.4.1-1_armhf.deb ...
Unpacking libmpdec2:armhf (2.4.1-1) ...
Selecting previously unselected package libunistring0:armhf.
Preparing to unpack .../libunistring0_0.9.3-5.2_armhf.deb ...
Unpacking libunistring0:armhf (0.9.3-5.2) ...
Selecting previously unselected package libssl1.0.2:armhf.
Preparing to unpack .../libssl1.0.2_1.0.2f-2_armhf.deb ...
Unpacking libssl1.0.2:armhf (1.0.2f-2) ...
Selecting previously unselected package libpython3.5-minimal:armhf.
Preparing to unpack .../libpython3.5-minimal_3.5.1-5_armhf.deb ...
Unpacking libpython3.5-minimal:armhf (3.5.1-5) ...
Selecting previously unselected package libexpat1:armhf.
Preparing to unpack .../libexpat1_2.1.0-7_armhf.deb ...
Unpacking libexpat1:armhf (2.1.0-7) ...
Selecting previously unselected package python3.5-minimal.
Preparing to unpack .../python3.5-minimal_3.5.1-5_armhf.deb ...
Unpacking python3.5-minimal (3.5.1-5) ...
Selecting previously unselected package python3-minimal.
Preparing to unpack .../python3-minimal_3.5.1-1_armhf.deb ...
Unpacking python3-minimal (3.5.1-1) ...
Selecting previously unselected package mime-support.
Preparing to unpack .../mime-support_3.59_all.deb ...
Unpacking mime-support (3.59) ...
Selecting previously unselected package libffi6:armhf.
Preparing to unpack .../libffi6_3.2.1-4_armhf.deb ...
Unpacking libffi6:armhf (3.2.1-4) ...
Selecting previously unselected package libsqlite3-0:armhf.
Preparing to unpack .../libsqlite3-0_3.10.2-1_armhf.deb ...
Unpacking libsqlite3-0:armhf (3.10.2-1) ...
Selecting previously unselected package libpython3.5-stdlib:armhf.
Preparing to unpack .../libpython3.5-stdlib_3.5.1-5_armhf.deb ...
Unpacking libpython3.5-stdlib:armhf (3.5.1-5) ...
Selecting previously unselected package python3.5.
Preparing to unpack .../python3.5_3.5.1-5_armhf.deb ...
Unpacking python3.5 (3.5.1-5) ...
Selecting previously unselected package libpython3-stdlib:armhf.
Preparing to unpack .../libpython3-stdlib_3.5.1-1_armhf.deb ...
Unpacking libpython3-stdlib:armhf (3.5.1-1) ...
Selecting previously unselected package dh-python.
Preparing to unpack .../dh-python_2.20151103_all.deb ...
Unpacking dh-python (2.20151103) ...
Processing triggers for libc-bin (2.21-7) ...
Setting up libssl1.0.2:armhf (1.0.2f-2) ...
Setting up libpython3.5-minimal:armhf (3.5.1-5) ...
Setting up libexpat1:armhf (2.1.0-7) ...
Setting up python3.5-minimal (3.5.1-5) ...
Setting up python3-minimal (3.5.1-1) ...
Processing triggers for libc-bin (2.21-7) ...
Selecting previously unselected package python3.
(Reading database ... 
(Reading database ... 5%
(Reading database ... 10%
(Reading database ... 15%
(Reading database ... 20%
(Reading database ... 25%
(Reading database ... 30%
(Reading database ... 35%
(Reading database ... 40%
(Reading database ... 45%
(Reading database ... 50%
(Reading database ... 55%
(Reading database ... 60%
(Reading database ... 65%
(Reading database ... 70%
(Reading database ... 75%
(Reading database ... 80%
(Reading database ... 85%
(Reading database ... 90%
(Reading database ... 95%
(Reading database ... 100%
(Reading database ... 15495 files and directories currently installed.)
Preparing to unpack .../python3_3.5.1-1_armhf.deb ...
Unpacking python3 (3.5.1-1) ...
Selecting previously unselected package libmagic1:armhf.
Preparing to unpack .../libmagic1_1%3a5.25-2_armhf.deb ...
Unpacking libmagic1:armhf (1:5.25-2) ...
Selecting previously unselected package file.
Preparing to unpack .../file_1%3a5.25-2_armhf.deb ...
Unpacking file (1:5.25-2) ...
Selecting previously unselected package gettext-base.
Preparing to unpack .../gettext-base_0.19.7-2_armhf.deb ...
Unpacking gettext-base (0.19.7-2) ...
Selecting previously unselected package libicu55:armhf.
Preparing to unpack .../libicu55_55.1-7_armhf.deb ...
Unpacking libicu55:armhf (55.1-7) ...
Selecting previously unselected package libxml2:armhf.
Preparing to unpack .../libxml2_2.9.3+dfsg1-1_armhf.deb ...
Unpacking libxml2:armhf (2.9.3+dfsg1-1) ...
Selecting previously unselected package autotools-dev.
Preparing to unpack .../autotools-dev_20150820.1_all.deb ...
Unpacking autotools-dev (20150820.1) ...
Selecting previously unselected package libbison-dev:armhf.
Preparing to unpack .../libbison-dev_2%3a3.0.4.dfsg-1_armhf.deb ...
Unpacking libbison-dev:armhf (2:3.0.4.dfsg-1) ...
Selecting previously unselected package bison.
Preparing to unpack .../bison_2%3a3.0.4.dfsg-1_armhf.deb ...
Unpacking bison (2:3.0.4.dfsg-1) ...
Selecting previously unselected package libglib2.0-0:armhf.
Preparing to unpack .../libglib2.0-0_2.46.2-3_armhf.deb ...
Unpacking libglib2.0-0:armhf (2.46.2-3) ...
Selecting previously unselected package libcroco3:armhf.
Preparing to unpack .../libcroco3_0.6.11-1_armhf.deb ...
Unpacking libcroco3:armhf (0.6.11-1) ...
Selecting previously unselected package gettext.
Preparing to unpack .../gettext_0.19.7-2_armhf.deb ...
Unpacking gettext (0.19.7-2) ...
Selecting previously unselected package intltool-debian.
Preparing to unpack .../intltool-debian_0.35.0+20060710.4_all.deb ...
Unpacking intltool-debian (0.35.0+20060710.4) ...
Selecting previously unselected package po-debconf.
Preparing to unpack .../po-debconf_1.0.19_all.deb ...
Unpacking po-debconf (1.0.19) ...
Selecting previously unselected package libarchive-zip-perl.
Preparing to unpack .../libarchive-zip-perl_1.56-2_all.deb ...
Unpacking libarchive-zip-perl (1.56-2) ...
Selecting previously unselected package libfile-stripnondeterminism-perl.
Preparing to unpack .../libfile-stripnondeterminism-perl_0.015-1_all.deb ...
Unpacking libfile-stripnondeterminism-perl (0.015-1) ...
Selecting previously unselected package dh-strip-nondeterminism.
Preparing to unpack .../dh-strip-nondeterminism_0.015-1_all.deb ...
Unpacking dh-strip-nondeterminism (0.015-1) ...
Selecting previously unselected package debhelper.
Preparing to unpack .../debhelper_9.20160115_all.deb ...
Unpacking debhelper (9.20160115) ...
Selecting previously unselected package libffi-dev:armhf.
Preparing to unpack .../libffi-dev_3.2.1-4_armhf.deb ...
Unpacking libffi-dev:armhf (3.2.1-4) ...
Selecting previously unselected package libtinfo-dev:armhf.
Preparing to unpack .../libtinfo-dev_6.0+20151024-2_armhf.deb ...
Unpacking libtinfo-dev:armhf (6.0+20151024-2) ...
Selecting previously unselected package libreadline6-dev:armhf.
Preparing to unpack .../libreadline6-dev_6.3-8+b3_armhf.deb ...
Unpacking libreadline6-dev:armhf (6.3-8+b3) ...
Selecting previously unselected package libreadline-dev:armhf.
Preparing to unpack .../libreadline-dev_6.3-8+b3_armhf.deb ...
Unpacking libreadline-dev:armhf (6.3-8+b3) ...
Selecting previously unselected package libtcl8.6:armhf.
Preparing to unpack .../libtcl8.6_8.6.4+dfsg-3_armhf.deb ...
Unpacking libtcl8.6:armhf (8.6.4+dfsg-3) ...
Selecting previously unselected package pkg-config.
Preparing to unpack .../pkg-config_0.29-3_armhf.deb ...
Unpacking pkg-config (0.29-3) ...
Selecting previously unselected package tcl8.6.
Preparing to unpack .../tcl8.6_8.6.4+dfsg-3_armhf.deb ...
Unpacking tcl8.6 (8.6.4+dfsg-3) ...
Selecting previously unselected package tcl.
Preparing to unpack .../archives/tcl_8.6.0+9_armhf.deb ...
Unpacking tcl (8.6.0+9) ...
Selecting previously unselected package zlib1g-dev:armhf.
Preparing to unpack .../zlib1g-dev_1%3a1.2.8.dfsg-2+b1_armhf.deb ...
Unpacking zlib1g-dev:armhf (1:1.2.8.dfsg-2+b1) ...
Selecting previously unselected package tcl8.6-dev:armhf.
Preparing to unpack .../tcl8.6-dev_8.6.4+dfsg-3_armhf.deb ...
Unpacking tcl8.6-dev:armhf (8.6.4+dfsg-3) ...
Selecting previously unselected package tcl-dev:armhf.
Preparing to unpack .../tcl-dev_8.6.0+9_armhf.deb ...
Unpacking tcl-dev:armhf (8.6.0+9) ...
Selecting previously unselected package sbuild-build-depends-yosys-dummy.
Preparing to unpack .../sbuild-build-depends-yosys-dummy.deb ...
Unpacking sbuild-build-depends-yosys-dummy (0.invalid.0) ...
Processing triggers for libc-bin (2.21-7) ...
Setting up groff-base (1.22.3-7) ...
Setting up bsdmainutils (9.0.6) ...
update-alternatives: using /usr/bin/bsd-write to provide /usr/bin/write (write) in auto mode
update-alternatives: using /usr/bin/bsd-from to provide /usr/bin/from (from) in auto mode
Setting up libpipeline1:armhf (1.4.1-2) ...
Setting up man-db (2.7.5-1) ...
Not building database; man-db/auto-update is not 'true'.
Setting up m4 (1.4.17-5) ...
Setting up libfl-dev:armhf (2.5.39-8+b1) ...
Setting up flex (2.5.39-8+b1) ...
Setting up gawk (1:4.1.3+dfsg-0.1) ...
Setting up libmpdec2:armhf (2.4.1-1) ...
Setting up libunistring0:armhf (0.9.3-5.2) ...
Setting up mime-support (3.59) ...
Setting up libffi6:armhf (3.2.1-4) ...
Setting up libsqlite3-0:armhf (3.10.2-1) ...
Setting up libpython3.5-stdlib:armhf (3.5.1-5) ...
Setting up python3.5 (3.5.1-5) ...
Setting up libpython3-stdlib:armhf (3.5.1-1) ...
Setting up libmagic1:armhf (1:5.25-2) ...
Setting up file (1:5.25-2) ...
Setting up gettext-base (0.19.7-2) ...
Setting up libicu55:armhf (55.1-7) ...
Setting up libxml2:armhf (2.9.3+dfsg1-1) ...
Setting up autotools-dev (20150820.1) ...
Setting up libbison-dev:armhf (2:3.0.4.dfsg-1) ...
Setting up bison (2:3.0.4.dfsg-1) ...
update-alternatives: using /usr/bin/bison.yacc to provide /usr/bin/yacc (yacc) in auto mode
Setting up libglib2.0-0:armhf (2.46.2-3) ...
No schema files found: doing nothing.
Setting up libcroco3:armhf (0.6.11-1) ...
Setting up gettext (0.19.7-2) ...
Setting up intltool-debian (0.35.0+20060710.4) ...
Setting up po-debconf (1.0.19) ...
Setting up libarchive-zip-perl (1.56-2) ...
Setting up libfile-stripnondeterminism-perl (0.015-1) ...
Setting up libffi-dev:armhf (3.2.1-4) ...
Setting up libtinfo-dev:armhf (6.0+20151024-2) ...
Setting up libreadline6-dev:armhf (6.3-8+b3) ...
Setting up libreadline-dev:armhf (6.3-8+b3) ...
Setting up libtcl8.6:armhf (8.6.4+dfsg-3) ...
Setting up pkg-config (0.29-3) ...
Setting up tcl8.6 (8.6.4+dfsg-3) ...
Setting up tcl (8.6.0+9) ...
Setting up zlib1g-dev:armhf (1:1.2.8.dfsg-2+b1) ...
Setting up tcl8.6-dev:armhf (8.6.4+dfsg-3) ...
Setting up tcl-dev:armhf (8.6.0+9) ...
Setting up dh-python (2.20151103) ...
Setting up python3 (3.5.1-1) ...
Setting up debhelper (9.20160115) ...
Setting up sbuild-build-depends-yosys-dummy (0.invalid.0) ...
Setting up dh-strip-nondeterminism (0.015-1) ...
Processing triggers for libc-bin (2.21-7) ...
W: No sandbox user '_apt' on the system, can not drop privileges

+------------------------------------------------------------------------------+
| Build environment                                                            |
+------------------------------------------------------------------------------+

Kernel: Linux 3.19.0-trunk-armmp armhf (armv7l)
Toolchain package versions: binutils_2.26-3 dpkg-dev_1.18.4 g++-5_5.3.1-8+rpi1 gcc-5_5.3.1-8+rpi1 libc6-dev_2.21-7 libstdc++-4.9-dev_4.9.3-10 libstdc++-5-dev_5.3.1-8+rpi1 libstdc++6_5.3.1-8+rpi1 linux-libc-dev_3.18.5-1~exp1+rpi19+stretch
Package versions: acl_2.2.52-3 adduser_3.113+nmu3 apt_1.2.3 autotools-dev_20150820.1 base-files_9.5+rpi1 base-passwd_3.5.39 bash_4.3-14 binutils_2.26-3 bison_2:3.0.4.dfsg-1 bsdmainutils_9.0.6 bsdutils_1:2.27.1-3 build-essential_11.7 bzip2_1.0.6-8 coreutils_8.24-1 cpio_2.11+dfsg-4.1 cpp_4:5.3.1-1+rpi1 cpp-5_5.3.1-8+rpi1 dash_0.5.8-2.1 debconf_1.5.58 debfoster_2.7-2 debhelper_9.20160115 debianutils_4.7 dh-python_2.20151103 dh-strip-nondeterminism_0.015-1 diffutils_1:3.3-3 dmsetup_2:1.02.115-2 dpkg_1.18.4 dpkg-dev_1.18.4 e2fslibs_1.42.13-1 e2fsprogs_1.42.13-1 fakeroot_1.20.2-1 file_1:5.25-2 findutils_4.6.0+git+20160126-2 flex_2.5.39-8+b1 g++_4:5.3.1-1+rpi1 g++-5_5.3.1-8+rpi1 gawk_1:4.1.3+dfsg-0.1 gcc_4:5.3.1-1+rpi1 gcc-4.6-base_4.6.4-5+rpi1 gcc-4.7-base_4.7.3-11+rpi1 gcc-4.8-base_4.8.5-4 gcc-4.9-base_4.9.3-10 gcc-5_5.3.1-8+rpi1 gcc-5-base_5.3.1-8+rpi1 gettext_0.19.7-2 gettext-base_0.19.7-2 gnupg_1.4.20-1 gpgv_1.4.20-1 grep_2.22-1 groff-base_1.22.3-7 gzip_1.6-4 hostname_3.16 init_1.24 init-system-helpers_1.24 initramfs-tools_0.120 initscripts_2.88dsf-59.2 insserv_1.14.0-5.2 intltool-debian_0.35.0+20060710.4 klibc-utils_2.0.4-7+rpi1 kmod_22-1 libacl1_2.2.52-3 libapparmor1_2.10-3 libapt-pkg4.12_1.0.9.10 libapt-pkg5.0_1.2.3 libarchive-zip-perl_1.56-2 libasan1_4.9.3-10 libasan2_5.3.1-8+rpi1 libatomic1_5.3.1-8+rpi1 libattr1_1:2.4.47-2 libaudit-common_1:2.4.5-1 libaudit1_1:2.4.5-1 libbison-dev_2:3.0.4.dfsg-1 libblkid1_2.27.1-3 libbz2-1.0_1.0.6-8 libc-bin_2.21-7 libc-dev-bin_2.21-7 libc6_2.21-7 libc6-dev_2.21-7 libcap2_1:2.24-12 libcap2-bin_1:2.24-12 libcc1-0_5.3.1-8+rpi1 libcomerr2_1.42.13-1 libcroco3_0.6.11-1 libcryptsetup4_2:1.7.0-2 libdb5.3_5.3.28-11 libdbus-1-3_1.10.6-1 libdebconfclient0_0.204 libdevmapper1.02.1_2:1.02.115-2 libdpkg-perl_1.18.4 libdrm2_2.4.66-2 libexpat1_2.1.0-7 libfakeroot_1.20.2-1 libfdisk1_2.27.1-3 libffi-dev_3.2.1-4 libffi6_3.2.1-4 libfile-stripnondeterminism-perl_0.015-1 libfl-dev_2.5.39-8+b1 libgc1c2_1:7.4.2-7.3 libgcc-4.9-dev_4.9.3-10 libgcc-5-dev_5.3.1-8+rpi1 libgcc1_1:5.3.1-8+rpi1 libgcrypt20_1.6.4-5 libgdbm3_1.8.3-13.1 libglib2.0-0_2.46.2-3 libgmp10_2:6.1.0+dfsg-2 libgomp1_5.3.1-8+rpi1 libgpg-error0_1.21-1 libicu55_55.1-7 libisl15_0.16.1-1 libklibc_2.0.4-7+rpi1 libkmod2_22-1 liblz4-1_0.0~r131-1 liblzma5_5.1.1alpha+20120614-2.1 libmagic1_1:5.25-2 libmount1_2.27.1-3 libmpc3_1.0.3-1 libmpdec2_2.4.1-1 libmpfr4_3.1.3-2 libncurses5_6.0+20151024-2 libncursesw5_6.0+20151024-2 libpam-modules_1.1.8-3.2 libpam-modules-bin_1.1.8-3.2 libpam-runtime_1.1.8-3.2 libpam0g_1.1.8-3.2 libpcre3_2:8.38-1 libperl5.22_5.22.1-5 libpipeline1_1.4.1-2 libpng12-0_1.2.54-1 libprocps3_2:3.3.9-9 libprocps5_2:3.3.11-3 libpython3-stdlib_3.5.1-1 libpython3.5-minimal_3.5.1-5 libpython3.5-stdlib_3.5.1-5 libreadline-dev_6.3-8+b3 libreadline6_6.3-8+b3 libreadline6-dev_6.3-8+b3 libseccomp2_2.2.3-2 libselinux1_2.4-3 libsemanage-common_2.4-3 libsemanage1_2.4-3 libsepol1_2.4-2 libsigsegv2_2.10-4 libslang2_2.3.0-2+b1 libsmartcols1_2.27.1-3 libsqlite3-0_3.10.2-1 libss2_1.42.13-1 libssl1.0.2_1.0.2f-2 libstdc++-4.9-dev_4.9.3-10 libstdc++-5-dev_5.3.1-8+rpi1 libstdc++6_5.3.1-8+rpi1 libsystemd0_228-6 libtcl8.6_8.6.4+dfsg-3 libtimedate-perl_2.3000-2 libtinfo-dev_6.0+20151024-2 libtinfo5_6.0+20151024-2 libubsan0_5.3.1-8+rpi1 libudev1_228-6 libunistring0_0.9.3-5.2 libusb-0.1-4_2:0.1.12-28 libustr-1.0-1_1.0.4-5 libuuid1_2.27.1-3 libxml2_2.9.3+dfsg1-1 linux-libc-dev_3.18.5-1~exp1+rpi19+stretch login_1:4.2-3.1 lsb-base_9.20160110+rpi1 m4_1.4.17-5 make_4.1-5 makedev_2.3.1-93 man-db_2.7.5-1 manpages_4.04-1 mawk_1.3.3-17 mime-support_3.59 mount_2.27.1-3 multiarch-support_2.21-7 nano_2.5.1-1 ncurses-base_6.0+20151024-2 ncurses-bin_6.0+20151024-2 passwd_1:4.2-3.1 patch_2.7.5-1 perl_5.22.1-5 perl-base_5.22.1-5 perl-modules-5.22_5.22.1-7 pkg-config_0.29-3 po-debconf_1.0.19 procps_2:3.3.11-3 python3_3.5.1-1 python3-minimal_3.5.1-1 python3.5_3.5.1-5 python3.5-minimal_3.5.1-5 raspbian-archive-keyring_20120528.2 readline-common_6.3-8 sbuild-build-depends-core-dummy_0.invalid.0 sbuild-build-depends-yosys-dummy_0.invalid.0 sed_4.2.2-6.1 sensible-utils_0.0.9 startpar_0.59-3 systemd_228-6 systemd-sysv_228-6 sysv-rc_2.88dsf-59.2 sysvinit-utils_2.88dsf-59.2 tar_1.28-2.1 tcl_8.6.0+9 tcl-dev_8.6.0+9 tcl8.6_8.6.4+dfsg-3 tcl8.6-dev_8.6.4+dfsg-3 tzdata_2016a-1 udev_228-6 util-linux_2.27.1-3 xz-utils_5.1.1alpha+20120614-2.1 zlib1g_1:1.2.8.dfsg-2+b1 zlib1g-dev_1:1.2.8.dfsg-2+b1

+------------------------------------------------------------------------------+
| Build                                                                        |
+------------------------------------------------------------------------------+


Unpack source
-------------

gpgv: keyblock resource `/sbuild-nonexistent/.gnupg/trustedkeys.gpg': file open error
gpgv: Signature made Sun Feb  7 11:03:57 2016 UTC using RSA key ID D108D111
gpgv: Can't check signature: public key not found
dpkg-source: warning: failed to verify signature on ./yosys_0.5.0+20151013gitf13e387-1.dsc
dpkg-source: info: extracting yosys in yosys-0.5.0+20151013gitf13e387
dpkg-source: info: unpacking yosys_0.5.0+20151013gitf13e387.orig.tar.gz
dpkg-source: info: unpacking yosys_0.5.0+20151013gitf13e387-1.debian.tar.xz
dpkg-source: info: applying 01_gitrevision.patch
dpkg-source: info: applying 02_removeabc.patch
dpkg-source: info: applying 03_notruntests.patch
dpkg-source: info: applying 05_abc_executable.patch

Check disc space
----------------

Sufficient free space for build

User Environment
----------------

DEB_BUILD_OPTIONS=parallel=4
HOME=/sbuild-nonexistent
LOGNAME=buildd
PATH=/usr/local/sbin:/usr/local/bin:/usr/sbin:/usr/bin:/sbin:/bin:/usr/games
SCHROOT_ALIAS_NAME=stretch-staging-armhf-sbuild
SCHROOT_CHROOT_NAME=stretch-staging-armhf-sbuild
SCHROOT_COMMAND=env
SCHROOT_GID=109
SCHROOT_GROUP=buildd
SCHROOT_SESSION_ID=stretch-staging-armhf-sbuild-aeb4802d-6c3b-4296-a9b8-d2665bd3a897
SCHROOT_UID=104
SCHROOT_USER=buildd
SHELL=/bin/sh
TERM=linux
USER=buildd

dpkg-buildpackage
-----------------

dpkg-buildpackage: source package yosys
dpkg-buildpackage: source version 0.5.0+20151013gitf13e387-1
dpkg-buildpackage: source distribution unstable
 dpkg-source --before-build yosys-0.5.0+20151013gitf13e387
dpkg-buildpackage: host architecture armhf
 fakeroot debian/rules clean
PREFIX=/usr dh clean
   dh_testdir
   dh_auto_clean
	make -j1 clean
make[1]: Entering directory '/<<BUILDDIR>>/yosys-0.5.0+20151013gitf13e387'
rm -rf share
if test -d manual; then cd manual && sh clean.sh; fi
find ./ -name '*.aux' | xargs -r rm -f
find ./ -name '*.bbl' | xargs -r rm -f
find ./ -name '*.blg' | xargs -r rm -f
find ./ -name '*.idx' | xargs -r rm -f
find ./ -name '*.log' | xargs -r rm -f
find ./ -name '*.out' | xargs -r rm -f
find ./ -name '*.pdf' | xargs -r rm -f
find ./ -name '*.toc' | xargs -r rm -f
find ./ -name '*.snm' | xargs -r rm -f
find ./ -name '*.nav' | xargs -r rm -f
find ./ -name '*.vrb' | xargs -r rm -f
find ./ -name '*.ok' | xargs -r rm -f
find ./CHAPTER_Prog/ -name 'stubnets.so' | xargs -r rm -f
find ./CHAPTER_Prog/ -name 'stubnets.d' | xargs -r rm -f
find ./CHAPTER_Prog/ -name '*.log' | xargs -r rm -f
find ./PRESENTATION_ExAdv/ -name '*.dot' | xargs -r rm -f
find ./PRESENTATION_ExOth/ -name '*.dot' | xargs -r rm -f
find ./PRESENTATION_ExSyn/ -name '*.dot' | xargs -r rm -f
find ./PRESENTATION_Intro/ -name 'counter_00.dot' | xargs -r rm -f
find ./PRESENTATION_Intro/ -name 'counter_01.dot' | xargs -r rm -f
find ./PRESENTATION_Intro/ -name 'counter_02.dot' | xargs -r rm -f
find ./PRESENTATION_Intro/ -name 'counter_03.dot' | xargs -r rm -f
find ./PRESENTATION_Prog/ -name 'my_cmd.so' | xargs -r rm -f
find ./PRESENTATION_Prog/ -name 'my_cmd.d' | xargs -r rm -f
rm -f kernel/version_f13e387.o kernel/driver.o kernel/register.o kernel/rtlil.o kernel/log.o kernel/calc.o kernel/yosys.o kernel/cellaigs.o libs/bigint/BigIntegerAlgorithms.o libs/bigint/BigInteger.o libs/bigint/BigIntegerUtils.o libs/bigint/BigUnsigned.o libs/bigint/BigUnsignedInABase.o libs/sha1/sha1.o libs/subcircuit/subcircuit.o libs/ezsat/ezsat.o libs/ezsat/ezminisat.o libs/minisat/Options.o libs/minisat/SimpSolver.o libs/minisat/Solver.o libs/minisat/System.o frontends/vhdl2verilog/vhdl2verilog.o frontends/ilang/ilang_parser.tab.o frontends/ilang/ilang_lexer.o frontends/ilang/ilang_frontend.o frontends/verilog/verilog_parser.tab.o frontends/verilog/verilog_lexer.o frontends/verilog/preproc.o frontends/verilog/verilog_frontend.o frontends/verilog/const2ast.o frontends/ast/ast.o frontends/ast/simplify.o frontends/ast/genrtlil.o frontends/ast/dpicall.o frontends/blif/blifparse.o frontends/verific/verific.o frontends/liberty/liberty.o passes/tests/test_autotb.o passes/tests/test_cell.o passes/tests/test_abcloop.o passes/sat/sat.o passes/sat/freduce.o passes/sat/eval.o passes/sat/miter.o passes/sat/expose.o passes/cmds/add.o passes/cmds/delete.o passes/cmds/design.o passes/cmds/select.o passes/cmds/show.o passes/cmds/rename.o passes/cmds/connect.o passes/cmds/scatter.o passes/cmds/setundef.o passes/cmds/splitnets.o passes/cmds/stat.o passes/cmds/setattr.o passes/cmds/copy.o passes/cmds/splice.o passes/cmds/scc.o passes/cmds/logcmd.o passes/cmds/tee.o passes/cmds/write_file.o passes/cmds/connwrappers.o passes/cmds/cover.o passes/cmds/trace.o passes/cmds/plugin.o passes/cmds/check.o passes/cmds/qwp.o passes/cmds/edgetypes.o passes/memory/memory.o passes/memory/memory_dff.o passes/memory/memory_share.o passes/memory/memory_collect.o passes/memory/memory_unpack.o passes/memory/memory_bram.o passes/memory/memory_map.o passes/techmap/techmap.o passes/techmap/simplemap.o passes/techmap/dfflibmap.o passes/techmap/maccmap.o passes/techmap/libparse.o passes/techmap/abc.o passes/techmap/iopadmap.o passes/techmap/hilomap.o passes/techmap/extract.o passes/techmap/alumacc.o passes/techmap/dff2dffe.o passes/techmap/dffinit.o passes/techmap/pmuxtree.o passes/techmap/muxcover.o passes/techmap/aigmap.o passes/techmap/tribuf.o passes/techmap/lut2mux.o passes/techmap/nlutmap.o passes/opt/opt.o passes/opt/opt_share.o passes/opt/opt_muxtree.o passes/opt/opt_reduce.o passes/opt/opt_rmdff.o passes/opt/opt_clean.o passes/opt/opt_const.o passes/opt/share.o passes/opt/wreduce.o passes/hierarchy/hierarchy.o passes/hierarchy/submod.o passes/equiv/equiv_make.o passes/equiv/equiv_miter.o passes/equiv/equiv_simple.o passes/equiv/equiv_status.o passes/equiv/equiv_add.o passes/equiv/equiv_remove.o passes/equiv/equiv_induct.o passes/fsm/fsm.o passes/fsm/fsm_detect.o passes/fsm/fsm_extract.o passes/fsm/fsm_opt.o passes/fsm/fsm_expand.o passes/fsm/fsm_recode.o passes/fsm/fsm_info.o passes/fsm/fsm_export.o passes/fsm/fsm_map.o passes/proc/proc.o passes/proc/proc_clean.o passes/proc/proc_rmdead.o passes/proc/proc_init.o passes/proc/proc_arst.o passes/proc/proc_mux.o passes/proc/proc_dlatch.o passes/proc/proc_dff.o backends/edif/edif.o backends/smt2/smt2.o backends/btor/btor.o backends/intersynth/intersynth.o backends/ilang/ilang_backend.o backends/json/json.o backends/verilog/verilog_backend.o backends/smv/smv.o backends/blif/blif.o backends/spice/spice.o techlibs/xilinx/synth_xilinx.o techlibs/common/synth.o techlibs/ice40/synth_ice40.o techlibs/ice40/ice40_ffssr.o techlibs/ice40/ice40_opt.o techlibs/greenpak4/synth_greenpak4.o  frontends/ilang/ilang_parser.tab.cc frontends/ilang/ilang_parser.tab.h frontends/ilang/ilang_parser.output frontends/ilang/ilang_lexer.cc frontends/verilog/verilog_parser.tab.cc frontends/verilog/verilog_parser.tab.h frontends/verilog/verilog_parser.output frontends/verilog/verilog_lexer.cc passes/techmap/techmap.inc techlibs/xilinx/brams_init_36.vh techlibs/xilinx/brams_init_32.vh techlibs/xilinx/brams_init_18.vh techlibs/xilinx/brams_init_16.vh techlibs/ice40/brams_init1.vh techlibs/ice40/brams_init2.vh techlibs/ice40/brams_init3.vh yosys yosys-config yosys-filterlib  share/include/kernel/yosys.h share/include/kernel/hashlib.h share/include/kernel/log.h share/include/kernel/rtlil.h share/include/kernel/register.h share/include/kernel/celltypes.h share/include/kernel/consteval.h share/include/kernel/sigtools.h share/include/kernel/modtools.h share/include/kernel/macc.h share/include/kernel/utils.h share/include/kernel/satgen.h share/include/libs/ezsat/ezsat.h share/include/libs/ezsat/ezminisat.h share/include/libs/sha1/sha1.h share/include/passes/fsm/fsmdata.h share/include/backends/ilang/ilang_backend.h share/xilinx/cells_map.v share/xilinx/cells_sim.v share/xilinx/brams.txt share/xilinx/brams_map.v share/xilinx/brams_bb.v share/xilinx/drams.txt share/xilinx/drams_map.v share/xilinx/drams_bb.v share/xilinx/arith_map.v share/xilinx/brams_init_36.vh share/xilinx/brams_init_32.vh share/xilinx/brams_init_18.vh share/xilinx/brams_init_16.vh share/simlib.v share/simcells.v share/techmap.v share/pmux2mux.v share/adff2dff.v share/cells.lib share/ice40/arith_map.v share/ice40/cells_map.v share/ice40/cells_sim.v share/ice40/brams.txt share/ice40/brams_map.v share/ice40/brams_init1.vh share/ice40/brams_init2.vh share/ice40/brams_init3.vh share/greenpak4/cells_map.v share/greenpak4/cells_sim.v share/greenpak4/gp_dff.lib  passes/techmap/filterlib.o techlibs/xilinx/brams_init.mk techlibs/ice40/brams_init.mk
rm -f kernel/version_*.o kernel/version_*.cc abc/abc-[0-9a-f]*
rm -f libs/*/*.d frontends/*/*.d passes/*/*.d backends/*/*.d kernel/*.d techlibs/*/*.d
make[1]: Leaving directory '/<<BUILDDIR>>/yosys-0.5.0+20151013gitf13e387'
   dh_clean
 debian/rules build-arch
PREFIX=/usr dh build-arch
   dh_testdir -a
   dh_update_autotools_config -a
   debian/rules override_dh_auto_configure
make[1]: Entering directory '/<<BUILDDIR>>/yosys-0.5.0+20151013gitf13e387'
make config-gcc && make
make[2]: Entering directory '/<<BUILDDIR>>/yosys-0.5.0+20151013gitf13e387'
rm -rf share
if test -d manual; then cd manual && sh clean.sh; fi
find ./ -name '*.aux' | xargs -r rm -f
find ./ -name '*.bbl' | xargs -r rm -f
find ./ -name '*.blg' | xargs -r rm -f
find ./ -name '*.idx' | xargs -r rm -f
find ./ -name '*.log' | xargs -r rm -f
find ./ -name '*.out' | xargs -r rm -f
find ./ -name '*.pdf' | xargs -r rm -f
find ./ -name '*.toc' | xargs -r rm -f
find ./ -name '*.snm' | xargs -r rm -f
find ./ -name '*.nav' | xargs -r rm -f
find ./ -name '*.vrb' | xargs -r rm -f
find ./ -name '*.ok' | xargs -r rm -f
find ./CHAPTER_Prog/ -name 'stubnets.so' | xargs -r rm -f
find ./CHAPTER_Prog/ -name 'stubnets.d' | xargs -r rm -f
find ./CHAPTER_Prog/ -name '*.log' | xargs -r rm -f
find ./PRESENTATION_ExAdv/ -name '*.dot' | xargs -r rm -f
find ./PRESENTATION_ExOth/ -name '*.dot' | xargs -r rm -f
find ./PRESENTATION_ExSyn/ -name '*.dot' | xargs -r rm -f
find ./PRESENTATION_Intro/ -name 'counter_00.dot' | xargs -r rm -f
find ./PRESENTATION_Intro/ -name 'counter_01.dot' | xargs -r rm -f
find ./PRESENTATION_Intro/ -name 'counter_02.dot' | xargs -r rm -f
find ./PRESENTATION_Intro/ -name 'counter_03.dot' | xargs -r rm -f
find ./PRESENTATION_Prog/ -name 'my_cmd.so' | xargs -r rm -f
find ./PRESENTATION_Prog/ -name 'my_cmd.d' | xargs -r rm -f
rm -f kernel/version_f13e387.o kernel/driver.o kernel/register.o kernel/rtlil.o kernel/log.o kernel/calc.o kernel/yosys.o kernel/cellaigs.o libs/bigint/BigIntegerAlgorithms.o libs/bigint/BigInteger.o libs/bigint/BigIntegerUtils.o libs/bigint/BigUnsigned.o libs/bigint/BigUnsignedInABase.o libs/sha1/sha1.o libs/subcircuit/subcircuit.o libs/ezsat/ezsat.o libs/ezsat/ezminisat.o libs/minisat/Options.o libs/minisat/SimpSolver.o libs/minisat/Solver.o libs/minisat/System.o frontends/vhdl2verilog/vhdl2verilog.o frontends/ilang/ilang_parser.tab.o frontends/ilang/ilang_lexer.o frontends/ilang/ilang_frontend.o frontends/verilog/verilog_parser.tab.o frontends/verilog/verilog_lexer.o frontends/verilog/preproc.o frontends/verilog/verilog_frontend.o frontends/verilog/const2ast.o frontends/ast/ast.o frontends/ast/simplify.o frontends/ast/genrtlil.o frontends/ast/dpicall.o frontends/blif/blifparse.o frontends/verific/verific.o frontends/liberty/liberty.o passes/tests/test_autotb.o passes/tests/test_cell.o passes/tests/test_abcloop.o passes/sat/sat.o passes/sat/freduce.o passes/sat/eval.o passes/sat/miter.o passes/sat/expose.o passes/cmds/add.o passes/cmds/delete.o passes/cmds/design.o passes/cmds/select.o passes/cmds/show.o passes/cmds/rename.o passes/cmds/connect.o passes/cmds/scatter.o passes/cmds/setundef.o passes/cmds/splitnets.o passes/cmds/stat.o passes/cmds/setattr.o passes/cmds/copy.o passes/cmds/splice.o passes/cmds/scc.o passes/cmds/logcmd.o passes/cmds/tee.o passes/cmds/write_file.o passes/cmds/connwrappers.o passes/cmds/cover.o passes/cmds/trace.o passes/cmds/plugin.o passes/cmds/check.o passes/cmds/qwp.o passes/cmds/edgetypes.o passes/memory/memory.o passes/memory/memory_dff.o passes/memory/memory_share.o passes/memory/memory_collect.o passes/memory/memory_unpack.o passes/memory/memory_bram.o passes/memory/memory_map.o passes/techmap/techmap.o passes/techmap/simplemap.o passes/techmap/dfflibmap.o passes/techmap/maccmap.o passes/techmap/libparse.o passes/techmap/abc.o passes/techmap/iopadmap.o passes/techmap/hilomap.o passes/techmap/extract.o passes/techmap/alumacc.o passes/techmap/dff2dffe.o passes/techmap/dffinit.o passes/techmap/pmuxtree.o passes/techmap/muxcover.o passes/techmap/aigmap.o passes/techmap/tribuf.o passes/techmap/lut2mux.o passes/techmap/nlutmap.o passes/opt/opt.o passes/opt/opt_share.o passes/opt/opt_muxtree.o passes/opt/opt_reduce.o passes/opt/opt_rmdff.o passes/opt/opt_clean.o passes/opt/opt_const.o passes/opt/share.o passes/opt/wreduce.o passes/hierarchy/hierarchy.o passes/hierarchy/submod.o passes/equiv/equiv_make.o passes/equiv/equiv_miter.o passes/equiv/equiv_simple.o passes/equiv/equiv_status.o passes/equiv/equiv_add.o passes/equiv/equiv_remove.o passes/equiv/equiv_induct.o passes/fsm/fsm.o passes/fsm/fsm_detect.o passes/fsm/fsm_extract.o passes/fsm/fsm_opt.o passes/fsm/fsm_expand.o passes/fsm/fsm_recode.o passes/fsm/fsm_info.o passes/fsm/fsm_export.o passes/fsm/fsm_map.o passes/proc/proc.o passes/proc/proc_clean.o passes/proc/proc_rmdead.o passes/proc/proc_init.o passes/proc/proc_arst.o passes/proc/proc_mux.o passes/proc/proc_dlatch.o passes/proc/proc_dff.o backends/edif/edif.o backends/smt2/smt2.o backends/btor/btor.o backends/intersynth/intersynth.o backends/ilang/ilang_backend.o backends/json/json.o backends/verilog/verilog_backend.o backends/smv/smv.o backends/blif/blif.o backends/spice/spice.o techlibs/xilinx/synth_xilinx.o techlibs/common/synth.o techlibs/ice40/synth_ice40.o techlibs/ice40/ice40_ffssr.o techlibs/ice40/ice40_opt.o techlibs/greenpak4/synth_greenpak4.o  frontends/ilang/ilang_parser.tab.cc frontends/ilang/ilang_parser.tab.h frontends/ilang/ilang_parser.output frontends/ilang/ilang_lexer.cc frontends/verilog/verilog_parser.tab.cc frontends/verilog/verilog_parser.tab.h frontends/verilog/verilog_parser.output frontends/verilog/verilog_lexer.cc passes/techmap/techmap.inc techlibs/xilinx/brams_init_36.vh techlibs/xilinx/brams_init_32.vh techlibs/xilinx/brams_init_18.vh techlibs/xilinx/brams_init_16.vh techlibs/ice40/brams_init1.vh techlibs/ice40/brams_init2.vh techlibs/ice40/brams_init3.vh yosys yosys-config yosys-filterlib  share/include/kernel/yosys.h share/include/kernel/hashlib.h share/include/kernel/log.h share/include/kernel/rtlil.h share/include/kernel/register.h share/include/kernel/celltypes.h share/include/kernel/consteval.h share/include/kernel/sigtools.h share/include/kernel/modtools.h share/include/kernel/macc.h share/include/kernel/utils.h share/include/kernel/satgen.h share/include/libs/ezsat/ezsat.h share/include/libs/ezsat/ezminisat.h share/include/libs/sha1/sha1.h share/include/passes/fsm/fsmdata.h share/include/backends/ilang/ilang_backend.h share/xilinx/cells_map.v share/xilinx/cells_sim.v share/xilinx/brams.txt share/xilinx/brams_map.v share/xilinx/brams_bb.v share/xilinx/drams.txt share/xilinx/drams_map.v share/xilinx/drams_bb.v share/xilinx/arith_map.v share/xilinx/brams_init_36.vh share/xilinx/brams_init_32.vh share/xilinx/brams_init_18.vh share/xilinx/brams_init_16.vh share/simlib.v share/simcells.v share/techmap.v share/pmux2mux.v share/adff2dff.v share/cells.lib share/ice40/arith_map.v share/ice40/cells_map.v share/ice40/cells_sim.v share/ice40/brams.txt share/ice40/brams_map.v share/ice40/brams_init1.vh share/ice40/brams_init2.vh share/ice40/brams_init3.vh share/greenpak4/cells_map.v share/greenpak4/cells_sim.v share/greenpak4/gp_dff.lib  passes/techmap/filterlib.o techlibs/xilinx/brams_init.mk techlibs/ice40/brams_init.mk
rm -f kernel/version_*.o kernel/version_*.cc abc/abc-[0-9a-f]*
rm -f libs/*/*.d frontends/*/*.d passes/*/*.d backends/*/*.d kernel/*.d techlibs/*/*.d
echo 'CONFIG := gcc' > Makefile.conf
make[2]: Leaving directory '/<<BUILDDIR>>/yosys-0.5.0+20151013gitf13e387'
make[2]: Entering directory '/<<BUILDDIR>>/yosys-0.5.0+20151013gitf13e387'
[Makefile.conf] CONFIG := gcc
[  0%] Building kernel/version_f13e387.cc
[  0%] Building kernel/version_f13e387.o
[  1%] Building kernel/driver.o
[  1%] Building kernel/register.o
[  2%] Building kernel/rtlil.o
[  3%] Building kernel/log.o
kernel/log.cc: In function 'void Yosys::log_backtrace(const char*, int)':
kernel/log.cc:284:40: warning: unsupported argument to '__builtin_return_address'
  if ((p = __builtin_extract_return_addr(__builtin_return_address(1))) && dladdr(p, &dli)) {
                                        ^
kernel/log.cc:293:40: warning: unsupported argument to '__builtin_return_address'
  if ((p = __builtin_extract_return_addr(__builtin_return_address(2))) && dladdr(p, &dli)) {
                                        ^
kernel/log.cc:302:40: warning: unsupported argument to '__builtin_return_address'
  if ((p = __builtin_extract_return_addr(__builtin_return_address(3))) && dladdr(p, &dli)) {
                                        ^
kernel/log.cc:311:40: warning: unsupported argument to '__builtin_return_address'
  if ((p = __builtin_extract_return_addr(__builtin_return_address(4))) && dladdr(p, &dli)) {
                                        ^
kernel/log.cc:320:40: warning: unsupported argument to '__builtin_return_address'
  if ((p = __builtin_extract_return_addr(__builtin_return_address(5))) && dladdr(p, &dli)) {
                                        ^
kernel/log.cc:329:40: warning: unsupported argument to '__builtin_return_address'
  if ((p = __builtin_extract_return_addr(__builtin_return_address(6))) && dladdr(p, &dli)) {
                                        ^
kernel/log.cc:338:40: warning: unsupported argument to '__builtin_return_address'
  if ((p = __builtin_extract_return_addr(__builtin_return_address(7))) && dladdr(p, &dli)) {
                                        ^
kernel/log.cc:347:40: warning: unsupported argument to '__builtin_return_address'
  if ((p = __builtin_extract_return_addr(__builtin_return_address(8))) && dladdr(p, &dli)) {
                                        ^
[  3%] Building kernel/calc.o
[  4%] Building kernel/yosys.o
[  4%] Building kernel/cellaigs.o
[  5%] Building libs/bigint/BigIntegerAlgorithms.o
[  6%] Building libs/bigint/BigInteger.o
[  6%] Building libs/bigint/BigIntegerUtils.o
[  7%] Building libs/bigint/BigUnsigned.o
[  7%] Building libs/bigint/BigUnsignedInABase.o
[  8%] Building libs/sha1/sha1.o
[  9%] Building libs/subcircuit/subcircuit.o
[  9%] Building libs/ezsat/ezsat.o
[ 10%] Building libs/ezsat/ezminisat.o
[ 11%] Building libs/minisat/Options.o
[ 11%] Building libs/minisat/SimpSolver.o
[ 12%] Building libs/minisat/Solver.o
[ 12%] Building libs/minisat/System.o
[ 13%] Building frontends/vhdl2verilog/vhdl2verilog.o
[ 13%] Building frontends/ilang/ilang_parser.tab.cc
[ 14%] Building frontends/ilang/ilang_parser.tab.o
[ 14%] Building frontends/ilang/ilang_lexer.cc
[ 14%] Building frontends/ilang/ilang_lexer.o
[ 15%] Building frontends/ilang/ilang_frontend.o
[ 15%] Building frontends/verilog/verilog_parser.tab.cc
[ 15%] Building frontends/verilog/verilog_parser.tab.o
[ 15%] Building frontends/verilog/verilog_lexer.cc
[ 16%] Building frontends/verilog/verilog_lexer.o
[ 17%] Building frontends/verilog/preproc.o
[ 17%] Building frontends/verilog/verilog_frontend.o
[ 18%] Building frontends/verilog/const2ast.o
[ 18%] Building frontends/ast/ast.o
[ 19%] Building frontends/ast/simplify.o
[ 20%] Building frontends/ast/genrtlil.o
[ 20%] Building frontends/ast/dpicall.o
[ 21%] Building frontends/blif/blifparse.o
[ 22%] Building frontends/verific/verific.o
[ 22%] Building frontends/liberty/liberty.o
[ 23%] Building passes/tests/test_autotb.o
[ 23%] Building passes/tests/test_cell.o
[ 24%] Building passes/tests/test_abcloop.o
[ 25%] Building passes/sat/sat.o
[ 25%] Building passes/sat/freduce.o
[ 26%] Building passes/sat/eval.o
[ 26%] Building passes/sat/miter.o
[ 27%] Building passes/sat/expose.o
[ 28%] Building passes/cmds/add.o
[ 28%] Building passes/cmds/delete.o
[ 29%] Building passes/cmds/design.o
[ 30%] Building passes/cmds/select.o
[ 30%] Building passes/cmds/show.o
[ 31%] Building passes/cmds/rename.o
[ 31%] Building passes/cmds/connect.o
[ 32%] Building passes/cmds/scatter.o
[ 33%] Building passes/cmds/setundef.o
[ 33%] Building passes/cmds/splitnets.o
[ 34%] Building passes/cmds/stat.o
[ 34%] Building passes/cmds/setattr.o
[ 35%] Building passes/cmds/copy.o
[ 36%] Building passes/cmds/splice.o
[ 36%] Building passes/cmds/scc.o
[ 37%] Building passes/cmds/logcmd.o
[ 37%] Building passes/cmds/tee.o
[ 38%] Building passes/cmds/write_file.o
[ 39%] Building passes/cmds/connwrappers.o
[ 39%] Building passes/cmds/cover.o
[ 40%] Building passes/cmds/trace.o
[ 41%] Building passes/cmds/plugin.o
[ 41%] Building passes/cmds/check.o
[ 42%] Building passes/cmds/qwp.o
[ 42%] Building passes/cmds/edgetypes.o
[ 43%] Building passes/memory/memory.o
[ 44%] Building passes/memory/memory_dff.o
[ 44%] Building passes/memory/memory_share.o
[ 45%] Building passes/memory/memory_collect.o
[ 45%] Building passes/memory/memory_unpack.o
[ 46%] Building passes/memory/memory_bram.o
[ 47%] Building passes/memory/memory_map.o
[ 47%] Building passes/techmap/techmap.inc
[ 47%] Building passes/techmap/techmap.o
[ 48%] Building passes/techmap/simplemap.o
[ 48%] Building passes/techmap/dfflibmap.o
[ 49%] Building passes/techmap/maccmap.o
[ 50%] Building passes/techmap/libparse.o
[ 50%] Building passes/techmap/abc.o
[ 51%] Building passes/techmap/iopadmap.o
[ 52%] Building passes/techmap/hilomap.o
[ 52%] Building passes/techmap/extract.o
[ 53%] Building passes/techmap/alumacc.o
[ 53%] Building passes/techmap/dff2dffe.o
[ 54%] Building passes/techmap/dffinit.o
[ 55%] Building passes/techmap/pmuxtree.o
[ 55%] Building passes/techmap/muxcover.o
[ 56%] Building passes/techmap/aigmap.o
[ 56%] Building passes/techmap/tribuf.o
[ 57%] Building passes/techmap/lut2mux.o
[ 58%] Building passes/techmap/nlutmap.o
[ 58%] Building passes/opt/opt.o
[ 59%] Building passes/opt/opt_share.o
[ 60%] Building passes/opt/opt_muxtree.o
[ 60%] Building passes/opt/opt_reduce.o
[ 61%] Building passes/opt/opt_rmdff.o
[ 61%] Building passes/opt/opt_clean.o
[ 62%] Building passes/opt/opt_const.o
[ 63%] Building passes/opt/share.o
[ 63%] Building passes/opt/wreduce.o
[ 64%] Building passes/hierarchy/hierarchy.o
[ 64%] Building passes/hierarchy/submod.o
[ 65%] Building passes/equiv/equiv_make.o
[ 66%] Building passes/equiv/equiv_miter.o
[ 66%] Building passes/equiv/equiv_simple.o
[ 67%] Building passes/equiv/equiv_status.o
[ 67%] Building passes/equiv/equiv_add.o
[ 68%] Building passes/equiv/equiv_remove.o
[ 69%] Building passes/equiv/equiv_induct.o
[ 69%] Building passes/fsm/fsm.o
[ 70%] Building passes/fsm/fsm_detect.o
[ 71%] Building passes/fsm/fsm_extract.o
[ 71%] Building passes/fsm/fsm_opt.o
[ 72%] Building passes/fsm/fsm_expand.o
[ 72%] Building passes/fsm/fsm_recode.o
[ 73%] Building passes/fsm/fsm_info.o
[ 74%] Building passes/fsm/fsm_export.o
[ 74%] Building passes/fsm/fsm_map.o
[ 75%] Building passes/proc/proc.o
[ 75%] Building passes/proc/proc_clean.o
[ 76%] Building passes/proc/proc_rmdead.o
[ 77%] Building passes/proc/proc_init.o
[ 77%] Building passes/proc/proc_arst.o
[ 78%] Building passes/proc/proc_mux.o
[ 78%] Building passes/proc/proc_dlatch.o
[ 79%] Building passes/proc/proc_dff.o
[ 80%] Building backends/edif/edif.o
[ 80%] Building backends/smt2/smt2.o
[ 81%] Building backends/btor/btor.o
[ 82%] Building backends/intersynth/intersynth.o
[ 82%] Building backends/ilang/ilang_backend.o
[ 83%] Building backends/json/json.o
[ 83%] Building backends/verilog/verilog_backend.o
[ 84%] Building backends/smv/smv.o
[ 85%] Building backends/blif/blif.o
[ 85%] Building backends/spice/spice.o
[ 86%] Building techlibs/xilinx/synth_xilinx.o
[ 86%] Building techlibs/common/synth.o
[ 87%] Building techlibs/ice40/synth_ice40.o
[ 88%] Building techlibs/ice40/ice40_ffssr.o
[ 88%] Building techlibs/ice40/ice40_opt.o
[ 89%] Building techlibs/greenpak4/synth_greenpak4.o
[100%] Building yosys
[100%] Building yosys-config
[100%] Building passes/techmap/filterlib.o
[100%] Building yosys-filterlib
[100%] Building share/include/kernel/yosys.h
[100%] Building share/include/kernel/hashlib.h
[100%] Building share/include/kernel/log.h
[100%] Building share/include/kernel/rtlil.h
[100%] Building share/include/kernel/register.h
[100%] Building share/include/kernel/celltypes.h
[100%] Building share/include/kernel/consteval.h
[100%] Building share/include/kernel/sigtools.h
[100%] Building share/include/kernel/modtools.h
[100%] Building share/include/kernel/macc.h
[100%] Building share/include/kernel/utils.h
[100%] Building share/include/kernel/satgen.h
[100%] Building share/include/libs/ezsat/ezsat.h
[100%] Building share/include/libs/ezsat/ezminisat.h
[100%] Building share/include/libs/sha1/sha1.h
[100%] Building share/include/passes/fsm/fsmdata.h
[100%] Building share/include/backends/ilang/ilang_backend.h
[100%] Building share/xilinx/cells_map.v
[100%] Building share/xilinx/cells_sim.v
[100%] Building share/xilinx/brams.txt
[100%] Building share/xilinx/brams_map.v
[100%] Building share/xilinx/brams_bb.v
[100%] Building share/xilinx/drams.txt
[100%] Building share/xilinx/drams_map.v
[100%] Building share/xilinx/drams_bb.v
[100%] Building share/xilinx/arith_map.v
[100%] Building techlibs/xilinx/brams_init.mk
[100%] Building share/xilinx/brams_init_36.vh
[100%] Building share/xilinx/brams_init_32.vh
[100%] Building share/xilinx/brams_init_18.vh
[100%] Building share/xilinx/brams_init_16.vh
[100%] Building share/simlib.v
[100%] Building share/simcells.v
[100%] Building share/techmap.v
[100%] Building share/pmux2mux.v
[100%] Building share/adff2dff.v
[100%] Building share/cells.lib
[100%] Building share/ice40/arith_map.v
[100%] Building share/ice40/cells_map.v
[100%] Building share/ice40/cells_sim.v
[100%] Building share/ice40/brams.txt
[100%] Building share/ice40/brams_map.v
[100%] Building techlibs/ice40/brams_init.mk
[100%] Building share/ice40/brams_init1.vh
[100%] Building share/ice40/brams_init2.vh
[100%] Building share/ice40/brams_init3.vh
[100%] Building share/greenpak4/cells_map.v
[100%] Building share/greenpak4/cells_sim.v
[100%] Building share/greenpak4/gp_dff.lib

  Build successful.

make[2]: Leaving directory '/<<BUILDDIR>>/yosys-0.5.0+20151013gitf13e387'
make[1]: Leaving directory '/<<BUILDDIR>>/yosys-0.5.0+20151013gitf13e387'
   dh_auto_build -a
	make -j1
make[1]: Entering directory '/<<BUILDDIR>>/yosys-0.5.0+20151013gitf13e387'
[Makefile.conf] CONFIG := gcc

  Build successful.

make[1]: Leaving directory '/<<BUILDDIR>>/yosys-0.5.0+20151013gitf13e387'
   dh_auto_test -a
 fakeroot debian/rules binary-arch
PREFIX=/usr dh binary-arch
   dh_testroot -a
   dh_prep -a
   dh_auto_install -a
	make -j1 install DESTDIR=/<<BUILDDIR>>/yosys-0.5.0\+20151013gitf13e387/debian/yosys AM_UPDATE_INFO_DIR=no
make[1]: Entering directory '/<<BUILDDIR>>/yosys-0.5.0+20151013gitf13e387'
[Makefile.conf] CONFIG := gcc
mkdir -p /<<BUILDDIR>>/yosys-0.5.0+20151013gitf13e387/debian/yosys/usr/bin
install yosys yosys-config yosys-filterlib /<<BUILDDIR>>/yosys-0.5.0+20151013gitf13e387/debian/yosys/usr/bin/
mkdir -p /<<BUILDDIR>>/yosys-0.5.0+20151013gitf13e387/debian/yosys/usr/share/yosys
cp -r share/. /<<BUILDDIR>>/yosys-0.5.0+20151013gitf13e387/debian/yosys/usr/share/yosys/.
make[1]: Leaving directory '/<<BUILDDIR>>/yosys-0.5.0+20151013gitf13e387'
   dh_installdocs -a
   dh_installchangelogs -a
   dh_installman -a
   dh_perl -a
   dh_link -a
   dh_strip_nondeterminism -a
   dh_compress -a
   dh_fixperms -a
   dh_strip -a
   dh_makeshlibs -a
   dh_shlibdeps -a
dpkg-shlibdeps: warning: debian/yosys/usr/bin/yosys-filterlib contains an unresolvable reference to symbol __aeabi_atexit@CXXABI_ARM_1.3.3: it's probably a plugin
dpkg-shlibdeps: warning: debian/yosys/usr/bin/yosys contains an unresolvable reference to symbol __aeabi_atexit@CXXABI_ARM_1.3.3: it's probably a plugin
   dh_installdeb -a
   dh_gencontrol -a
dpkg-gencontrol: warning: File::FcntlLock not available; using flock which is not NFS-safe
dpkg-gencontrol: warning: File::FcntlLock not available; using flock which is not NFS-safe
   dh_md5sums -a
   dh_builddeb -a
dpkg-deb: building package 'yosys-dbgsym' in '../yosys-dbgsym_0.5.0+20151013gitf13e387-1_armhf.deb'.
dpkg-deb: building package 'yosys' in '../yosys_0.5.0+20151013gitf13e387-1_armhf.deb'.
 dpkg-genchanges -B -mRaspbian wandboard test autobuilder <root@raspbian.org> >../yosys_0.5.0+20151013gitf13e387-1_armhf.changes
dpkg-genchanges: warning: package yosys-dbgsym listed in files list but not in control info
dpkg-genchanges: binary-only arch-specific upload (source code and arch-indep packages not included)
 dpkg-source --after-build yosys-0.5.0+20151013gitf13e387
dpkg-buildpackage: binary-only upload (no source included)
--------------------------------------------------------------------------------
Build finished at 20160218-1435

Finished
--------

I: Built successfully

+------------------------------------------------------------------------------+
| Post Build Chroot                                                            |
+------------------------------------------------------------------------------+


+------------------------------------------------------------------------------+
| Changes                                                                      |
+------------------------------------------------------------------------------+


yosys_0.5.0+20151013gitf13e387-1_armhf.changes:
-----------------------------------------------

Format: 1.8
Date: Sun, 07 Feb 2016 11:59:04 +0100
Source: yosys
Binary: yosys
Architecture: armhf
Version: 0.5.0+20151013gitf13e387-1
Distribution: stretch-staging
Urgency: low
Maintainer: Raspbian wandboard test autobuilder <root@raspbian.org>
Changed-By: Ruben Undheim <ruben.undheim@gmail.com>
Description:
 yosys      - Framework for Verilog RTL synthesis
Changes:
 yosys (0.5.0+20151013gitf13e387-1) unstable; urgency=low
 .
   * Uploaded to unstable
     - New features in yosys are needed for the Icestorm tool chain
   * New upstream version
     - Drop patches 04_installpath.patch and 06_cflags_ldflags.patch
     - Set PREFIX in d/rules
   * debian/control: Fixed Vcs-Git to use https
Checksums-Sha1:
 82e9a7c64fdb8c00c043cf3432552f343f306599 30532618 yosys-dbgsym_0.5.0+20151013gitf13e387-1_armhf.deb
 7027ebad0e63a739eac56e8439c1ec7abeed2007 1276246 yosys_0.5.0+20151013gitf13e387-1_armhf.deb
Checksums-Sha256:
 5e018b4d448cc248f33c9e129df726d17f2d70fce3df3cb263ed6533110896cd 30532618 yosys-dbgsym_0.5.0+20151013gitf13e387-1_armhf.deb
 a4fd21d4c5e4aac7cc80f7e9a3843d6343856c4ec6753ea91905fb98b498c91a 1276246 yosys_0.5.0+20151013gitf13e387-1_armhf.deb
Files:
 be412aaeaf553192924aa7bb0661eca2 30532618 debug extra yosys-dbgsym_0.5.0+20151013gitf13e387-1_armhf.deb
 a603717c72ac2697486c7b8814cb5b98 1276246 electronics optional yosys_0.5.0+20151013gitf13e387-1_armhf.deb

+------------------------------------------------------------------------------+
| Package contents                                                             |
+------------------------------------------------------------------------------+


yosys-dbgsym_0.5.0+20151013gitf13e387-1_armhf.deb
-------------------------------------------------

 new debian package, version 2.0.
 size 30532618 bytes: control archive=557 bytes.
     486 bytes,    13 lines      control              
     212 bytes,     2 lines      md5sums              
 Package: yosys-dbgsym
 Source: yosys
 Version: 0.5.0+20151013gitf13e387-1
 Architecture: armhf
 Maintainer: Debian Science Maintainers <debian-science-maintainers@lists.alioth.debian.org>
 Installed-Size: 30987
 Depends: yosys (= 0.5.0+20151013gitf13e387-1)
 Section: debug
 Priority: extra
 Homepage: http://www.clifford.at/yosys
 Description: Debug symbols for yosys
 Auto-Built-Package: debug-symbols
 Build-Ids: 7787f278bc4034eee5735116c8c85054611a5df8 f24b483bb37727014de969d1849793028e312f08

drwxr-xr-x root/root         0 2016-02-18 14:33 ./
drwxr-xr-x root/root         0 2016-02-18 14:33 ./usr/
drwxr-xr-x root/root         0 2016-02-18 14:32 ./usr/lib/
drwxr-xr-x root/root         0 2016-02-18 14:32 ./usr/lib/debug/
drwxr-xr-x root/root         0 2016-02-18 14:33 ./usr/lib/debug/.build-id/
drwxr-xr-x root/root         0 2016-02-18 14:33 ./usr/lib/debug/.build-id/77/
-rw-r--r-- root/root  31621400 2016-02-18 14:33 ./usr/lib/debug/.build-id/77/87f278bc4034eee5735116c8c85054611a5df8.debug
drwxr-xr-x root/root         0 2016-02-18 14:33 ./usr/lib/debug/.build-id/f2/
-rw-r--r-- root/root     96684 2016-02-18 14:33 ./usr/lib/debug/.build-id/f2/4b483bb37727014de969d1849793028e312f08.debug
drwxr-xr-x root/root         0 2016-02-18 14:33 ./usr/share/
drwxr-xr-x root/root         0 2016-02-18 14:33 ./usr/share/doc/
lrwxrwxrwx root/root         0 2016-02-18 14:33 ./usr/share/doc/yosys-dbgsym -> yosys


yosys_0.5.0+20151013gitf13e387-1_armhf.deb
------------------------------------------

 new debian package, version 2.0.
 size 1276246 bytes: control archive=2334 bytes.
     856 bytes,    17 lines      control              
    4040 bytes,    58 lines      md5sums              
 Package: yosys
 Version: 0.5.0+20151013gitf13e387-1
 Architecture: armhf
 Maintainer: Debian Science Maintainers <debian-science-maintainers@lists.alioth.debian.org>
 Installed-Size: 4723
 Depends: libc6 (>= 2.11), libffi6 (>= 3.0.4), libgcc1 (>= 1:3.5), libreadline6 (>= 6.0), libstdc++6 (>= 5.2), libtcl8.6 (>= 8.6.0), berkeley-abc (>= 1.01), xdot
 Section: electronics
 Priority: optional
 Homepage: http://www.clifford.at/yosys
 Description: Framework for Verilog RTL synthesis
  This is a framework for Verilog RTL synthesis. It currently has extensive
  Verilog-2005 support and provides a basic set of synthesis algorithms for
  various application domains.
  .
  Yosys can be adapted to perform any synthesis job by combining the existing
  passes (algorithms) using synthesis scripts and adding additional passes as
  needed by extending the yosys C++ code base.

drwxr-xr-x root/root         0 2016-02-18 14:33 ./
drwxr-xr-x root/root         0 2016-02-18 14:32 ./usr/
drwxr-xr-x root/root         0 2016-02-18 14:33 ./usr/bin/
-rwxr-xr-x root/root   3948284 2016-02-18 14:33 ./usr/bin/yosys
lrwxrwxrwx root/root         0 2016-02-18 14:32 ./usr/bin/yosys-abc -> berkeley-abc
-rwxr-xr-x root/root      3366 2016-02-18 14:32 ./usr/bin/yosys-config
-rwxr-xr-x root/root     30484 2016-02-18 14:33 ./usr/bin/yosys-filterlib
drwxr-xr-x root/root         0 2016-02-18 14:32 ./usr/share/
drwxr-xr-x root/root         0 2016-02-18 14:32 ./usr/share/doc/
drwxr-xr-x root/root         0 2016-02-18 14:32 ./usr/share/doc/yosys/
-rw-r--r-- root/root      5377 2015-10-13 12:21 ./usr/share/doc/yosys/README.gz
-rw-r--r-- root/root       693 2016-02-07 11:03 ./usr/share/doc/yosys/changelog.Debian.gz
-rw-r--r-- root/root      4488 2015-10-13 12:21 ./usr/share/doc/yosys/changelog.gz
-rw-r--r-- root/root      5875 2016-02-05 12:40 ./usr/share/doc/yosys/copyright
drwxr-xr-x root/root         0 2016-02-18 14:32 ./usr/share/man/
drwxr-xr-x root/root         0 2016-02-18 14:32 ./usr/share/man/man1/
-rw-r--r-- root/root       560 2016-02-18 14:32 ./usr/share/man/man1/yosys-abc.1.gz
-rw-r--r-- root/root       898 2016-02-18 14:32 ./usr/share/man/man1/yosys-config.1.gz
-rw-r--r-- root/root       963 2016-02-18 14:32 ./usr/share/man/man1/yosys-filterlib.1.gz
-rw-r--r-- root/root      1185 2016-02-18 14:32 ./usr/share/man/man1/yosys.1.gz
drwxr-xr-x root/root         0 2016-02-18 14:32 ./usr/share/yosys/
-rw-r--r-- root/root       520 2016-02-18 14:32 ./usr/share/yosys/adff2dff.v
-rw-r--r-- root/root      2432 2016-02-18 14:32 ./usr/share/yosys/cells.lib
drwxr-xr-x root/root         0 2016-02-18 14:32 ./usr/share/yosys/greenpak4/
-rw-r--r-- root/root      1029 2016-02-18 14:32 ./usr/share/yosys/greenpak4/cells_map.v
-rw-r--r-- root/root       583 2016-02-18 14:32 ./usr/share/yosys/greenpak4/cells_sim.v
-rw-r--r-- root/root       722 2016-02-18 14:32 ./usr/share/yosys/greenpak4/gp_dff.lib
drwxr-xr-x root/root         0 2016-02-18 14:32 ./usr/share/yosys/ice40/
-rw-r--r-- root/root      2046 2016-02-18 14:32 ./usr/share/yosys/ice40/arith_map.v
-rw-r--r-- root/root       534 2016-02-18 14:32 ./usr/share/yosys/ice40/brams.txt
-rw-r--r-- root/root     50688 2016-02-18 14:32 ./usr/share/yosys/ice40/brams_init1.vh
-rw-r--r-- root/root     50688 2016-02-18 14:32 ./usr/share/yosys/ice40/brams_init2.vh
-rw-r--r-- root/root     50688 2016-02-18 14:32 ./usr/share/yosys/ice40/brams_init3.vh
-rw-r--r-- root/root      7980 2016-02-18 14:32 ./usr/share/yosys/ice40/brams_map.v
-rw-r--r-- root/root      3377 2016-02-18 14:32 ./usr/share/yosys/ice40/cells_map.v
-rw-r--r-- root/root     26208 2016-02-18 14:32 ./usr/share/yosys/ice40/cells_sim.v
drwxr-xr-x root/root         0 2016-02-18 14:32 ./usr/share/yosys/include/
drwxr-xr-x root/root         0 2016-02-18 14:32 ./usr/share/yosys/include/backends/
drwxr-xr-x root/root         0 2016-02-18 14:32 ./usr/share/yosys/include/backends/ilang/
-rw-r--r-- root/root      2445 2016-02-18 14:32 ./usr/share/yosys/include/backends/ilang/ilang_backend.h
drwxr-xr-x root/root         0 2016-02-18 14:32 ./usr/share/yosys/include/kernel/
-rw-r--r-- root/root     12748 2016-02-18 14:32 ./usr/share/yosys/include/kernel/celltypes.h
-rw-r--r-- root/root      9807 2016-02-18 14:32 ./usr/share/yosys/include/kernel/consteval.h
-rw-r--r-- root/root     22010 2016-02-18 14:32 ./usr/share/yosys/include/kernel/hashlib.h
-rw-r--r-- root/root      9046 2016-02-18 14:32 ./usr/share/yosys/include/kernel/log.h
-rw-r--r-- root/root      7021 2016-02-18 14:32 ./usr/share/yosys/include/kernel/macc.h
-rw-r--r-- root/root     14004 2016-02-18 14:32 ./usr/share/yosys/include/kernel/modtools.h
-rw-r--r-- root/root      4567 2016-02-18 14:32 ./usr/share/yosys/include/kernel/register.h
-rw-r--r-- root/root     53358 2016-02-18 14:32 ./usr/share/yosys/include/kernel/rtlil.h
-rw-r--r-- root/root     49081 2016-02-18 14:32 ./usr/share/yosys/include/kernel/satgen.h
-rw-r--r-- root/root      8808 2016-02-18 14:32 ./usr/share/yosys/include/kernel/sigtools.h
-rw-r--r-- root/root      5030 2016-02-18 14:32 ./usr/share/yosys/include/kernel/utils.h
-rw-r--r-- root/root      8934 2016-02-18 14:32 ./usr/share/yosys/include/kernel/yosys.h
drwxr-xr-x root/root         0 2016-02-18 14:32 ./usr/share/yosys/include/libs/
drwxr-xr-x root/root         0 2016-02-18 14:32 ./usr/share/yosys/include/libs/ezsat/
-rw-r--r-- root/root      2094 2016-02-18 14:32 ./usr/share/yosys/include/libs/ezsat/ezminisat.h
-rw-r--r-- root/root     14521 2016-02-18 14:32 ./usr/share/yosys/include/libs/ezsat/ezsat.h
drwxr-xr-x root/root         0 2016-02-18 14:32 ./usr/share/yosys/include/libs/sha1/
-rw-r--r-- root/root      1370 2016-02-18 14:32 ./usr/share/yosys/include/libs/sha1/sha1.h
drwxr-xr-x root/root         0 2016-02-18 14:32 ./usr/share/yosys/include/passes/
drwxr-xr-x root/root         0 2016-02-18 14:32 ./usr/share/yosys/include/passes/fsm/
-rw-r--r-- root/root      6742 2016-02-18 14:32 ./usr/share/yosys/include/passes/fsm/fsmdata.h
-rw-r--r-- root/root       342 2016-02-18 14:32 ./usr/share/yosys/pmux2mux.v
-rw-r--r-- root/root      9075 2016-02-18 14:32 ./usr/share/yosys/simcells.v
-rw-r--r-- root/root     33055 2016-02-18 14:32 ./usr/share/yosys/simlib.v
-rw-r--r-- root/root     11989 2016-02-18 14:32 ./usr/share/yosys/techmap.v
drwxr-xr-x root/root         0 2016-02-18 14:32 ./usr/share/yosys/xilinx/
-rw-r--r-- root/root      2327 2016-02-18 14:32 ./usr/share/yosys/xilinx/arith_map.v
-rw-r--r-- root/root      1674 2016-02-18 14:32 ./usr/share/yosys/xilinx/brams.txt
-rw-r--r-- root/root     22243 2016-02-18 14:32 ./usr/share/yosys/xilinx/brams_bb.v
-rw-r--r-- root/root      2048 2016-02-18 14:32 ./usr/share/yosys/xilinx/brams_init_16.vh
-rw-r--r-- root/root     81528 2016-02-18 14:32 ./usr/share/yosys/xilinx/brams_init_18.vh
-rw-r--r-- root/root      4096 2016-02-18 14:32 ./usr/share/yosys/xilinx/brams_init_32.vh
-rw-r--r-- root/root    165056 2016-02-18 14:32 ./usr/share/yosys/xilinx/brams_init_36.vh
-rw-r--r-- root/root      8255 2016-02-18 14:32 ./usr/share/yosys/xilinx/brams_map.v
-rw-r--r-- root/root      4580 2016-02-18 14:32 ./usr/share/yosys/xilinx/cells_map.v
-rw-r--r-- root/root      5265 2016-02-18 14:32 ./usr/share/yosys/xilinx/cells_sim.v
-rw-r--r-- root/root       423 2016-02-18 14:32 ./usr/share/yosys/xilinx/drams.txt
-rw-r--r-- root/root       382 2016-02-18 14:32 ./usr/share/yosys/xilinx/drams_bb.v
-rw-r--r-- root/root      1040 2016-02-18 14:32 ./usr/share/yosys/xilinx/drams_map.v


+------------------------------------------------------------------------------+
| Post Build                                                                   |
+------------------------------------------------------------------------------+


+------------------------------------------------------------------------------+
| Cleanup                                                                      |
+------------------------------------------------------------------------------+

Purging /<<BUILDDIR>>
Not cleaning session: cloned chroot in use

+------------------------------------------------------------------------------+
| Summary                                                                      |
+------------------------------------------------------------------------------+

Build Architecture: armhf
Build-Space: 396320
Build-Time: 5730
Distribution: stretch-staging
Host Architecture: armhf
Install-Time: 361
Job: yosys_0.5.0+20151013gitf13e387-1
Machine Architecture: armhf
Package: yosys
Package-Time: 6140
Source-Version: 0.5.0+20151013gitf13e387-1
Space: 396320
Status: successful
Version: 0.5.0+20151013gitf13e387-1
--------------------------------------------------------------------------------
Finished at 20160218-1435
Build needed 01:42:20, 396320k disc space