Raspbian Package Auto-Building

Build log for libverilog-perl (3.446-1) on armhf

libverilog-perl3.446-1armhf → 2017-11-17 06:45:39

sbuild (Debian sbuild) 0.71.0 (24 Aug 2016) on bm-wb-03

+==============================================================================+
| libverilog-perl 3.446-1 (armhf)              Fri, 17 Nov 2017 06:29:33 +0000 |
+==============================================================================+

Package: libverilog-perl
Version: 3.446-1
Source Version: 3.446-1
Distribution: buster-staging
Machine Architecture: armhf
Host Architecture: armhf
Build Architecture: armhf

I: NOTICE: Log filtering will replace 'var/lib/schroot/mount/buster-staging-armhf-sbuild-c602d734-8e5f-45c4-926b-7e47407886d3' with '<<CHROOT>>'

+------------------------------------------------------------------------------+
| Update chroot                                                                |
+------------------------------------------------------------------------------+

Get:1 http://172.17.0.1/private buster-staging InRelease [11.3 kB]
Get:2 http://172.17.0.1/private buster-staging/main Sources [10.4 MB]
Get:3 http://172.17.0.1/private buster-staging/main armhf Packages [12.2 MB]
Fetched 22.5 MB in 26s (844 kB/s)
Reading package lists...
W: No sandbox user '_apt' on the system, can not drop privileges

+------------------------------------------------------------------------------+
| Fetch source files                                                           |
+------------------------------------------------------------------------------+


Check APT
---------

Checking available source versions...

Download source files with APT
------------------------------

Reading package lists...
NOTICE: 'libverilog-perl' packaging is maintained in the 'Git' version control system at:
https://anonscm.debian.org/git/pkg-perl/packages/libverilog-perl.git
Please use:
git clone https://anonscm.debian.org/git/pkg-perl/packages/libverilog-perl.git
to retrieve the latest (possibly unreleased) updates to the package.
Need to get 591 kB of source archives.
Get:1 http://172.17.0.1/private buster-staging/main libverilog-perl 3.446-1 (dsc) [2357 B]
Get:2 http://172.17.0.1/private buster-staging/main libverilog-perl 3.446-1 (tar) [581 kB]
Get:3 http://172.17.0.1/private buster-staging/main libverilog-perl 3.446-1 (diff) [8108 B]
Fetched 591 kB in 0s (5006 kB/s)
Download complete and in download only mode
I: NOTICE: Log filtering will replace 'build/libverilog-perl-ULmaWe/libverilog-perl-3.446' with '<<PKGBUILDDIR>>'
I: NOTICE: Log filtering will replace 'build/libverilog-perl-ULmaWe' with '<<BUILDDIR>>'

+------------------------------------------------------------------------------+
| Install build-essential                                                      |
+------------------------------------------------------------------------------+


Setup apt archive
-----------------

Merged Build-Depends: build-essential, fakeroot
Filtered Build-Depends: build-essential, fakeroot
dpkg-deb: building package 'sbuild-build-depends-core-dummy' in '/<<BUILDDIR>>/resolver-jn6IOx/apt_archive/sbuild-build-depends-core-dummy.deb'.
dpkg-scanpackages: warning: Packages in archive but missing from override file:
dpkg-scanpackages: warning:   sbuild-build-depends-core-dummy
dpkg-scanpackages: info: Wrote 1 entries to output Packages file.
gpg: keybox '/<<BUILDDIR>>/resolver-jn6IOx/gpg/pubring.kbx' created
gpg: /<<BUILDDIR>>/resolver-jn6IOx/gpg/trustdb.gpg: trustdb created
gpg: key 35506D9A48F77B2E: public key "Sbuild Signer (Sbuild Build Dependency Archive Key) <buildd-tools-devel@lists.alioth.debian.org>" imported
gpg: Total number processed: 1
gpg:               imported: 1
gpg: key 35506D9A48F77B2E: "Sbuild Signer (Sbuild Build Dependency Archive Key) <buildd-tools-devel@lists.alioth.debian.org>" not changed
gpg: key 35506D9A48F77B2E: secret key imported
gpg: Total number processed: 1
gpg:              unchanged: 1
gpg:       secret keys read: 1
gpg:   secret keys imported: 1
gpg: using "Sbuild Signer" as default secret key for signing
Ign:1 copy:/<<BUILDDIR>>/resolver-jn6IOx/apt_archive ./ InRelease
Get:2 copy:/<<BUILDDIR>>/resolver-jn6IOx/apt_archive ./ Release [957 B]
Get:3 copy:/<<BUILDDIR>>/resolver-jn6IOx/apt_archive ./ Release.gpg [370 B]
Get:4 copy:/<<BUILDDIR>>/resolver-jn6IOx/apt_archive ./ Sources [349 B]
Get:5 copy:/<<BUILDDIR>>/resolver-jn6IOx/apt_archive ./ Packages [432 B]
Fetched 2108 B in 0s (3105 B/s)
Reading package lists...
W: No sandbox user '_apt' on the system, can not drop privileges
Reading package lists...

Install core build dependencies (apt-based resolver)
----------------------------------------------------

Installing build dependencies
Reading package lists...
Building dependency tree...
Reading state information...
The following package was automatically installed and is no longer required:
  e2fsprogs-l10n
Use 'apt autoremove' to remove it.
The following NEW packages will be installed:
  sbuild-build-depends-core-dummy
0 upgraded, 1 newly installed, 0 to remove and 43 not upgraded.
Need to get 848 B of archives.
After this operation, 0 B of additional disk space will be used.
Get:1 copy:/<<BUILDDIR>>/resolver-jn6IOx/apt_archive ./ sbuild-build-depends-core-dummy 0.invalid.0 [848 B]
debconf: delaying package configuration, since apt-utils is not installed
Fetched 848 B in 0s (0 B/s)
Selecting previously unselected package sbuild-build-depends-core-dummy.
(Reading database ... 13092 files and directories currently installed.)
Preparing to unpack .../sbuild-build-depends-core-dummy_0.invalid.0_armhf.deb ...
Unpacking sbuild-build-depends-core-dummy (0.invalid.0) ...
Setting up sbuild-build-depends-core-dummy (0.invalid.0) ...
W: No sandbox user '_apt' on the system, can not drop privileges

+------------------------------------------------------------------------------+
| Check architectures                                                          |
+------------------------------------------------------------------------------+

Arch check ok (armhf included in any)

+------------------------------------------------------------------------------+
| Install package build dependencies                                           |
+------------------------------------------------------------------------------+


Setup apt archive
-----------------

Merged Build-Depends: debhelper (>= 10), perl, flex, bison, libtest-pod-perl, libbit-vector-perl
Filtered Build-Depends: debhelper (>= 10), perl, flex, bison, libtest-pod-perl, libbit-vector-perl
dpkg-deb: building package 'sbuild-build-depends-libverilog-perl-dummy' in '/<<BUILDDIR>>/resolver-jn6IOx/apt_archive/sbuild-build-depends-libverilog-perl-dummy.deb'.
dpkg-scanpackages: warning: Packages in archive but missing from override file:
dpkg-scanpackages: warning:   sbuild-build-depends-core-dummy sbuild-build-depends-libverilog-perl-dummy
dpkg-scanpackages: info: Wrote 2 entries to output Packages file.
gpg: using "Sbuild Signer" as default secret key for signing
Ign:1 copy:/<<BUILDDIR>>/resolver-jn6IOx/apt_archive ./ InRelease
Get:2 copy:/<<BUILDDIR>>/resolver-jn6IOx/apt_archive ./ Release [963 B]
Get:3 copy:/<<BUILDDIR>>/resolver-jn6IOx/apt_archive ./ Release.gpg [370 B]
Get:4 copy:/<<BUILDDIR>>/resolver-jn6IOx/apt_archive ./ Sources [528 B]
Get:5 copy:/<<BUILDDIR>>/resolver-jn6IOx/apt_archive ./ Packages [608 B]
Fetched 2469 B in 0s (3699 B/s)
Reading package lists...
W: No sandbox user '_apt' on the system, can not drop privileges
Reading package lists...

Install libverilog-perl build dependencies (apt-based resolver)
---------------------------------------------------------------

Installing build dependencies
Reading package lists...
Building dependency tree...
Reading state information...
The following package was automatically installed and is no longer required:
  e2fsprogs-l10n
Use 'apt autoremove' to remove it.
The following additional packages will be installed:
  autoconf automake autopoint autotools-dev bison bsdmainutils debhelper
  dh-autoreconf dh-strip-nondeterminism file flex gettext gettext-base
  groff-base intltool-debian libarchive-zip-perl libbison-dev
  libbit-vector-perl libbsd0 libcarp-clan-perl libcroco3
  libfile-stripnondeterminism-perl libglib2.0-0 libicu57 libmagic-mgc
  libmagic1 libpipeline1 libsigsegv2 libtest-pod-perl libtimedate-perl libtool
  libxml2 m4 man-db po-debconf
Suggested packages:
  autoconf-archive gnu-standards autoconf-doc bison-doc wamerican | wordlist
  whois vacation dh-make dwz gettext-doc libasprintf-dev libgettextpo-dev
  groff libtool-doc gfortran | fortran95-compiler gcj-jdk m4-doc less
  www-browser libmail-box-perl
Recommended packages:
  libfl-dev curl | wget | lynx-cur libarchive-cpio-perl libglib2.0-data
  shared-mime-info xdg-user-dirs libltdl-dev libmail-sendmail-perl
The following NEW packages will be installed:
  autoconf automake autopoint autotools-dev bison bsdmainutils debhelper
  dh-autoreconf dh-strip-nondeterminism file flex gettext gettext-base
  groff-base intltool-debian libarchive-zip-perl libbison-dev
  libbit-vector-perl libbsd0 libcarp-clan-perl libcroco3
  libfile-stripnondeterminism-perl libglib2.0-0 libicu57 libmagic-mgc
  libmagic1 libpipeline1 libsigsegv2 libtest-pod-perl libtimedate-perl libtool
  libxml2 m4 man-db po-debconf sbuild-build-depends-libverilog-perl-dummy
0 upgraded, 36 newly installed, 0 to remove and 43 not upgraded.
Need to get 20.4 MB of archives.
After this operation, 67.3 MB of additional disk space will be used.
Get:1 copy:/<<BUILDDIR>>/resolver-jn6IOx/apt_archive ./ sbuild-build-depends-libverilog-perl-dummy 0.invalid.0 [896 B]
Get:2 http://172.17.0.1/private buster-staging/main armhf groff-base armhf 1.22.3-9 [1005 kB]
Get:3 http://172.17.0.1/private buster-staging/main armhf libbsd0 armhf 0.8.6-3 [95.9 kB]
Get:4 http://172.17.0.1/private buster-staging/main armhf bsdmainutils armhf 9.0.14 [178 kB]
Get:5 http://172.17.0.1/private buster-staging/main armhf libpipeline1 armhf 1.4.2-1 [24.2 kB]
Get:6 http://172.17.0.1/private buster-staging/main armhf man-db armhf 2.7.6.1-2 [1014 kB]
Get:7 http://172.17.0.1/private buster-staging/main armhf libsigsegv2 armhf 2.11-1 [29.3 kB]
Get:8 http://172.17.0.1/private buster-staging/main armhf m4 armhf 1.4.18-1 [185 kB]
Get:9 http://172.17.0.1/private buster-staging/main armhf flex armhf 2.6.1-1.3 [414 kB]
Get:10 http://172.17.0.1/private buster-staging/main armhf libmagic-mgc armhf 1:5.32-1 [225 kB]
Get:11 http://172.17.0.1/private buster-staging/main armhf libmagic1 armhf 1:5.32-1 [105 kB]
Get:12 http://172.17.0.1/private buster-staging/main armhf file armhf 1:5.32-1 [63.7 kB]
Get:13 http://172.17.0.1/private buster-staging/main armhf gettext-base armhf 0.19.8.1-4 [117 kB]
Get:14 http://172.17.0.1/private buster-staging/main armhf libicu57 armhf 57.1-8 [7411 kB]
Get:15 http://172.17.0.1/private buster-staging/main armhf libxml2 armhf 2.9.4+dfsg1-5 [609 kB]
Get:16 http://172.17.0.1/private buster-staging/main armhf autoconf all 2.69-11 [341 kB]
Get:17 http://172.17.0.1/private buster-staging/main armhf autotools-dev all 20161112.1+nmu1 [74.2 kB]
Get:18 http://172.17.0.1/private buster-staging/main armhf automake all 1:1.15.1-3 [736 kB]
Get:19 http://172.17.0.1/private buster-staging/main armhf autopoint all 0.19.8.1-4 [434 kB]
Get:20 http://172.17.0.1/private buster-staging/main armhf libbison-dev armhf 2:3.0.4.dfsg-1+b1 [433 kB]
Get:21 http://172.17.0.1/private buster-staging/main armhf bison armhf 2:3.0.4.dfsg-1+b1 [743 kB]
Get:22 http://172.17.0.1/private buster-staging/main armhf libtool all 2.4.6-2 [545 kB]
Get:23 http://172.17.0.1/private buster-staging/main armhf dh-autoreconf all 15 [16.2 kB]
Get:24 http://172.17.0.1/private buster-staging/main armhf libarchive-zip-perl all 1.59-1 [95.5 kB]
Get:25 http://172.17.0.1/private buster-staging/main armhf libfile-stripnondeterminism-perl all 0.040-1 [18.4 kB]
Get:26 http://172.17.0.1/private buster-staging/main armhf libtimedate-perl all 2.3000-2 [42.2 kB]
Get:27 http://172.17.0.1/private buster-staging/main armhf dh-strip-nondeterminism all 0.040-1 [11.8 kB]
Get:28 http://172.17.0.1/private buster-staging/main armhf libglib2.0-0 armhf 2.54.1-1 [2653 kB]
Get:29 http://172.17.0.1/private buster-staging/main armhf libcroco3 armhf 0.6.12-1 [132 kB]
Get:30 http://172.17.0.1/private buster-staging/main armhf gettext armhf 0.19.8.1-4 [1218 kB]
Get:31 http://172.17.0.1/private buster-staging/main armhf intltool-debian all 0.35.0+20060710.4 [26.3 kB]
Get:32 http://172.17.0.1/private buster-staging/main armhf po-debconf all 1.0.20 [247 kB]
Get:33 http://172.17.0.1/private buster-staging/main armhf debhelper all 10.10.5 [978 kB]
Get:34 http://172.17.0.1/private buster-staging/main armhf libcarp-clan-perl all 6.06-1 [12.9 kB]
Get:35 http://172.17.0.1/private buster-staging/main armhf libbit-vector-perl armhf 7.4-1+b3 [140 kB]
Get:36 http://172.17.0.1/private buster-staging/main armhf libtest-pod-perl all 1.51-1 [14.7 kB]
debconf: delaying package configuration, since apt-utils is not installed
Fetched 20.4 MB in 2s (7598 kB/s)
Selecting previously unselected package groff-base.
(Reading database ... 13092 files and directories currently installed.)
Preparing to unpack .../00-groff-base_1.22.3-9_armhf.deb ...
Unpacking groff-base (1.22.3-9) ...
Selecting previously unselected package libbsd0:armhf.
Preparing to unpack .../01-libbsd0_0.8.6-3_armhf.deb ...
Unpacking libbsd0:armhf (0.8.6-3) ...
Selecting previously unselected package bsdmainutils.
Preparing to unpack .../02-bsdmainutils_9.0.14_armhf.deb ...
Unpacking bsdmainutils (9.0.14) ...
Selecting previously unselected package libpipeline1:armhf.
Preparing to unpack .../03-libpipeline1_1.4.2-1_armhf.deb ...
Unpacking libpipeline1:armhf (1.4.2-1) ...
Selecting previously unselected package man-db.
Preparing to unpack .../04-man-db_2.7.6.1-2_armhf.deb ...
Unpacking man-db (2.7.6.1-2) ...
Selecting previously unselected package libsigsegv2:armhf.
Preparing to unpack .../05-libsigsegv2_2.11-1_armhf.deb ...
Unpacking libsigsegv2:armhf (2.11-1) ...
Selecting previously unselected package m4.
Preparing to unpack .../06-m4_1.4.18-1_armhf.deb ...
Unpacking m4 (1.4.18-1) ...
Selecting previously unselected package flex.
Preparing to unpack .../07-flex_2.6.1-1.3_armhf.deb ...
Unpacking flex (2.6.1-1.3) ...
Selecting previously unselected package libmagic-mgc.
Preparing to unpack .../08-libmagic-mgc_1%3a5.32-1_armhf.deb ...
Unpacking libmagic-mgc (1:5.32-1) ...
Selecting previously unselected package libmagic1:armhf.
Preparing to unpack .../09-libmagic1_1%3a5.32-1_armhf.deb ...
Unpacking libmagic1:armhf (1:5.32-1) ...
Selecting previously unselected package file.
Preparing to unpack .../10-file_1%3a5.32-1_armhf.deb ...
Unpacking file (1:5.32-1) ...
Selecting previously unselected package gettext-base.
Preparing to unpack .../11-gettext-base_0.19.8.1-4_armhf.deb ...
Unpacking gettext-base (0.19.8.1-4) ...
Selecting previously unselected package libicu57:armhf.
Preparing to unpack .../12-libicu57_57.1-8_armhf.deb ...
Unpacking libicu57:armhf (57.1-8) ...
Selecting previously unselected package libxml2:armhf.
Preparing to unpack .../13-libxml2_2.9.4+dfsg1-5_armhf.deb ...
Unpacking libxml2:armhf (2.9.4+dfsg1-5) ...
Selecting previously unselected package autoconf.
Preparing to unpack .../14-autoconf_2.69-11_all.deb ...
Unpacking autoconf (2.69-11) ...
Selecting previously unselected package autotools-dev.
Preparing to unpack .../15-autotools-dev_20161112.1+nmu1_all.deb ...
Unpacking autotools-dev (20161112.1+nmu1) ...
Selecting previously unselected package automake.
Preparing to unpack .../16-automake_1%3a1.15.1-3_all.deb ...
Unpacking automake (1:1.15.1-3) ...
Selecting previously unselected package autopoint.
Preparing to unpack .../17-autopoint_0.19.8.1-4_all.deb ...
Unpacking autopoint (0.19.8.1-4) ...
Selecting previously unselected package libbison-dev:armhf.
Preparing to unpack .../18-libbison-dev_2%3a3.0.4.dfsg-1+b1_armhf.deb ...
Unpacking libbison-dev:armhf (2:3.0.4.dfsg-1+b1) ...
Selecting previously unselected package bison.
Preparing to unpack .../19-bison_2%3a3.0.4.dfsg-1+b1_armhf.deb ...
Unpacking bison (2:3.0.4.dfsg-1+b1) ...
Selecting previously unselected package libtool.
Preparing to unpack .../20-libtool_2.4.6-2_all.deb ...
Unpacking libtool (2.4.6-2) ...
Selecting previously unselected package dh-autoreconf.
Preparing to unpack .../21-dh-autoreconf_15_all.deb ...
Unpacking dh-autoreconf (15) ...
Selecting previously unselected package libarchive-zip-perl.
Preparing to unpack .../22-libarchive-zip-perl_1.59-1_all.deb ...
Unpacking libarchive-zip-perl (1.59-1) ...
Selecting previously unselected package libfile-stripnondeterminism-perl.
Preparing to unpack .../23-libfile-stripnondeterminism-perl_0.040-1_all.deb ...
Unpacking libfile-stripnondeterminism-perl (0.040-1) ...
Selecting previously unselected package libtimedate-perl.
Preparing to unpack .../24-libtimedate-perl_2.3000-2_all.deb ...
Unpacking libtimedate-perl (2.3000-2) ...
Selecting previously unselected package dh-strip-nondeterminism.
Preparing to unpack .../25-dh-strip-nondeterminism_0.040-1_all.deb ...
Unpacking dh-strip-nondeterminism (0.040-1) ...
Selecting previously unselected package libglib2.0-0:armhf.
Preparing to unpack .../26-libglib2.0-0_2.54.1-1_armhf.deb ...
Unpacking libglib2.0-0:armhf (2.54.1-1) ...
Selecting previously unselected package libcroco3:armhf.
Preparing to unpack .../27-libcroco3_0.6.12-1_armhf.deb ...
Unpacking libcroco3:armhf (0.6.12-1) ...
Selecting previously unselected package gettext.
Preparing to unpack .../28-gettext_0.19.8.1-4_armhf.deb ...
Unpacking gettext (0.19.8.1-4) ...
Selecting previously unselected package intltool-debian.
Preparing to unpack .../29-intltool-debian_0.35.0+20060710.4_all.deb ...
Unpacking intltool-debian (0.35.0+20060710.4) ...
Selecting previously unselected package po-debconf.
Preparing to unpack .../30-po-debconf_1.0.20_all.deb ...
Unpacking po-debconf (1.0.20) ...
Selecting previously unselected package debhelper.
Preparing to unpack .../31-debhelper_10.10.5_all.deb ...
Unpacking debhelper (10.10.5) ...
Selecting previously unselected package libcarp-clan-perl.
Preparing to unpack .../32-libcarp-clan-perl_6.06-1_all.deb ...
Unpacking libcarp-clan-perl (6.06-1) ...
Selecting previously unselected package libbit-vector-perl.
Preparing to unpack .../33-libbit-vector-perl_7.4-1+b3_armhf.deb ...
Unpacking libbit-vector-perl (7.4-1+b3) ...
Selecting previously unselected package libtest-pod-perl.
Preparing to unpack .../34-libtest-pod-perl_1.51-1_all.deb ...
Unpacking libtest-pod-perl (1.51-1) ...
Selecting previously unselected package sbuild-build-depends-libverilog-perl-dummy.
Preparing to unpack .../35-sbuild-build-depends-libverilog-perl-dummy_0.invalid.0_armhf.deb ...
Unpacking sbuild-build-depends-libverilog-perl-dummy (0.invalid.0) ...
Setting up libarchive-zip-perl (1.59-1) ...
Setting up libtimedate-perl (2.3000-2) ...
Setting up libsigsegv2:armhf (2.11-1) ...
Setting up groff-base (1.22.3-9) ...
Setting up libglib2.0-0:armhf (2.54.1-1) ...
No schema files found: doing nothing.
Setting up libcarp-clan-perl (6.06-1) ...
Setting up gettext-base (0.19.8.1-4) ...
Setting up libpipeline1:armhf (1.4.2-1) ...
Setting up m4 (1.4.18-1) ...
Setting up libicu57:armhf (57.1-8) ...
Setting up libbsd0:armhf (0.8.6-3) ...
Setting up libxml2:armhf (2.9.4+dfsg1-5) ...
Setting up libmagic-mgc (1:5.32-1) ...
Setting up libmagic1:armhf (1:5.32-1) ...
Setting up libcroco3:armhf (0.6.12-1) ...
Processing triggers for libc-bin (2.24-17) ...
Setting up autotools-dev (20161112.1+nmu1) ...
Setting up libbison-dev:armhf (2:3.0.4.dfsg-1+b1) ...
Setting up libbit-vector-perl (7.4-1+b3) ...
Setting up libtest-pod-perl (1.51-1) ...
Setting up bison (2:3.0.4.dfsg-1+b1) ...
update-alternatives: using /usr/bin/bison.yacc to provide /usr/bin/yacc (yacc) in auto mode
Setting up bsdmainutils (9.0.14) ...
update-alternatives: using /usr/bin/bsd-write to provide /usr/bin/write (write) in auto mode
update-alternatives: using /usr/bin/bsd-from to provide /usr/bin/from (from) in auto mode
Setting up autopoint (0.19.8.1-4) ...
Setting up libfile-stripnondeterminism-perl (0.040-1) ...
Setting up gettext (0.19.8.1-4) ...
Setting up flex (2.6.1-1.3) ...
Setting up autoconf (2.69-11) ...
Setting up file (1:5.32-1) ...
Setting up intltool-debian (0.35.0+20060710.4) ...
Setting up automake (1:1.15.1-3) ...
update-alternatives: using /usr/bin/automake-1.15 to provide /usr/bin/automake (automake) in auto mode
Setting up man-db (2.7.6.1-2) ...
Not building database; man-db/auto-update is not 'true'.
Setting up libtool (2.4.6-2) ...
Setting up po-debconf (1.0.20) ...
Setting up dh-autoreconf (15) ...
Setting up dh-strip-nondeterminism (0.040-1) ...
Setting up debhelper (10.10.5) ...
Setting up sbuild-build-depends-libverilog-perl-dummy (0.invalid.0) ...
Processing triggers for libc-bin (2.24-17) ...
W: No sandbox user '_apt' on the system, can not drop privileges

+------------------------------------------------------------------------------+
| Build environment                                                            |
+------------------------------------------------------------------------------+

Kernel: Linux 4.9.0-0.bpo.2-armmp armhf (armv7l)
Toolchain package versions: binutils_2.29.1-6+rpi1 dpkg-dev_1.19.0.4 g++-7_7.2.0-12 gcc-7_7.2.0-12 libc6-dev_2.24-17 libstdc++-7-dev_7.2.0-12 libstdc++6_7.2.0-12 linux-libc-dev_4.9.51-1+rpi3+b1
Package versions: adduser_3.116 apt_1.6~alpha3 autoconf_2.69-11 automake_1:1.15.1-3 autopoint_0.19.8.1-4 autotools-dev_20161112.1+nmu1 base-files_10+rpi1 base-passwd_3.5.44 bash_4.4-5 binutils_2.29.1-6+rpi1 binutils-arm-linux-gnueabihf_2.29.1-6+rpi1 binutils-common_2.29.1-6+rpi1 bison_2:3.0.4.dfsg-1+b1 bsdmainutils_9.0.14 bsdutils_1:2.30.2-0.1 build-essential_12.4 bzip2_1.0.6-8.1 coreutils_8.28-1 cpio_2.11+dfsg-6 cpp_4:7.2.0-1d1 cpp-7_7.2.0-12 dash_0.5.8-2.5 debconf_1.5.64 debhelper_10.10.5 debianutils_4.8.2 dh-autoreconf_15 dh-strip-nondeterminism_0.040-1 diffutils_1:3.6-1 dirmngr_2.2.1-5 dmsetup_2:1.02.142-1 dpkg_1.19.0.4 dpkg-dev_1.19.0.4 e2fslibs_1.43.7-1 e2fsprogs_1.43.7-1 e2fsprogs-l10n_1.43.7-1 fakeroot_1.22-1 fdisk_2.30.2-0.1 file_1:5.32-1 findutils_4.6.0+git+20170729-2 flex_2.6.1-1.3 g++_4:7.2.0-1d1 g++-7_7.2.0-12 gcc_4:7.2.0-1d1 gcc-4.6-base_4.6.4-5+rpi1 gcc-4.7-base_4.7.3-11+rpi1 gcc-4.8-base_4.8.5-4 gcc-4.9-base_4.9.3-14 gcc-5-base_5.4.1-4 gcc-6-base_6.4.0-6 gcc-7_7.2.0-12 gcc-7-base_7.2.0-12 gettext_0.19.8.1-4 gettext-base_0.19.8.1-4 gnupg_2.2.1-5 gnupg-l10n_2.2.1-5 gnupg-utils_2.2.1-5 gpg_2.2.1-5 gpg-agent_2.2.1-5 gpg-wks-client_2.2.1-5 gpg-wks-server_2.2.1-5 gpgconf_2.2.1-5 gpgsm_2.2.1-5 gpgv_2.2.1-5 grep_3.1-2 groff-base_1.22.3-9 gzip_1.6-5 hostname_3.18 init-system-helpers_1.51 initramfs-tools_0.130 initramfs-tools-core_0.130 intltool-debian_0.35.0+20060710.4 klibc-utils_2.0.4-9+rpi1 kmod_24-1 libacl1_2.2.52-3 libapparmor1_2.11.1-2 libapt-pkg5.0_1.6~alpha3 libarchive-zip-perl_1.59-1 libasan4_7.2.0-12 libassuan0_2.4.3-3 libatomic1_7.2.0-12 libattr1_1:2.4.47-2 libaudit-common_1:2.8.1-1 libaudit1_1:2.8.1-1 libbinutils_2.29.1-6+rpi1 libbison-dev_2:3.0.4.dfsg-1+b1 libbit-vector-perl_7.4-1+b3 libblkid1_2.30.2-0.1 libbsd0_0.8.6-3 libbz2-1.0_1.0.6-8.1 libc-bin_2.24-17 libc-dev-bin_2.24-17 libc6_2.24-17 libc6-dev_2.24-17 libcap-ng0_0.7.7-3.1+b1 libcap2_1:2.25-1.1 libcarp-clan-perl_6.06-1 libcc1-0_7.2.0-12 libcilkrts5_7.2.0-12 libcomerr2_1.43.7-1 libcroco3_0.6.12-1 libcryptsetup4_2:1.7.5-1 libdb5.3_5.3.28-13.1 libdbus-1-3_1.11.22-1 libdebconfclient0_0.232 libdevmapper1.02.1_2:1.02.142-1 libdpkg-perl_1.19.0.4 libdrm-common_2.4.84-2+rpi1 libdrm2_2.4.84-2+rpi1 libfakeroot_1.22-1 libfdisk1_2.30.2-0.1 libffi6_3.2.1-6 libfile-stripnondeterminism-perl_0.040-1 libgcc-7-dev_7.2.0-12 libgcc1_1:7.2.0-12 libgcrypt20_1.7.9-1 libgdbm3_1.8.3-14 libglib2.0-0_2.54.1-1 libgmp10_2:6.1.2+dfsg-1.1 libgnutls30_3.5.16-1 libgomp1_7.2.0-12 libgpg-error0_1.27-4 libhogweed4_3.3-2 libicu57_57.1-8 libidn11_1.33-2 libidn2-0_2.0.2-5 libip4tc0_1.6.1-2+b1 libisl15_0.18-1 libklibc_2.0.4-9+rpi1 libkmod2_24-1 libksba8_1.3.5-2 libldap-2.4-2_2.4.45+dfsg-1 libldap-common_2.4.45+dfsg-1 liblocale-gettext-perl_1.07-3+b2 liblz4-1_0.0~r131-2 liblzma5_5.2.2-1.3 libmagic-mgc_1:5.32-1 libmagic1_1:5.32-1 libmount1_2.30.2-0.1 libmpc3_1.0.3-2 libmpfr4_3.1.6-1 libncurses5_6.0+20170902-1 libncursesw5_6.0+20170902-1 libnettle6_3.3-2 libnih-dbus1_1.0.3-8 libnih1_1.0.3-8 libnpth0_1.5-2 libp11-kit0_0.23.9-2 libpam-modules_1.1.8-3.6 libpam-modules-bin_1.1.8-3.6 libpam-runtime_1.1.8-3.6 libpam0g_1.1.8-3.6 libpcre3_2:8.39-4 libperl5.26_5.26.1-2 libpipeline1_1.4.2-1 libplymouth4_0.9.3-1 libpng16-16_1.6.34-1 libprocps6_2:3.3.12-3 libreadline7_7.0-3 libsasl2-2_2.1.27~101-g0780600+dfsg-3 libsasl2-modules-db_2.1.27~101-g0780600+dfsg-3 libseccomp2_2.3.1-2.1 libselinux1_2.7-2 libsemanage-common_2.7-2 libsemanage1_2.7-2 libsepol1_2.7-1 libsigsegv2_2.11-1 libsmartcols1_2.30.2-0.1 libsqlite3-0_3.20.1-2 libss2_1.43.7-1 libstdc++-7-dev_7.2.0-12 libstdc++6_7.2.0-12 libsystemd0_235-2 libtasn1-6_4.12-2.1 libtest-pod-perl_1.51-1 libtext-charwidth-perl_0.04-7.1 libtext-iconv-perl_1.7-5+b9 libtext-wrapi18n-perl_0.06-7.1 libtimedate-perl_2.3000-2 libtinfo5_6.0+20170902-1 libtool_2.4.6-2 libubsan0_7.2.0-12 libudev1_235-2 libunistring2_0.9.7-2 libuuid1_2.30.2-0.1 libxml2_2.9.4+dfsg1-5 linux-base_4.5 linux-libc-dev_4.9.51-1+rpi3+b1 login_1:4.5-1 lsb-base_9.20170808+rpi1 m4_1.4.18-1 make_4.1-9.1 makedev_2.3.1-93 man-db_2.7.6.1-2 mawk_1.3.3-17 mount_2.30.2-0.1 mountall_2.54 multiarch-support_2.24-17 ncurses-base_6.0+20170902-1 ncurses-bin_6.0+20170902-1 passwd_1:4.5-1 patch_2.7.5-1 perl_5.26.1-2 perl-base_5.26.1-2 perl-modules-5.26_5.26.1-2 pinentry-curses_1.0.0-3 plymouth_0.9.3-1 po-debconf_1.0.20 procps_2:3.3.12-3 raspbian-archive-keyring_20120528.2 readline-common_7.0-3 sbuild-build-depends-core-dummy_0.invalid.0 sbuild-build-depends-libverilog-perl-dummy_0.invalid.0 sed_4.4-1 sensible-utils_0.0.10 systemd_235-2 sysvinit-utils_2.88dsf-59.10 tar_1.29b-2 tzdata_2017c-1 udev_235-2 util-linux_2.30.2-0.1 xz-utils_5.2.2-1.3 zlib1g_1:1.2.8.dfsg-5

+------------------------------------------------------------------------------+
| Build                                                                        |
+------------------------------------------------------------------------------+


Unpack source
-------------

gpgv: unknown type of key resource 'trustedkeys.kbx'
gpgv: keyblock resource '/sbuild-nonexistent/.gnupg/trustedkeys.kbx': General error
gpgv: Signature made Sat Nov 11 21:12:16 2017 UTC
gpgv:                using RSA key D1E1316E93A760A8104D85FABB3A68018649AA06
gpgv: Can't check signature: No public key
dpkg-source: warning: failed to verify signature on ./libverilog-perl_3.446-1.dsc
dpkg-source: info: extracting libverilog-perl in /<<PKGBUILDDIR>>
dpkg-source: info: unpacking libverilog-perl_3.446.orig.tar.gz
dpkg-source: info: unpacking libverilog-perl_3.446-1.debian.tar.xz
dpkg-source: info: applying autopkgtest.patch

Check disc space
----------------

Sufficient free space for build

User Environment
----------------

APT_CONFIG=/var/lib/sbuild/apt.conf
DEB_BUILD_OPTIONS=parallel=4
HOME=/sbuild-nonexistent
LC_ALL=POSIX
LOGNAME=root
PATH=/usr/local/sbin:/usr/local/bin:/usr/sbin:/usr/bin:/sbin:/bin:/usr/games
SCHROOT_ALIAS_NAME=buster-staging-armhf-sbuild
SCHROOT_CHROOT_NAME=buster-staging-armhf-sbuild
SCHROOT_COMMAND=env
SCHROOT_GID=109
SCHROOT_GROUP=buildd
SCHROOT_SESSION_ID=buster-staging-armhf-sbuild-c602d734-8e5f-45c4-926b-7e47407886d3
SCHROOT_UID=104
SCHROOT_USER=buildd
SHELL=/bin/sh
TERM=xterm
USER=buildd

dpkg-buildpackage
-----------------

dpkg-buildpackage: info: source package libverilog-perl
dpkg-buildpackage: info: source version 3.446-1
dpkg-buildpackage: info: source distribution unstable
 dpkg-source --before-build libverilog-perl-3.446
dpkg-buildpackage: info: host architecture armhf
 fakeroot debian/rules clean
dh clean
   dh_auto_clean
   dh_clean
 debian/rules build-arch
dh build-arch
   dh_update_autotools_config -a
   dh_autoreconf -a
   dh_auto_configure -a
	perl -I. Makefile.PL INSTALLDIRS=vendor "OPTIMIZE=-g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2" "LD=arm-linux-gnueabihf-gcc -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wl,-z,relro -Wl,-z,now"
Checking if your kit is complete...
Looks good
Writing MYMETA.yml and MYMETA.json
Writing MYMETA.yml and MYMETA.json
Generating a Unix-style Makefile
Writing Makefile for Verilog::Language
Writing MYMETA.yml and MYMETA.json
   dh_auto_build -a
	make -j4
make[1]: Entering directory '/<<PKGBUILDDIR>>'
cp vhier blib/script/vhier
"/usr/bin/perl" -MExtUtils::MY -e 'MY->fixin(shift)' -- blib/script/vhier
cp vpassert blib/script/vpassert
cp vppreproc blib/script/vppreproc
"/usr/bin/perl" -MExtUtils::MY -e 'MY->fixin(shift)' -- blib/script/vpassert
"/usr/bin/perl" -MExtUtils::MY -e 'MY->fixin(shift)' -- blib/script/vppreproc
cp Netlist/Logger.pm blib/lib/Verilog/Netlist/Logger.pm
cp Netlist/ModPort.pm blib/lib/Verilog/Netlist/ModPort.pm
cp Netlist/Defparam.pm blib/lib/Verilog/Netlist/Defparam.pm
cp Netlist/File.pm blib/lib/Verilog/Netlist/File.pm
cp Netlist.pm blib/lib/Verilog/Netlist.pm
cp Netlist/Pin.pm blib/lib/Verilog/Netlist/Pin.pm
cp Netlist/Subclass.pm blib/lib/Verilog/Netlist/Subclass.pm
cp Netlist/Module.pm blib/lib/Verilog/Netlist/Module.pm
cp EditFiles.pm blib/lib/Verilog/EditFiles.pm
cp Netlist/ContAssign.pm blib/lib/Verilog/Netlist/ContAssign.pm
cp Netlist/PinSelection.pm blib/lib/Verilog/Netlist/PinSelection.pm
cp Getopt.pm blib/lib/Verilog/Getopt.pm
cp Netlist/Net.pm blib/lib/Verilog/Netlist/Net.pm
cp Netlist/Interface.pm blib/lib/Verilog/Netlist/Interface.pm
cp Std.pm blib/lib/Verilog/Std.pm
cp Language.pm blib/lib/Verilog/Language.pm
cp Verilog-Perl.pod blib/lib/Verilog/Verilog-Perl.pod
cp Netlist/Cell.pm blib/lib/Verilog/Netlist/Cell.pm
cp Netlist/Port.pm blib/lib/Verilog/Netlist/Port.pm
cp vrename blib/script/vrename
"/usr/bin/perl" -MExtUtils::MY -e 'MY->fixin(shift)' -- blib/script/vrename
cp vsplitmodule blib/script/vsplitmodule
"/usr/bin/perl" -MExtUtils::MY -e 'MY->fixin(shift)' -- blib/script/vsplitmodule
make[2]: Entering directory '/<<PKGBUILDDIR>>/Parser'
"/usr/bin/perl" "/usr/share/perl/5.26.1/ExtUtils/xsubpp"  -C++ -typemap '/usr/share/perl/5.26/ExtUtils/typemap' -typemap '/<<PKGBUILDDIR>>/Parser/typemap'  Parser.xs > Parser.xsc
cp SigParser.pm ../blib/lib/Verilog/SigParser.pm
cp Parser.pm ../blib/lib/Verilog/Parser.pm
rm -rf VParseBison.c VParseBison.cpp
"/usr/bin/perl" callbackgen
bison --version | head -1
bison (GNU Bison) 3.0.4
Note: toolhash ignores VParseBison.output; remove gen/ if you want to debug the grammar
Note: If the next command fails, you probably need to install Bison 1.875 or newer
"/usr/bin/perl" ../Preproc/toolhash --verbose --name bisonpre --vercmd bison --skip-cmd 1 \
	--in VParseBison.y bisonpre \
	--out VParseBison.c VParseBison.h \
	--cmd "/usr/bin/perl" bisonpre --yacc bison --debug --verbose --d -p VParseBison -k VParseBison.y -o VParseBison.c
  edit VParseBison.y VParseBison_pretmp.y
  bison -t -d -k -v --report=itemset --report=lookahead -p VParseBison -b VParseBison_pretmp -o VParseBison_pretmp.c VParseBison_pretmp.y
  edit VParseBison_pretmp.output VParseBison.output
  edit VParseBison_pretmp.c VParseBison.c
  edit VParseBison_pretmp.h VParseBison.h
toolhash: Cache hit running bisonpre
mv VParseBison.c VParseBison.cpp
Running Mkbootstrap for Parser ()
mv Parser.xsc Parser.c
flex --version
flex 2.6.1
"/usr/bin/perl" ../Preproc/toolhash --verbose --in VParseLex.l --out VParseLex_pretmp.cpp --cmd flex -d -oVParseLex_pretmp.cpp VParseLex.l
chmod 644 "Parser.bs"
"/usr/bin/perl" -MExtUtils::Command::MM -e 'cp_nonempty' -- Parser.bs ../blib/arch/auto/Verilog/Parser/Parser.bs 644
toolhash: Cache hit running flex
"/usr/bin/perl" ../Preproc/flexfix VParseLex < VParseLex_pretmp.cpp > VParseLex.cpp
callbackgen edited Parser_callbackgen.cpp
"/usr/bin/perl" ../Preproc/xsubppfix < Parser.c > Parser_cleaned.cpp
g++ -c   -D_REENTRANT -D_GNU_SOURCE -DDEBIAN -fwrapv -fno-strict-aliasing -pipe -I/usr/local/include -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -I../Preproc -O -g -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2   -DVERSION=\"3.446\" -DXS_VERSION=\"3.446\" -fPIC "-I/usr/lib/arm-linux-gnueabihf/perl/5.26/CORE"   ../Preproc/VFileLine.cpp
g++ -c   -D_REENTRANT -D_GNU_SOURCE -DDEBIAN -fwrapv -fno-strict-aliasing -pipe -I/usr/local/include -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -I../Preproc -O -g -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2   -DVERSION=\"3.446\" -DXS_VERSION=\"3.446\" -fPIC "-I/usr/lib/arm-linux-gnueabihf/perl/5.26/CORE"   VParse.cpp
g++ -c   -D_REENTRANT -D_GNU_SOURCE -DDEBIAN -fwrapv -fno-strict-aliasing -pipe -I/usr/local/include -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -I../Preproc -O -g -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2   -DVERSION=\"3.446\" -DXS_VERSION=\"3.446\" -fPIC "-I/usr/lib/arm-linux-gnueabihf/perl/5.26/CORE"   VParseBison.cpp
g++ -c   -D_REENTRANT -D_GNU_SOURCE -DDEBIAN -fwrapv -fno-strict-aliasing -pipe -I/usr/local/include -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -I../Preproc -O -g -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2   -DVERSION=\"3.446\" -DXS_VERSION=\"3.446\" -fPIC "-I/usr/lib/arm-linux-gnueabihf/perl/5.26/CORE"   VSymTable.cpp
g++ -c   -D_REENTRANT -D_GNU_SOURCE -DDEBIAN -fwrapv -fno-strict-aliasing -pipe -I/usr/local/include -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -I../Preproc -O -g -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2   -DVERSION=\"3.446\" -DXS_VERSION=\"3.446\" -fPIC "-I/usr/lib/arm-linux-gnueabihf/perl/5.26/CORE"   VAst.cpp
g++ -c   -D_REENTRANT -D_GNU_SOURCE -DDEBIAN -fwrapv -fno-strict-aliasing -pipe -I/usr/local/include -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -I../Preproc -O -g -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2   -DVERSION=\"3.446\" -DXS_VERSION=\"3.446\" -fPIC "-I/usr/lib/arm-linux-gnueabihf/perl/5.26/CORE"   Parser_cleaned.cpp
g++ -c   -D_REENTRANT -D_GNU_SOURCE -DDEBIAN -fwrapv -fno-strict-aliasing -pipe -I/usr/local/include -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -I../Preproc -O -g -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2   -DVERSION=\"3.446\" -DXS_VERSION=\"3.446\" -fPIC "-I/usr/lib/arm-linux-gnueabihf/perl/5.26/CORE"   VParseLex.cpp
rm -f ../blib/arch/auto/Verilog/Parser/Parser.so
arm-linux-gnueabihf-gcc -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wl,-z,relro -Wl,-z,now  -shared -L/usr/local/lib -fstack-protector-strong VFileLine.o VParseLex.o VParse.o VParseBison.o VSymTable.o VAst.o   -o ../blib/arch/auto/Verilog/Parser/Parser.so Parser_cleaned.o \
   -lstdc++   \
  
chmod 755 ../blib/arch/auto/Verilog/Parser/Parser.so
Manifying 2 pod documents
make[2]: Leaving directory '/<<PKGBUILDDIR>>/Parser'
make[2]: Entering directory '/<<PKGBUILDDIR>>/Preproc'
"/usr/bin/perl" "/usr/share/perl/5.26.1/ExtUtils/xsubpp"  -C++ -typemap '/usr/share/perl/5.26/ExtUtils/typemap' -typemap '/<<PKGBUILDDIR>>/Preproc/typemap'  Preproc.xs > Preproc.xsc
Running Mkbootstrap for Preproc ()
g++ -c   -D_REENTRANT -D_GNU_SOURCE -DDEBIAN -fwrapv -fno-strict-aliasing -pipe -I/usr/local/include -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -I../Preproc -O -g -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2   -DVERSION=\"3.446\" -DXS_VERSION=\"3.446\" -fPIC "-I/usr/lib/arm-linux-gnueabihf/perl/5.26/CORE"   VFileLine.cpp
chmod 644 "Preproc.bs"
g++ -c   -D_REENTRANT -D_GNU_SOURCE -DDEBIAN -fwrapv -fno-strict-aliasing -pipe -I/usr/local/include -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -I../Preproc -O -g -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2   -DVERSION=\"3.446\" -DXS_VERSION=\"3.446\" -fPIC "-I/usr/lib/arm-linux-gnueabihf/perl/5.26/CORE"   VPreProc.cpp
cp Preproc.pm ../blib/lib/Verilog/Preproc.pm
flex --version
flex 2.6.1
"/usr/bin/perl" ../Preproc/toolhash --verbose --in VPreLex.l --out VPreLex_pretmp.cpp --cmd flex  -oVPreLex_pretmp.cpp VPreLex.l
toolhash: Cache hit running flex
"/usr/bin/perl" -MExtUtils::Command::MM -e 'cp_nonempty' -- Preproc.bs ../blib/arch/auto/Verilog/Preproc/Preproc.bs 644
mv Preproc.xsc Preproc.c
"/usr/bin/perl" ../Preproc/flexfix VPreLex < VPreLex_pretmp.cpp > VPreLex.cpp
"/usr/bin/perl" ../Preproc/xsubppfix < Preproc.c > Preproc_cleaned.cpp
g++ -c   -D_REENTRANT -D_GNU_SOURCE -DDEBIAN -fwrapv -fno-strict-aliasing -pipe -I/usr/local/include -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -I../Preproc -O -g -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2   -DVERSION=\"3.446\" -DXS_VERSION=\"3.446\" -fPIC "-I/usr/lib/arm-linux-gnueabihf/perl/5.26/CORE"   Preproc_cleaned.cpp
g++ -c   -D_REENTRANT -D_GNU_SOURCE -DDEBIAN -fwrapv -fno-strict-aliasing -pipe -I/usr/local/include -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -I../Preproc -O -g -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2   -DVERSION=\"3.446\" -DXS_VERSION=\"3.446\" -fPIC "-I/usr/lib/arm-linux-gnueabihf/perl/5.26/CORE"   VPreLex.cpp
rm -f ../blib/arch/auto/Verilog/Preproc/Preproc.so
arm-linux-gnueabihf-gcc -g -O2 -fdebug-prefix-map=/<<PKGBUILDDIR>>=. -fstack-protector-strong -Wformat -Werror=format-security -Wl,-z,relro -Wl,-z,now  -shared -L/usr/local/lib -fstack-protector-strong VFileLine.o VPreProc.o VPreLex.o  -o ../blib/arch/auto/Verilog/Preproc/Preproc.so Preproc_cleaned.o \
   -lstdc++   \
  
chmod 755 ../blib/arch/auto/Verilog/Preproc/Preproc.so
Manifying 1 pod document
make[2]: Leaving directory '/<<PKGBUILDDIR>>/Preproc'
Manifying 4 pod documents
Manifying 19 pod documents
make[1]: Leaving directory '/<<PKGBUILDDIR>>'
   dh_auto_test -a
	make -j4 test TEST_VERBOSE=1
make[1]: Entering directory '/<<PKGBUILDDIR>>'
make[2]: Entering directory '/<<PKGBUILDDIR>>/Parser'
"/usr/bin/perl" -MExtUtils::Command::MM -e 'cp_nonempty' -- Parser.bs ../blib/arch/auto/Verilog/Parser/Parser.bs 644
Manifying 2 pod documents
make[2]: Leaving directory '/<<PKGBUILDDIR>>/Parser'
make[2]: Entering directory '/<<PKGBUILDDIR>>/Preproc'
"/usr/bin/perl" -MExtUtils::Command::MM -e 'cp_nonempty' -- Preproc.bs ../blib/arch/auto/Verilog/Preproc/Preproc.bs 644
Manifying 1 pod document
make[2]: Leaving directory '/<<PKGBUILDDIR>>/Preproc'
make[2]: Entering directory '/<<PKGBUILDDIR>>/Parser'
"/usr/bin/perl" -MExtUtils::Command::MM -e 'cp_nonempty' -- Parser.bs ../blib/arch/auto/Verilog/Parser/Parser.bs 644
No tests defined for Verilog::Parser extension.
make[2]: Leaving directory '/<<PKGBUILDDIR>>/Parser'
make[2]: Entering directory '/<<PKGBUILDDIR>>/Preproc'
"/usr/bin/perl" -MExtUtils::Command::MM -e 'cp_nonempty' -- Preproc.bs ../blib/arch/auto/Verilog/Preproc/Preproc.bs 644
No tests defined for Verilog::Preproc extension.
make[2]: Leaving directory '/<<PKGBUILDDIR>>/Preproc'
PERL_DL_NONLAZY=1 "/usr/bin/perl" "-MExtUtils::Command::MM" "-MTest::Harness" "-e" "undef *Test::Harness::Switches; test_harness(1, 'blib/lib', 'blib/arch')" t/*.t
t/00_pod.t ........... 
1..27
ok 1 - POD test for blib/lib/Verilog/Netlist.pm
ok 2 - POD test for blib/lib/Verilog/EditFiles.pm
ok 3 - POD test for blib/lib/Verilog/Getopt.pm
ok 4 - POD test for blib/lib/Verilog/Std.pm
ok 5 - POD test for blib/lib/Verilog/Language.pm
ok 6 - POD test for blib/lib/Verilog/Verilog-Perl.pod
ok 7 - POD test for blib/lib/Verilog/SigParser.pm
ok 8 - POD test for blib/lib/Verilog/Parser.pm
ok 9 - POD test for blib/lib/Verilog/Preproc.pm
ok 10 - POD test for blib/lib/Verilog/Netlist/Logger.pm
ok 11 - POD test for blib/lib/Verilog/Netlist/ModPort.pm
ok 12 - POD test for blib/lib/Verilog/Netlist/Defparam.pm
ok 13 - POD test for blib/lib/Verilog/Netlist/File.pm
ok 14 - POD test for blib/lib/Verilog/Netlist/Pin.pm
ok 15 - POD test for blib/lib/Verilog/Netlist/Subclass.pm
ok 16 - POD test for blib/lib/Verilog/Netlist/Module.pm
ok 17 - POD test for blib/lib/Verilog/Netlist/ContAssign.pm
ok 18 - POD test for blib/lib/Verilog/Netlist/PinSelection.pm
ok 19 - POD test for blib/lib/Verilog/Netlist/Net.pm
ok 20 - POD test for blib/lib/Verilog/Netlist/Interface.pm
ok 21 - POD test for blib/lib/Verilog/Netlist/Cell.pm
ok 22 - POD test for blib/lib/Verilog/Netlist/Port.pm
ok 23 - POD test for blib/script/vpassert
ok 24 - POD test for blib/script/vppreproc
ok 25 - POD test for blib/script/vhier
ok 26 - POD test for blib/script/vrename
ok 27 - POD test for blib/script/vsplitmodule (no pod)
ok
t/01_manifest.t ...... 
1..1
# Running under perl version 5.026001 for linux
# Current time local: Fri Nov 17 06:42:19 2017
# Current time GMT:   Fri Nov 17 06:42:19 2017
# Using Test.pm version 1.30
ok 1 # skip author only test (harmless)
ok
t/02_help.t .......... 
1..15
Doc test of: blib/script/vhier
ok 1 - exe exists: blib/script/vhier
ok 2 - help result for: /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib blib/script/vhier --help 2>&1
ok 3 - version result for: /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib blib/script/vhier --version 2>&1
Doc test of: blib/script/vpassert
ok 4 - exe exists: blib/script/vpassert
ok 5 - help result for: /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib blib/script/vpassert --help 2>&1
ok 6 - version result for: /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib blib/script/vpassert --version 2>&1
Doc test of: blib/script/vppreproc
ok 7 - exe exists: blib/script/vppreproc
ok 8 - help result for: /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib blib/script/vppreproc --help 2>&1
ok 9 - version result for: /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib blib/script/vppreproc --version 2>&1
Doc test of: blib/script/vrename
ok 10 - exe exists: blib/script/vrename
ok 11 - help result for: /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib blib/script/vrename --help 2>&1
ok 12 - version result for: /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib blib/script/vrename --version 2>&1
Doc test of: blib/script/vsplitmodule
ok 13 - exe exists: blib/script/vsplitmodule
ok 14 # skip vsplitmodule is only example (harmless)
ok 15 # skip vsplitmodule is only example (harmless)
ok
t/03_spaces.t ........ 
1..189
# Running under perl version 5.026001 for linux
# Current time local: Fri Nov 17 06:42:27 2017
# Current time GMT:   Fri Nov 17 06:42:27 2017
# Using Test.pm version 1.30
ok 1
Space test of: .gitignore
ok 2
Space test of: COPYING
ok 3
Space test of: Changes
ok 4
Space test of: EditFiles.pm
ok 5
Space test of: Getopt.pm
ok 6
Space test of: Language.pm
ok 7
Space test of: MANIFEST
ok 8
Space test of: MANIFEST.SKIP
ok 9
Space test of: META.yml
ok 10
Space test of: Makefile.PL
ok 11
Space test of: Netlist.pm
ok 12
Space test of: Netlist/Cell.pm
ok 13
Space test of: Netlist/ContAssign.pm
ok 14
Space test of: Netlist/Defparam.pm
ok 15
Space test of: Netlist/File.pm
ok 16
Space test of: Netlist/Interface.pm
ok 17
Space test of: Netlist/Logger.pm
ok 18
Space test of: Netlist/ModPort.pm
ok 19
Space test of: Netlist/Module.pm
ok 20
Space test of: Netlist/Net.pm
ok 21
Space test of: Netlist/Pin.pm
ok 22
Space test of: Netlist/PinSelection.pm
ok 23
Space test of: Netlist/Port.pm
ok 24
Space test of: Netlist/Subclass.pm
ok 25
Space test of: Parser/.gitignore
ok 26
Space test of: Parser/Makefile.PL
ok 27
Space test of: Parser/Parser.pm
ok 28
Space test of: Parser/Parser.xs
ok 29
Space test of: Parser/SigParser.pm
ok 30
Space test of: Parser/VAst.cpp
ok 31
Space test of: Parser/VAst.h
ok 32
Space test of: Parser/VParse.cpp
ok 33
Space test of: Parser/VParse.h
ok 34
Space test of: Parser/VParseBison.y
ok 35
Space test of: Parser/VParseGrammar.h
ok 36
Space test of: Parser/VParseLex.h
ok 37
Space test of: Parser/VParseLex.l
ok 38
Space test of: Parser/VSymTable.cpp
ok 39
Space test of: Parser/VSymTable.h
ok 40
Space test of: Parser/bisonpre
ok 41
Space test of: Parser/callbackgen
ok 42
Space test of: Parser/gen/bisonpre-0
ok 43
Space test of: Parser/gen/bisonpre-1
ok 44
Space test of: Parser/gen/bisonpre-2
ok 45
Space test of: Parser/gen/bisonpre-s
ok 46
Space test of: Parser/gen/flex-0
ok 47
Space test of: Parser/gen/flex-1
ok 48 # skip File doesn't need check (harmless)
Space test of: Parser/typemap
ok 49
Space test of: Preproc/.gitignore
ok 50
Space test of: Preproc/Makefile.PL
ok 51
Space test of: Preproc/Preproc.pm
ok 52
Space test of: Preproc/Preproc.xs
ok 53
Space test of: Preproc/VFileLine.cpp
ok 54
Space test of: Preproc/VFileLine.h
ok 55
Space test of: Preproc/VPreLex.h
ok 56
Space test of: Preproc/VPreLex.l
ok 57
Space test of: Preproc/VPreProc.cpp
ok 58
Space test of: Preproc/VPreProc.h
ok 59
Space test of: Preproc/flexfix
ok 60
Space test of: Preproc/gen/flex-0
ok 61
Space test of: Preproc/gen/flex-1
ok 62 # skip File doesn't need check (harmless)
Space test of: Preproc/toolhash
ok 63
Space test of: Preproc/typemap
ok 64
Space test of: Preproc/xsubppfix
ok 65
ok 66 # skip File doesn't need check (harmless)
Space test of: Std.pm
ok 67
Space test of: Verilog-Perl.pod
ok 68
Space test of: t/00_pod.t
ok 69
Space test of: t/01_manifest.t
ok 70
Space test of: t/02_help.t
ok 71
Space test of: t/03_spaces.t
ok 72
Space test of: t/04_critic.t
ok 73
Space test of: t/05_yaml.t
ok 74
Space test of: t/10_keywords.t
ok 75
Space test of: t/12_splitbus.t
ok 76
Space test of: t/14_numbers.t
ok 77
Space test of: t/16_std.t
ok 78
Space test of: t/20_getopt.opt
ok 79
Space test of: t/20_getopt.t
ok 80
Space test of: t/30_preproc.out
ok 81 # skip File doesn't need check (harmless)
Space test of: t/30_preproc.t
ok 82
Space test of: t/30_preproc_nows.out
ok 83 # skip File doesn't need check (harmless)
Space test of: t/30_preproc_on.out
ok 84 # skip File doesn't need check (harmless)
Space test of: t/30_preproc_sub.out
ok 85 # skip File doesn't need check (harmless)
Space test of: t/30_preproc_syn.out
ok 86 # skip File doesn't need check (harmless)
Space test of: t/32_noinc.t
ok 87
Space test of: t/32_noinc.v
ok 88
Space test of: t/33_gzip.t
ok 89
Space test of: t/34_parser.out
ok 90 # skip File doesn't need check (harmless)
Space test of: t/34_parser.t
ok 91
Space test of: t/35_sigparser.out
ok 92
Space test of: t/35_sigparser.t
ok 93
Space test of: t/35_sigparser_ps.out
ok 94
Space test of: t/36_sigmany.t
ok 95
Space test of: t/40_netlist.t
ok 96
Space test of: t/41_example.t
ok 97
Space test of: t/42_dumpcheck.t
ok 98
Space test of: t/42_dumpcheck_1.out
ok 99
Space test of: t/42_dumpcheck_1_ps.out
ok 100
Space test of: t/42_dumpcheck_1v.out
ok 101
Space test of: t/42_dumpcheck_1v_ps.out
ok 102
Space test of: t/42_dumpcheck_2.out
ok 103
Space test of: t/42_dumpcheck_2e.out
ok 104
Space test of: t/42_dumpcheck_2v.out
ok 105
Space test of: t/42_dumpcheck_sv.out
ok 106
Space test of: t/42_dumpcheck_v2k.out
ok 107
Space test of: t/42_dumpcheck_v2kv.out
ok 108
Space test of: t/43_storable.t
ok 109
Space test of: t/44_create.out
ok 110
Space test of: t/44_create.t
ok 111
Space test of: t/46_link.t
ok 112
Space test of: t/48_leak.t
ok 113
Space test of: t/49_largeish.t
ok 114
Space test of: t/50_vrename.out
ok 115 # skip File doesn't need check (harmless)
Space test of: t/50_vrename.t
ok 116
Space test of: t/51_vrename_kwd.t
ok 117
Space test of: t/51_vrename_kwd.v
ok 118
Space test of: t/51_vrename_kwd_chg.out
ok 119
Space test of: t/51_vrename_kwd_chg2.out
ok 120
Space test of: t/51_vrename_kwd_chg2.vrename
ok 121
Space test of: t/51_vrename_kwd_list.out
ok 122
Space test of: t/56_editfiles.t
ok 123
Space test of: t/56_editfiles.v
ok 124
Space test of: t/56_editfiles_a.out
ok 125
Space test of: t/56_editfiles_b.out
ok 126
Space test of: t/56_editfiles_edit.out
ok 127
Space test of: t/58_vsplitmodule.t
ok 128
Space test of: t/60_vpassert.out
ok 129 # skip File doesn't need check (harmless)
Space test of: t/60_vpassert.t
ok 130
Space test of: t/80_vppreproc.t
ok 131
Space test of: t/80_vppreproc_cmped.out
ok 132 # skip File doesn't need check (harmless)
Space test of: t/80_vppreproc_defines.out
ok 133 # skip File doesn't need check (harmless)
Space test of: t/80_vppreproc_none.out
ok 134 # skip File doesn't need check (harmless)
Space test of: t/80_vppreproc_rel_file.out
ok 135 # skip File doesn't need check (harmless)
Space test of: t/80_vppreproc_simple.out
ok 136
Space test of: t/85_vhier.t
ok 137
Space test of: t/85_vhier_cells.out
ok 138
Space test of: t/85_vhier_forest.out
ok 139
Space test of: t/85_vhier_inpfiles.out
ok 140
Space test of: t/85_vhier_modfiles.out
ok 141
Space test of: t/85_vhier_resolvefiles.out
ok 142
Space test of: t/85_vhier_skiplist.dat
ok 143
Space test of: t/85_vhier_skiplist.out
ok 144
Space test of: t/85_vhier_topmodule.out
ok 145
Space test of: t/85_vhier_xml.out
ok 146
Space test of: t/86_vhier_tick.t
ok 147
Space test of: t/test_utils.pl
ok 148
Space test of: verilog/example.v
ok 149
Space test of: verilog/inc1.v
ok 150
Space test of: verilog/inc2.v
ok 151
Space test of: verilog/inc_def09.v
ok 152
Space test of: verilog/inc_ifdef.v
ok 153
Space test of: verilog/inc_nonl.v
ok 154
Space test of: verilog/parser_bugs.v
ok 155
Space test of: verilog/parser_sv.v
ok 156
Space test of: verilog/parser_sv09.v
ok 157
Space test of: verilog/parser_vectors.v
ok 158
Space test of: verilog/pinorder.v
ok 159
Space test of: verilog/pli.v
ok 160
Space test of: verilog/t_80_bar/bar.f
ok 161
Space test of: verilog/t_80_bar/bar.v
ok 162
Space test of: verilog/t_80_foo.f
ok 163
Space test of: verilog/t_80_foo.v
ok 164
Space test of: verilog/t_86_vhier_tick.v
ok 165
Space test of: verilog/t_86_vhier_tick_sub.v
ok 166
Space test of: verilog/t_preproc_inc3.vh
ok 167
Space test of: verilog/t_preproc_inc4.vh
ok 168
Space test of: verilog/test.v
ok 169
Space test of: verilog/test.vrename
ok 170
Space test of: verilog/v_comments.v
ok 171
Space test of: verilog/v_gate.v
ok 172
Space test of: verilog/v_hier_noport.v
ok 173
Space test of: verilog/v_hier_sub.v
ok 174
Space test of: verilog/v_hier_subprim.v
ok 175
Space test of: verilog/v_hier_subsub.v
ok 176
Space test of: verilog/v_hier_top.v
ok 177
Space test of: verilog/v_hier_top2.v
ok 178
Space test of: verilog/v_recursive.v
ok 179
Space test of: verilog/v_sv_intf.v
ok 180
Space test of: verilog/v_sv_mod.v
ok 181
Space test of: verilog/v_sv_pgm.v
ok 182
Space test of: verilog/v_sv_pkg.v
ok 183
Space test of: verilog/v_v2k.v
ok 184
Space test of: vhier
ok 185
Space test of: vpassert
ok 186
Space test of: vppreproc
ok 187
Space test of: vrename
ok 188
Space test of: vsplitmodule
ok 189
ok
t/04_critic.t ........ 
1..1
# Running under perl version 5.026001 for linux
# Current time local: Fri Nov 17 06:42:28 2017
# Current time GMT:   Fri Nov 17 06:42:28 2017
# Using Test.pm version 1.30
ok 1 # skip author only test (harmless)
ok
t/05_yaml.t .......... 
1..1
# Running under perl version 5.026001 for linux
# Current time local: Fri Nov 17 06:42:28 2017
# Current time GMT:   Fri Nov 17 06:42:28 2017
# Using Test.pm version 1.30
ok 1 # skip author only test (harmless)
ok
t/10_keywords.t ...... 
1..25
ok 1
ok 2
ok 3
ok 4
ok 5
ok 6
ok 7
ok 8
ok 9
ok 10
ok 11
ok 12
ok 13
ok 14
ok 15
ok 16
ok 17
ok 18
ok 19 - language_maximum
ok 20
ok 21
ok 22
ok 23
ok 24
ok 25
ok
t/12_splitbus.t ...... 
1..5
ok 1 - use
ok 2
ok 3
ok 4
ok 5
ok
t/14_numbers.t ....... 
1..32
ok 1 - use
ok 2
ok 3
ok 4
ok 5
ok 6
ok 7
ok 8
ok 9
ok 10
ok 11
ok 12
  Bit::Vector
   5823 -> got 32 000016bf =? exp 32 exp 000016bf
ok 13 - number of bits
ok 14 - value
   80'h47cb_40d7_b50f_0147_1a85 -> got 80 47cb40d7b50f01471a85 =? exp 80 exp 47cb40d7b50f01471a85
ok 15 - number of bits
ok 16 - value
   83'o227525534413441101057616251 -> got 83 097aad721721208bf1ca9 =? exp 83 exp 097aad721721208bf1ca9
ok 17 - number of bits
ok 18 - value
   70'b1011010111111001010111111111111001110000011000101110010100110101101101 -> got 70 2d7e57ff9c18b94d6d =? exp 70 exp 2d7e57ff9c18b94d6d
ok 19 - number of bits
ok 20 - value
   90'd46548__4046747316__6145438700 -> got 90 003d9b368496d10ab0043ec =? exp 90 exp 003d9b368496d10ab0043ec
ok 21 - number of bits
ok 22 - value
  Math::BigInt
ok 23 # skip Math::BigInt not installed (harmless)
ok 24 # skip Math::BigInt not installed (harmless)
ok 25 # skip Math::BigInt not installed (harmless)
ok 26 # skip Math::BigInt not installed (harmless)
ok 27 # skip Math::BigInt not installed (harmless)
ok 28 # skip Math::BigInt not installed (harmless)
ok 29 # skip Math::BigInt not installed (harmless)
ok 30 # skip Math::BigInt not installed (harmless)
ok 31 # skip Math::BigInt not installed (harmless)
ok 32 # skip Math::BigInt not installed (harmless)
ok
t/16_std.t ........... 
1..3
ok 1 - use
ok 2
ok 3
ok
t/20_getopt.t ........ 
1..15
ok 1 - use
ok 2 - new
ok 3
 parameter(+libext+t)
libext t
 parameter(+incdir+t)
incdir t
 parameter(+define+foo=bar)
Define foo = bar
 parameter(+define+foo2)
Define foo2 = 
 parameter(+define+foo3=3+foo4)
Define foo3 = 3
Define foo4 = 
 parameter(-v)
 parameter(libdir)
library libdir
 parameter(-y)
 parameter(moddir)
module_dir moddir
 parameter(-Dbaz=bar)
Define baz = bar
 parameter(-Iincdir2)
incdir incdir2
 parameter(-f)
 parameter($DOT/t/20_getopt.opt)
*parameter_file ./t/20_getopt.opt
 parameter(-Dread_opt_file=1)
Define read_opt_file = 1
 parameter(-y)
 parameter(y_library_path)
module_dir y_library_path
 parameter(-F)
 parameter($DOT/t/20_getopt.opt)
*parameter_file ./t/20_getopt.opt
 parameter(-Dread_opt_file=1)
Define read_opt_file = 1
 parameter(-y)
 parameter(y_library_path)
module_dir t/y_library_path
 parameter(passthru)
passthru
ok 4
ok 5
depend_files t/20_getopt.t
fp t/20_getopt.t
ok 6
OUT: +define+baz=bar +define+foo=bar +define+foo2 +define+foo3=3 +define+foo4 +define+read_opt_file=1 +libext+.v+t +incdir+. +incdir+t +incdir+incdir2 -y . -y moddir -y y_library_path -y t/y_library_path -v libdir
ok 7
 parameter(+define+baz=bar)
Define baz = bar
 parameter(+define+foo=bar)
Define foo = bar
 parameter(+define+foo2)
Define foo2 = 
 parameter(+define+foo3=3)
Define foo3 = 3
 parameter(+define+foo4)
Define foo4 = 
 parameter(+define+read_opt_file=1)
Define read_opt_file = 1
 parameter(+libext+.v+t)
libext .v
libext t
 parameter(+incdir+.)
incdir .
 parameter(+incdir+t)
incdir t
 parameter(+incdir+incdir2)
incdir incdir2
 parameter(-y)
 parameter(.)
module_dir .
 parameter(-y)
 parameter(moddir)
module_dir moddir
 parameter(-y)
 parameter(y_library_path)
module_dir y_library_path
 parameter(-y)
 parameter(t/y_library_path)
module_dir t/y_library_path
 parameter(-v)
 parameter(libdir)
library libdir
LEFT: 
LEFT: +define+baz=bar +define+foo=bar +define+foo2 +define+foo3=3 +define+foo4 +define+read_opt_file=1 +libext+.v+t +incdir+. +incdir+t +incdir+incdir2 -y . -y moddir -y y_library_path -y t/y_library_path -v libdir
ok 8
 parameter(+libext+t)
 parameter(+incdir+t)
 parameter(+define+foo=bar)
 parameter(+define+foo2)
 parameter(+define+foo3=3+foo4)
 parameter(-v)
 parameter(libdir)
 parameter(-y)
 parameter(moddir)
 parameter(-Dbaz=bar)
Define baz = bar
 parameter(-Iincdir2)
incdir incdir2
 parameter(-f)
 parameter($DOT/t/20_getopt.opt)
*parameter_file ./t/20_getopt.opt
 parameter(-Dread_opt_file=1)
Define read_opt_file = 1
 parameter(-y)
 parameter(y_library_path)
 parameter(-F)
 parameter($DOT/t/20_getopt.opt)
*parameter_file ./t/20_getopt.opt
 parameter(-Dread_opt_file=1)
Define read_opt_file = 1
 parameter(-y)
 parameter(y_library_path)
 parameter(passthru)
LEFT: +libext+t +incdir+t +define+foo=bar +define+foo2 +define+foo3=3+foo4 -v libdir -y moddir -y y_library_path -y y_library_path passthru
ok 9
 parameter(+libext+t)
libext t
 parameter(+incdir+t)
incdir t
 parameter(+define+foo=bar)
Define foo = bar
 parameter(+define+foo2)
Define foo2 = 
 parameter(+define+foo3=3+foo4)
Define foo3 = 3
Define foo4 = 
 parameter(-v)
 parameter(libdir)
library libdir
 parameter(-y)
 parameter(moddir)
module_dir moddir
 parameter(-Dbaz=bar)
 parameter(-Iincdir2)
 parameter(-f)
 parameter($DOT/t/20_getopt.opt)
*parameter_file ./t/20_getopt.opt
 parameter(-Dread_opt_file=1)
 parameter(-y)
 parameter(y_library_path)
module_dir y_library_path
 parameter(-F)
 parameter($DOT/t/20_getopt.opt)
*parameter_file ./t/20_getopt.opt
 parameter(-Dread_opt_file=1)
 parameter(-y)
 parameter(y_library_path)
module_dir t/y_library_path
 parameter(passthru)
LEFT: -Dbaz=bar -Iincdir2 -Dread_opt_file=1 -Dread_opt_file=1 passthru
ok 10
 parameter(+define+foo=bar)
Define foo = bar
 parameter(+define+foo=baz)
Define foo = baz
ok 11
incdir ARRAY(0x24749d8)
module_dir ARRAY(0x2474ab0)
ok 12
ok 13
ok 14
ok 15
ok
t/30_preproc.t ....... 
1..19
ok 1 - use Verilog::Getopt
ok 2 - use Verilog::Preproc
ok 3 - new
ok 4 - parsed
ok 5 - diff
ok 6 - new_on
ok 7 - parsed_on
ok 8 - diff_on
ok 9 - new_syn
ok 10 - parsed_syn
ok 11 - diff_syn
ok 12 - new_nows
ok 13 - parsed_nows
ok 14 - diff_nows
ok 15 - new_sub
ok 16 - parsed_sub
ok 17 - diff_sub
ok 18
ok 19 - getall does same callbacks
ok
t/32_noinc.t ......... 
1..7
ok 1 - use
ok 2 - new
ok 3 - open
ok 4
ok 5 - new
ok 6 - open
ok 7
ok
t/33_gzip.t .......... 
1..4
ok 1 - use
ok 2 - gzip test creation
ok 3 - open
ok 4 - decompress found text
ok
t/34_parser.t ........ 
1..7
ok 1 - use
ok 2 - new
ok 3 - selftest
ok 4
ok 5
ok 6 - diff
ok 7 - coverage
ok
t/35_sigparser.t ..... 
1..6
ok 1 - use
ok 2 - read
ok 3 - diff
ok 4 - read-pinselects
ok 5 - diff
ok 6 - coverage
ok
t/36_sigmany.t ....... 
1..3
# Running under perl version 5.026001 for linux
# Current time local: Fri Nov 17 06:42:36 2017
# Current time GMT:   Fri Nov 17 06:42:36 2017
# Using Test.pm version 1.30
ok 1
ok 2 # skip VERILOG_TEST_FILES not set (harmless)
======================================================================
read verilog/example.v
======================================================================
read verilog/parser_bugs.v
======================================================================
read verilog/parser_sv.v
======================================================================
read verilog/parser_sv09.v
======================================================================
read verilog/parser_vectors.v
======================================================================
read verilog/pinorder.v
======================================================================
read verilog/pli.v
======================================================================
read verilog/t_80_foo.v
======================================================================
read verilog/t_86_vhier_tick.v
======================================================================
read verilog/t_86_vhier_tick_sub.v
======================================================================
read verilog/test.v
======================================================================
read verilog/v_comments.v
======================================================================
read verilog/v_gate.v
======================================================================
read verilog/v_hier_noport.v
======================================================================
read verilog/v_hier_sub.v
======================================================================
read verilog/v_hier_subprim.v
======================================================================
read verilog/v_hier_subsub.v
======================================================================
read verilog/v_hier_top.v
======================================================================
read verilog/v_hier_top2.v
======================================================================
read verilog/v_recursive.v
======================================================================
read verilog/v_sv_intf.v
======================================================================
read verilog/v_sv_mod.v
======================================================================
read verilog/v_sv_pgm.v
======================================================================
read verilog/v_sv_pkg.v
======================================================================
read verilog/v_v2k.v
ok 3
ok
t/40_netlist.t ....... 
1..17
ok 1 - use
Level tests
ok 2
ok 3
ok 4
ok 5
ok 6
ok 7
ok 8
ok 9
ok 10
ok 11
ok 12
ok 13
ok 14
ok 15
ok 16
ok 17
ok
t/41_example.t ....... 
1..2
ok 1 - use
Checking example in Netlist.pm
Dump
Module:$root  Kwd:root_module  File:verilog/v_hier_top.v
  Net:GLOBAL_PARAM    DeclT:localparam  NetT:  DataT:  Array:  Value:1
Module:v_hier_noport  Kwd:module  File:verilog/v_hier_noport.v
  Net:internal    DeclT:var  NetT:  DataT:reg  Array:
Module:v_hier_sub  Kwd:module  File:verilog/v_hier_sub.v
  Port:avec  Dir:in  DataT:[3:0]  Array:
  Port:clk  Dir:in  DataT:  Array:
  Port:qvec  Dir:out  DataT:[3:0]  Array:
  Net:FROM_DEFPARAM    DeclT:parameter  NetT:  DataT:  Array:  Value:1
  Net:K    DeclT:genvar  NetT:  DataT:  Array:
  Net:K_UNUSED    DeclT:genvar  NetT:  DataT:  Array:
  Net:a1  I  DeclT:net  NetT:supply1  DataT:  Array:
  Net:avec  O  DeclT:port  NetT:  DataT:[3:0]  Array:  3:0
  Net:clk  O  DeclT:port  NetT:  DataT:  Array:
  Net:qvec  I  DeclT:port  NetT:  DataT:[3:0]  Array:  3:0
  Cell:subsub0  is-a:v_hier_subsub .IGNORED('sh20)
            Module:v_hier_subsub  Kwd:module  File:verilog/v_hier_subsub.v
    Pin:a  Net:a1
              Port:a  Dir:in  DataT:signed  Array:
              Net:a1  I  DeclT:net  NetT:supply1  DataT:  Array:
    Pin:q  Net:qvec[0]
              Port:q  Dir:out  DataT:  Array:
  Cell:subsub2  is-a:v_hier_subsub
            Module:v_hier_subsub  Kwd:module  File:verilog/v_hier_subsub.v
    Pin:a  Net:1'b0
              Port:a  Dir:in  DataT:signed  Array:
    Pin:q  Net:qvec[2]
              Port:q  Dir:out  DataT:  Array:
Module:v_hier_subsub  Kwd:module  File:verilog/v_hier_subsub.v
  Port:a  Dir:in  DataT:signed  Array:
  Port:q  Dir:out  DataT:  Array:
  Net:IGNORED    DeclT:parameter  NetT:  DataT:  Array:  Value:0
  Net:a  O  DeclT:port  NetT:  DataT:signed  Array:
  Net:q  I  DeclT:port  NetT:wire  DataT:  Array:
Module:v_hier_top  Kwd:module  File:verilog/v_hier_top.v
  Port:clk  Dir:in  DataT:  Array:
  Net:WC_p1    DeclT:localparam  NetT:  DataT:[0:0]  Array:  0:0  Value:0
  Net:WC_p3    DeclT:localparam  NetT:  DataT:[2:0]  Array:  2:0  Value:0
  Net:WC_p32    DeclT:localparam  NetT:  DataT:  Array:  Value:0
  Net:WC_p4    DeclT:localparam  NetT:  DataT:[-1:2]  Array:  -1:2  Value:0
  Net:WC_pint    DeclT:localparam  NetT:  DataT:integer  Array:  Value:0
  Net:WC_w1    DeclT:net  NetT:wire  DataT:  Array:
  Net:WC_w1b    DeclT:net  NetT:wire  DataT:[0:0]  Array:  0:0
  Net:WC_w3    DeclT:net  NetT:wire  DataT:[2:0]  Array:  2:0
  Net:WC_w4    DeclT:net  NetT:wire  DataT:[-1:2]  Array:  -1:2
  Net:asn_clk    DeclT:net  NetT:wire  DataT:  Array:
  Net:clk  O  DeclT:port  NetT:  DataT:  Array:
  Cell:missing  is-a:missing
  Cell:recursive  is-a:v_recursive .DEPTH(3)
            Module:v_recursive  Kwd:module  File:verilog/v_recursive.v
  Cell:sub  is-a:v_hier_sub
            Module:v_hier_sub  Kwd:module  File:verilog/v_hier_sub.v
    Pin:avec  Net:{avec[3],avec[2:0]}
              Port:avec  Dir:in  DataT:[3:0]  Array:
    Pin:clk  Net:1'b0
              Port:clk  Dir:in  DataT:  Array:
    Pin:qvec  Net:qvec[3:0]
              Port:qvec  Dir:out  DataT:[3:0]  Array:
  Defparam:defparam  lhs:sub.FROM_DEFPARAM  rhs:2
  ContAssign:assign  lhs:asn_clk  rhs:clk
Module:v_hier_top2  Kwd:module  File:verilog/v_hier_top2.v
  Port:clk  Dir:in  DataT:  Array:
  Port:iosig  Dir:inout  DataT:[2:0]  Array:
  Net:clk  O  DeclT:port  NetT:  DataT:  Array:
  Net:iosig    DeclT:port  NetT:  DataT:[2:0]  Array:  2:0
  Cell:noport  is-a:v_hier_noport
            Module:v_hier_noport  Kwd:module  File:verilog/v_hier_noport.v
Module:v_recursive  Kwd:module  File:verilog/v_recursive.v
  Net:DEPTH    DeclT:parameter  NetT:  DataT:  Array:  Value:1
  Cell:recurse  is-a:v_recursive .DEPTH(DEPTH-1)
            Module:v_recursive  Kwd:module  File:verilog/v_recursive.v
ok 2 - done
ok
t/42_dumpcheck.t ..... 
1..17
ok 1 - use
Dump
ok 2
ok 3
ok 4
Dump
ok 5
ok 6
ok 7
Dump
ok 8
ok 9
ok 10
Dump
ok 11
ok 12
ok 13
Edit tests
ok 14
ok 15
Dump
ok 16
ok 17
ok
t/43_storable.t ...... 
1..4
# Running under perl version 5.026001 for linux
# Current time local: Fri Nov 17 06:42:40 2017
# Current time GMT:   Fri Nov 17 06:42:40 2017
# Using Test.pm version 1.30
ok 1
ok 2
ok 3
ok 4
ok
t/44_create.t ........ 
1..3
ok 1 - use
ok 2
ok 3 - done
ok
t/46_link.t .......... 
1..2
ok 1 - use
Dump
Module:buffer  Kwd:module  File:verilog/v_gate.v
  Port:A  Dir:in  DataT:  Array:
  Port:Z  Dir:out  DataT:  Array:
  Net:A  O  DeclT:port  NetT:  DataT:  Array:
  Net:Z  I  DeclT:port  NetT:  DataT:  Array:
  Cell:u_buf  is-a:buf
    Pin:pin1  Net:Z
              Net:Z  I  DeclT:port  NetT:  DataT:  Array:
    Pin:pin2  Net:A
              Net:A  O  DeclT:port  NetT:  DataT:  Array:
Module:gate  Kwd:module  File:verilog/v_gate.v
  Port:A  Dir:in  DataT:  Array:
  Port:Z  Dir:out  DataT:  Array:
  Net:A  IO  DeclT:port  NetT:  DataT:  Array:
  Net:Z  IO  DeclT:port  NetT:  DataT:  Array:
  Cell:u_buf  is-a:buffer
            Module:buffer  Kwd:module  File:verilog/v_gate.v
    Pin:A  Net:A
              Port:A  Dir:in  DataT:  Array:
              Net:A  IO  DeclT:port  NetT:  DataT:  Array:
    Pin:Z  Net:Z
              Port:Z  Dir:out  DataT:  Array:
              Net:Z  IO  DeclT:port  NetT:  DataT:  Array:
ok 2 - done
ok
t/48_leak.t .......... 
1..2
# Running under perl version 5.026001 for linux
# Current time local: Fri Nov 17 06:42:43 2017
# Current time GMT:   Fri Nov 17 06:42:43 2017
# Using Test.pm version 1.30
0: Memory 13.340 MB  Alloced  0.305 MB
2: Memory 13.441 MB  Alloced  0.102 MB
ok 1
ok 2
ok
t/49_largeish.t ...... 
1..4
Wrote test_dir/largeish_1.v:  0.000 MB
Wrote test_dir/largeish_2.v:  0.031 MB
Wrote test_dir/largeish_3.v:  0.359 MB
For sigparser test_dir/largeish_2.v: File 0.036 MB, 0.153 s, 15.941 MB, Alloced 0.434 MB, 11.9 Alloc/FileB 4.2 s/MB
For sigparser test_dir/largeish_3.v: File 0.362 MB, 1.477 s, 18.164 MB, Alloced 2.656 MB, 7.3 Alloc/FileB 4.1 s/MB
ok 1 - run complete
ok 2 - complexity
For netlist test_dir/largeish_2.v: File 0.036 MB, 0.431 s, 16.977 MB, Alloced -1.188 MB, -32.7 Alloc/FileB 11.9 s/MB
For netlist test_dir/largeish_3.v: File 0.362 MB, 4.388 s, 28.559 MB, Alloced 10.395 MB, 28.7 Alloc/FileB 12.1 s/MB
ok 3 - run complete
ok 4 - complexity
ok
t/50_vrename.t ....... 
1..6
Checking vrename...
	/usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vrename -changefile=test_dir/signals.vrename -list -xref verilog/test.v
parse file verilog/test.v
Wrote test_dir/signals.vrename  (Changes list, 5 signals)
ok 1 - vrename list
ok 2 - diff
	/usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vrename -change --changefile verilog/test.vrename -o test_dir verilog/test.v
Read verilog/test.vrename
Wrote verilog/test.v  (3 signals matched)
ok 3 - vrename change
ok 4 - diff
	/usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vrename -changefile=test_dir/signals.vrename -list --crypt -o test_dir verilog/test.v
parse file verilog/test.v
Wrote test_dir/signals.vrename  (Changes list, 5 signals)
	/usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vrename -changefile=test_dir/signals.vrename -change --crypt -o test_dir verilog/test.v
Read test_dir/signals.vrename
Encrypted verilog/test.v  (1 signals matched)
ok 5 - vrename crypt
ok 6 - output exists
ok
t/51_vrename_kwd.t ... 
1..6
Checking vrename...
	/usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vrename -changefile=test_dir/51_vrename_kwd_list.vrename -list --changelang --language 1364-1995 t/51_vrename_kwd.v
parse file t/51_vrename_kwd.v
Wrote test_dir/51_vrename_kwd_list.vrename  (Changes list, 6 signals)
ok 1 - vrename list
ok 2 - diff
	/usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vrename -change --changefile=test_dir/51_vrename_kwd_list.vrename -o test_dir t/51_vrename_kwd.v
Read test_dir/51_vrename_kwd_list.vrename
Wrote t/51_vrename_kwd.v  (1 signals matched)
ok 3 - vrename change same
ok 4 - diff
	/usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vrename -change --changefile=t/51_vrename_kwd_chg2.vrename -o test_dir t/51_vrename_kwd.v
Read t/51_vrename_kwd_chg2.vrename
Wrote t/51_vrename_kwd.v  (1 signals matched)
ok 5 - vrename change
ok 6 - diff
ok
t/56_editfiles.t ..... 
1..9
ok 1
ok 2 - new
  test_dir/56_editfiles.v (Changed)
ok 3 - edit_file
ok 4 - diff
Reading t/56_editfiles.v...
ok 5 - read_and_split
Writing test_dir/editout/a.v...
Writing test_dir/editout/b.v...
ok 6 - write_files
ok 7 - diff
ok 8 - diff
Writing test_dir/editout/0LINT.sh...
ok 9 - write_lint
ok
t/58_vsplitmodule.t .. 
1..2
Checking vsplitmodule...
	/usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vsplitmodule
Reading t/32_noinc.v...
Reading t/51_vrename_kwd.v...
Reading t/56_editfiles.v...
Writing test_dir/32_noinc.v...
Writing test_dir/51_vrename_kwd.v...
Writing test_dir/a.v...
Writing test_dir/b.v...
Writing test_dir/0LINT.sh...
ok 1 - vsplitmodule
ok 2 - vsplitmodule output
ok
t/60_vpassert.t ...... 
1..6
Checking vpassert...
	/usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vpassert --minimum --nostop --date --axiom --verilator --vcs --synthcov -o test_dir/.vpassert -y verilog/
	    VPASSERT (or overall flags) changed... Two minutes...
  VPASSERT'ing file (1) verilog/v_hier_sub.v (New) (no-output)
  VPASSERT'ing file (2) verilog/example.v (New)
  VPASSERT'ing file (3) verilog/parser_sv09.v (New) (no-output)
  VPASSERT'ing file (4) verilog/v_comments.v (New) (no-output)
  VPASSERT'ing file (5) verilog/v_hier_noport.v (New) (no-output)
  VPASSERT'ing file (6) verilog/inc_ifdef.v (New) (no-output)
  VPASSERT'ing file (7) verilog/parser_sv.v (New) (no-output)
  VPASSERT'ing file (8) verilog/inc_nonl.v (New) (no-output)
  VPASSERT'ing file (9) verilog/v_hier_subsub.v (New) (no-output)
  VPASSERT'ing file (10) verilog/v_recursive.v (New) (no-output)
  VPASSERT'ing file (11) verilog/v_sv_pgm.v (New) (no-output)
  VPASSERT'ing file (12) verilog/t_86_vhier_tick_sub.v (New) (no-output)
  VPASSERT'ing file (13) verilog/v_hier_top.v (New) (no-output)
  VPASSERT'ing file (14) verilog/t_80_foo.v (New) (no-output)
  VPASSERT'ing file (15) verilog/v_hier_top2.v (New) (no-output)
  VPASSERT'ing file (16) verilog/v_hier_subprim.v (New) (no-output)
  VPASSERT'ing file (17) verilog/v_sv_intf.v (New) (no-output)
  VPASSERT'ing file (18) verilog/test.v (New) (no-output)
  VPASSERT'ing file (19) verilog/inc_def09.v (New) (no-output)
  VPASSERT'ing file (20) verilog/v_sv_mod.v (New) (no-output)
  VPASSERT'ing file (21) verilog/v_sv_pkg.v (New) (no-output)
  VPASSERT'ing file (22) verilog/v_v2k.v (New) (no-output)
  VPASSERT'ing file (23) verilog/pli.v (New)
  VPASSERT'ing file (24) verilog/inc2.v (New) (no-output)
  VPASSERT'ing file (25) verilog/parser_vectors.v (New) (no-output)
  VPASSERT'ing file (26) verilog/inc1.v (New) (no-output)
  VPASSERT'ing file (27) verilog/t_86_vhier_tick.v (New) (no-output)
  VPASSERT'ing file (28) verilog/v_gate.v (New) (no-output)
  VPASSERT'ing file (29) verilog/pinorder.v (New) (no-output)
  VPASSERT'ing file (30) verilog/parser_bugs.v (New) (no-output)
	VPASSERT generated 30 new file(s)
ok 1 - vpassert ran
ok 2 - pli.v created
Line count: inc1.v: 644 =? 644
Line count: inc2.v: 5 =? 5
Line count: inc_def09.v: 72 =? 72
Line count: inc_ifdef.v: 39 =? 39
Line count: inc_nonl.v: 1 =? 1
Line count: parser_bugs.v: 512 =? 512
Line count: parser_sv.v: 418 =? 418
Line count: parser_sv09.v: 37 =? 37
Line count: parser_vectors.v: 55 =? 55
Line count: pinorder.v: 50 =? 50
Line count: t_80_foo.v: 9 =? 9
Line count: t_86_vhier_tick.v: 9 =? 9
Line count: t_86_vhier_tick_sub.v: 5 =? 5
Line count: test.v: 28 =? 28
Line count: v_comments.v: 33 =? 33
Line count: v_gate.v: 10 =? 10
Line count: v_hier_noport.v: 6 =? 6
Line count: v_hier_sub.v: 40 =? 40
Line count: v_hier_subprim.v: 35 =? 35
Line count: v_hier_subsub.v: 31 =? 31
Line count: v_hier_top.v: 45 =? 45
Line count: v_hier_top2.v: 14 =? 14
Line count: v_recursive.v: 7 =? 7
Line count: v_sv_intf.v: 14 =? 14
Line count: v_sv_mod.v: 21 =? 21
Line count: v_sv_pgm.v: 6 =? 6
Line count: v_sv_pkg.v: 11 =? 11
Line count: v_v2k.v: 31 =? 31
ok 3 - lines output
ok 4 - diff output
	/usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vpassert --date --verilator --vcs --call-error '$callError' --call-info '$callInfo' --call-warn '$callWarn' -o test_dir/.vpassertcall -y verilog/
	    VPASSERT (or overall flags) changed... Two minutes...
  VPASSERT'ing file (1) verilog/v_hier_sub.v (New) (no-output)
  VPASSERT'ing file (2) verilog/example.v (New)
  VPASSERT'ing file (3) verilog/parser_sv09.v (New) (no-output)
  VPASSERT'ing file (4) verilog/v_comments.v (New) (no-output)
  VPASSERT'ing file (5) verilog/v_hier_noport.v (New) (no-output)
  VPASSERT'ing file (6) verilog/inc_ifdef.v (New) (no-output)
  VPASSERT'ing file (7) verilog/parser_sv.v (New) (no-output)
  VPASSERT'ing file (8) verilog/inc_nonl.v (New) (no-output)
  VPASSERT'ing file (9) verilog/v_hier_subsub.v (New) (no-output)
  VPASSERT'ing file (10) verilog/v_recursive.v (New) (no-output)
  VPASSERT'ing file (11) verilog/v_sv_pgm.v (New) (no-output)
  VPASSERT'ing file (12) verilog/t_86_vhier_tick_sub.v (New) (no-output)
  VPASSERT'ing file (13) verilog/v_hier_top.v (New) (no-output)
  VPASSERT'ing file (14) verilog/t_80_foo.v (New) (no-output)
  VPASSERT'ing file (15) verilog/v_hier_top2.v (New) (no-output)
  VPASSERT'ing file (16) verilog/v_hier_subprim.v (New) (no-output)
  VPASSERT'ing file (17) verilog/v_sv_intf.v (New) (no-output)
  VPASSERT'ing file (18) verilog/test.v (New) (no-output)
  VPASSERT'ing file (19) verilog/inc_def09.v (New) (no-output)
  VPASSERT'ing file (20) verilog/v_sv_mod.v (New) (no-output)
  VPASSERT'ing file (21) verilog/v_sv_pkg.v (New) (no-output)
  VPASSERT'ing file (22) verilog/v_v2k.v (New) (no-output)
  VPASSERT'ing file (23) verilog/pli.v (New)
  VPASSERT'ing file (24) verilog/inc2.v (New) (no-output)
  VPASSERT'ing file (25) verilog/parser_vectors.v (New) (no-output)
  VPASSERT'ing file (26) verilog/inc1.v (New) (no-output)
  VPASSERT'ing file (27) verilog/t_86_vhier_tick.v (New) (no-output)
  VPASSERT'ing file (28) verilog/v_gate.v (New) (no-output)
  VPASSERT'ing file (29) verilog/pinorder.v (New) (no-output)
  VPASSERT'ing file (30) verilog/parser_bugs.v (New) (no-output)
	VPASSERT generated 30 new file(s)
ok 5 - diff
ok 6 # skip author only test (harmless)
ok
t/80_vppreproc.t ..... 
1..15
Checking vppreproc...
	/usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vppreproc  -y verilog inc2.v > test_dir/vppreproc_none.v
ok 1 - run command
ok 2 - vppreproc output from: /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vppreproc  -y verilog inc2.v > test_dir/vppreproc_none.v
ok 3 - diff
	/usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vppreproc --nocomment --pedantic -y verilog inc2.v > test_dir/vppreproc_cmped.v
ok 4 - run command
ok 5 - vppreproc output from: /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vppreproc --nocomment --pedantic -y verilog inc2.v > test_dir/vppreproc_cmped.v
ok 6 - diff
	/usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vppreproc --simple -y verilog inc2.v > test_dir/vppreproc_simple.v
ok 7 - run command
ok 8 - vppreproc output from: /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vppreproc --simple -y verilog inc2.v > test_dir/vppreproc_simple.v
ok 9 - diff
	/usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vppreproc --dump-defines -y verilog inc2.v > test_dir/vppreproc_defines.v
ok 10 - run command
ok 11 - vppreproc output from: /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vppreproc --dump-defines -y verilog inc2.v > test_dir/vppreproc_defines.v
ok 12 - diff
	/usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vppreproc -f verilog/t_80_foo.f -y verilog inc2.v > test_dir/vppreproc_rel_file.v
ok 13 - run command
ok 14 - vppreproc output from: /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vppreproc -f verilog/t_80_foo.f -y verilog inc2.v > test_dir/vppreproc_rel_file.v
ok 15 - diff
ok
t/85_vhier.t ......... 
1..17
Checking vhier...
	/usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vhier --cells --nomissing -y verilog v_hier_top.v -o test_dir/vhier.out
ok 1 - vhier outputted from: /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vhier --cells --nomissing -y verilog v_hier_top.v -o test_dir/vhier.out
ok 2 - vhier file compare
	/usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vhier --input-files --nomissing -y verilog v_hier_top.v -o test_dir/vhier.out
ok 3 - vhier outputted from: /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vhier --input-files --nomissing -y verilog v_hier_top.v -o test_dir/vhier.out
ok 4 - vhier file compare
	/usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vhier --resolve-files --nomissing -y verilog v_hier_top.v -o test_dir/vhier.out
ok 5 - vhier outputted from: /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vhier --resolve-files --nomissing -y verilog v_hier_top.v -o test_dir/vhier.out
ok 6 - vhier file compare
	/usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vhier --module-files --language 2001 --nomissing -y verilog v_hier_top.v -o test_dir/vhier.out
ok 7 - vhier outputted from: /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vhier --module-files --language 2001 --nomissing -y verilog v_hier_top.v -o test_dir/vhier.out
ok 8 - vhier file compare
	/usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vhier --module-files --top-module v_hier_sub --nomissing -y verilog v_hier_top.v -o test_dir/vhier.out
ok 9 - vhier outputted from: /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vhier --module-files --top-module v_hier_sub --nomissing -y verilog v_hier_top.v -o test_dir/vhier.out
ok 10 - vhier file compare
	/usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vhier --forest --instance --nomissing -y verilog v_hier_top.v -o test_dir/vhier.out
ok 11 - vhier outputted from: /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vhier --forest --instance --nomissing -y verilog v_hier_top.v -o test_dir/vhier.out
ok 12 - vhier file compare
	/usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vhier --forest --instance --skiplist t/85_vhier_skiplist.dat --nomissing -y verilog v_hier_top.v -o test_dir/vhier.out
ok 13 - vhier outputted from: /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vhier --forest --instance --skiplist t/85_vhier_skiplist.dat --nomissing -y verilog v_hier_top.v -o test_dir/vhier.out
ok 14 - vhier file compare
	/usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vhier --xml --cells --input-files --module-files --missing-modules --nomissing -y verilog v_hier_top.v -o test_dir/vhier.out
ok 15 - vhier outputted from: /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vhier --xml --cells --input-files --module-files --missing-modules --nomissing -y verilog v_hier_top.v -o test_dir/vhier.out
ok 16 - vhier file compare
ok 17 # skip author only XML test (harmless)
ok
t/86_vhier_tick.t .... 
1..2
ok 1 - /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vhier --input-files -y verilog t_86_vhier_tick.v
ok 2 - /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vhier --input-files -y verilog t_86_vhier_tick.v
ok
All tests successful.
Files=33, Tests=474, 68 wallclock secs ( 0.98 usr  0.26 sys + 62.33 cusr  4.03 csys = 67.60 CPU)
Result: PASS
make[1]: Leaving directory '/<<PKGBUILDDIR>>'
   create-stamp debian/debhelper-build-stamp
 fakeroot debian/rules binary-arch
dh binary-arch
   dh_testroot -a
   dh_prep -a
   debian/rules override_dh_auto_install
make[1]: Entering directory '/<<PKGBUILDDIR>>'
dh_auto_install
	make -j4 install DESTDIR=/<<PKGBUILDDIR>>/debian/libverilog-perl AM_UPDATE_INFO_DIR=no PREFIX=/usr
make[2]: Entering directory '/<<PKGBUILDDIR>>'
make[3]: Entering directory '/<<PKGBUILDDIR>>/Parser'
"/usr/bin/perl" -MExtUtils::Command::MM -e 'cp_nonempty' -- Parser.bs ../blib/arch/auto/Verilog/Parser/Parser.bs 644
Manifying 2 pod documents
make[3]: Leaving directory '/<<PKGBUILDDIR>>/Parser'
make[3]: Entering directory '/<<PKGBUILDDIR>>/Preproc'
"/usr/bin/perl" -MExtUtils::Command::MM -e 'cp_nonempty' -- Preproc.bs ../blib/arch/auto/Verilog/Preproc/Preproc.bs 644
Manifying 1 pod document
make[3]: Leaving directory '/<<PKGBUILDDIR>>/Preproc'
Manifying 4 pod documents
Manifying 19 pod documents
Files found in blib/arch: installing files in blib/lib into architecture dependent library tree
Installing /<<PKGBUILDDIR>>/debian/libverilog-perl/usr/lib/arm-linux-gnueabihf/perl5/5.26/auto/Verilog/Parser/Parser.so
Installing /<<PKGBUILDDIR>>/debian/libverilog-perl/usr/lib/arm-linux-gnueabihf/perl5/5.26/auto/Verilog/Preproc/Preproc.so
Installing /<<PKGBUILDDIR>>/debian/libverilog-perl/usr/lib/arm-linux-gnueabihf/perl5/5.26/Verilog/Netlist.pm
Installing /<<PKGBUILDDIR>>/debian/libverilog-perl/usr/lib/arm-linux-gnueabihf/perl5/5.26/Verilog/EditFiles.pm
Installing /<<PKGBUILDDIR>>/debian/libverilog-perl/usr/lib/arm-linux-gnueabihf/perl5/5.26/Verilog/Getopt.pm
Installing /<<PKGBUILDDIR>>/debian/libverilog-perl/usr/lib/arm-linux-gnueabihf/perl5/5.26/Verilog/Std.pm
Installing /<<PKGBUILDDIR>>/debian/libverilog-perl/usr/lib/arm-linux-gnueabihf/perl5/5.26/Verilog/Language.pm
Installing /<<PKGBUILDDIR>>/debian/libverilog-perl/usr/lib/arm-linux-gnueabihf/perl5/5.26/Verilog/Verilog-Perl.pod
Installing /<<PKGBUILDDIR>>/debian/libverilog-perl/usr/lib/arm-linux-gnueabihf/perl5/5.26/Verilog/SigParser.pm
Installing /<<PKGBUILDDIR>>/debian/libverilog-perl/usr/lib/arm-linux-gnueabihf/perl5/5.26/Verilog/Parser.pm
Installing /<<PKGBUILDDIR>>/debian/libverilog-perl/usr/lib/arm-linux-gnueabihf/perl5/5.26/Verilog/Preproc.pm
Installing /<<PKGBUILDDIR>>/debian/libverilog-perl/usr/lib/arm-linux-gnueabihf/perl5/5.26/Verilog/Netlist/Logger.pm
Installing /<<PKGBUILDDIR>>/debian/libverilog-perl/usr/lib/arm-linux-gnueabihf/perl5/5.26/Verilog/Netlist/ModPort.pm
Installing /<<PKGBUILDDIR>>/debian/libverilog-perl/usr/lib/arm-linux-gnueabihf/perl5/5.26/Verilog/Netlist/Defparam.pm
Installing /<<PKGBUILDDIR>>/debian/libverilog-perl/usr/lib/arm-linux-gnueabihf/perl5/5.26/Verilog/Netlist/File.pm
Installing /<<PKGBUILDDIR>>/debian/libverilog-perl/usr/lib/arm-linux-gnueabihf/perl5/5.26/Verilog/Netlist/Pin.pm
Installing /<<PKGBUILDDIR>>/debian/libverilog-perl/usr/lib/arm-linux-gnueabihf/perl5/5.26/Verilog/Netlist/Subclass.pm
Installing /<<PKGBUILDDIR>>/debian/libverilog-perl/usr/lib/arm-linux-gnueabihf/perl5/5.26/Verilog/Netlist/Module.pm
Installing /<<PKGBUILDDIR>>/debian/libverilog-perl/usr/lib/arm-linux-gnueabihf/perl5/5.26/Verilog/Netlist/ContAssign.pm
Installing /<<PKGBUILDDIR>>/debian/libverilog-perl/usr/lib/arm-linux-gnueabihf/perl5/5.26/Verilog/Netlist/PinSelection.pm
Installing /<<PKGBUILDDIR>>/debian/libverilog-perl/usr/lib/arm-linux-gnueabihf/perl5/5.26/Verilog/Netlist/Net.pm
Installing /<<PKGBUILDDIR>>/debian/libverilog-perl/usr/lib/arm-linux-gnueabihf/perl5/5.26/Verilog/Netlist/Interface.pm
Installing /<<PKGBUILDDIR>>/debian/libverilog-perl/usr/lib/arm-linux-gnueabihf/perl5/5.26/Verilog/Netlist/Cell.pm
Installing /<<PKGBUILDDIR>>/debian/libverilog-perl/usr/lib/arm-linux-gnueabihf/perl5/5.26/Verilog/Netlist/Port.pm
Installing /<<PKGBUILDDIR>>/debian/libverilog-perl/usr/share/man/man1/vhier.1p
Installing /<<PKGBUILDDIR>>/debian/libverilog-perl/usr/share/man/man1/vpassert.1p
Installing /<<PKGBUILDDIR>>/debian/libverilog-perl/usr/share/man/man1/vppreproc.1p
Installing /<<PKGBUILDDIR>>/debian/libverilog-perl/usr/share/man/man1/vrename.1p
Installing /<<PKGBUILDDIR>>/debian/libverilog-perl/usr/share/man/man3/Verilog::Parser.3pm
Installing /<<PKGBUILDDIR>>/debian/libverilog-perl/usr/share/man/man3/Verilog::SigParser.3pm
Installing /<<PKGBUILDDIR>>/debian/libverilog-perl/usr/share/man/man3/Verilog::Preproc.3pm
Installing /<<PKGBUILDDIR>>/debian/libverilog-perl/usr/share/man/man3/Verilog::EditFiles.3pm
Installing /<<PKGBUILDDIR>>/debian/libverilog-perl/usr/share/man/man3/Verilog::Getopt.3pm
Installing /<<PKGBUILDDIR>>/debian/libverilog-perl/usr/share/man/man3/Verilog::Language.3pm
Installing /<<PKGBUILDDIR>>/debian/libverilog-perl/usr/share/man/man3/Verilog::Netlist.3pm
Installing /<<PKGBUILDDIR>>/debian/libverilog-perl/usr/share/man/man3/Verilog::Netlist::Cell.3pm
Installing /<<PKGBUILDDIR>>/debian/libverilog-perl/usr/share/man/man3/Verilog::Netlist::ContAssign.3pm
Installing /<<PKGBUILDDIR>>/debian/libverilog-perl/usr/share/man/man3/Verilog::Netlist::Defparam.3pm
Installing /<<PKGBUILDDIR>>/debian/libverilog-perl/usr/share/man/man3/Verilog::Netlist::File.3pm
Installing /<<PKGBUILDDIR>>/debian/libverilog-perl/usr/share/man/man3/Verilog::Netlist::Interface.3pm
Installing /<<PKGBUILDDIR>>/debian/libverilog-perl/usr/share/man/man3/Verilog::Netlist::Logger.3pm
Installing /<<PKGBUILDDIR>>/debian/libverilog-perl/usr/share/man/man3/Verilog::Netlist::ModPort.3pm
Installing /<<PKGBUILDDIR>>/debian/libverilog-perl/usr/share/man/man3/Verilog::Netlist::Module.3pm
Installing /<<PKGBUILDDIR>>/debian/libverilog-perl/usr/share/man/man3/Verilog::Netlist::Net.3pm
Installing /<<PKGBUILDDIR>>/debian/libverilog-perl/usr/share/man/man3/Verilog::Netlist::Pin.3pm
Installing /<<PKGBUILDDIR>>/debian/libverilog-perl/usr/share/man/man3/Verilog::Netlist::PinSelection.3pm
Installing /<<PKGBUILDDIR>>/debian/libverilog-perl/usr/share/man/man3/Verilog::Netlist::Port.3pm
Installing /<<PKGBUILDDIR>>/debian/libverilog-perl/usr/share/man/man3/Verilog::Netlist::Subclass.3pm
Installing /<<PKGBUILDDIR>>/debian/libverilog-perl/usr/share/man/man3/Verilog::Std.3pm
Installing /<<PKGBUILDDIR>>/debian/libverilog-perl/usr/share/man/man3/Verilog::Verilog-Perl.3pm
Installing /<<PKGBUILDDIR>>/debian/libverilog-perl/usr/bin/vpassert
Installing /<<PKGBUILDDIR>>/debian/libverilog-perl/usr/bin/vppreproc
Installing /<<PKGBUILDDIR>>/debian/libverilog-perl/usr/bin/vhier
Installing /<<PKGBUILDDIR>>/debian/libverilog-perl/usr/bin/vrename
Installing /<<PKGBUILDDIR>>/debian/libverilog-perl/usr/bin/vsplitmodule
make[2]: Leaving directory '/<<PKGBUILDDIR>>'
rm /<<PKGBUILDDIR>>/debian/libverilog-perl/usr/bin/vsplitmodule
make[1]: Leaving directory '/<<PKGBUILDDIR>>'
   dh_installdocs -a
   dh_installchangelogs -a
   dh_installexamples -a
   dh_installman -a
   dh_lintian -a
   dh_perl -a
   dh_link -a
   dh_strip_nondeterminism -a
   dh_compress -a
   dh_fixperms -a
   dh_missing -a
   dh_strip -a
   dh_makeshlibs -a
   dh_shlibdeps -a
   dh_installdeb -a
   dh_gencontrol -a
   dh_md5sums -a
   dh_builddeb -a
dpkg-deb: building package 'libverilog-perl-dbgsym' in '../libverilog-perl-dbgsym_3.446-1_armhf.deb'.
dpkg-deb: building package 'libverilog-perl' in '../libverilog-perl_3.446-1_armhf.deb'.
 dpkg-genbuildinfo --build=any
 dpkg-genchanges --build=any -mRaspbian wandboard test autobuilder <root@raspbian.org> >../libverilog-perl_3.446-1_armhf.changes
dpkg-genchanges: info: binary-only arch-specific upload (source code and arch-indep packages not included)
 dpkg-source --after-build libverilog-perl-3.446
dpkg-buildpackage: info: binary-only upload (no source included)
--------------------------------------------------------------------------------
Build finished at 2017-11-17T06:44:02Z

Finished
--------

I: Built successfully

+------------------------------------------------------------------------------+
| Post Build Chroot                                                            |
+------------------------------------------------------------------------------+


+------------------------------------------------------------------------------+
| Changes                                                                      |
+------------------------------------------------------------------------------+


libverilog-perl_3.446-1_armhf.changes:
--------------------------------------

Format: 1.8
Date: Sat, 11 Nov 2017 22:08:48 +0100
Source: libverilog-perl
Binary: libverilog-perl
Architecture: armhf
Version: 3.446-1
Distribution: buster-staging
Urgency: medium
Maintainer: Raspbian wandboard test autobuilder <root@raspbian.org>
Changed-By: gregor herrmann <gregoa@debian.org>
Description:
 libverilog-perl - framework providing Verilog support
Changes:
 libverilog-perl (3.446-1) unstable; urgency=medium
 .
   * New upstream release.
   * Update years of packaging copyright.
Checksums-Sha1:
 cead418f2faa79e54a845a84136611a16d4de2c2 1535532 libverilog-perl-dbgsym_3.446-1_armhf.deb
 a73dc9d9596097fd9e354ac04b7f42014afee89a 4596 libverilog-perl_3.446-1_armhf.buildinfo
 ff20ef942bf884c8a16a56451a158dd8f70bae50 387808 libverilog-perl_3.446-1_armhf.deb
Checksums-Sha256:
 b125c0eca4d76928e22bf33203ac3e843e4395d080d3cecfbd055cfc48ac6f5d 1535532 libverilog-perl-dbgsym_3.446-1_armhf.deb
 9e4d5941f629745979aeec527c41553b59e5aa0c4be23ae94af87fa0c4da8680 4596 libverilog-perl_3.446-1_armhf.buildinfo
 3ab70cb3f73c4f03d22284f01ac7e7ca2fac5e6cb5167bd7a2842d129319db6f 387808 libverilog-perl_3.446-1_armhf.deb
Files:
 4843a5a061cf4049344ad9a53a6c59a3 1535532 debug optional libverilog-perl-dbgsym_3.446-1_armhf.deb
 cbc2064239f4d24086b1e4ab47897963 4596 perl optional libverilog-perl_3.446-1_armhf.buildinfo
 c6c6b2eccce261c52ef07350c00cd1c2 387808 perl optional libverilog-perl_3.446-1_armhf.deb

+------------------------------------------------------------------------------+
| Package contents                                                             |
+------------------------------------------------------------------------------+


libverilog-perl-dbgsym_3.446-1_armhf.deb
----------------------------------------

 new Debian package, version 2.0.
 size 1535532 bytes: control archive=600 bytes.
     436 bytes,    12 lines      control              
     212 bytes,     2 lines      md5sums              
 Package: libverilog-perl-dbgsym
 Source: libverilog-perl
 Version: 3.446-1
 Auto-Built-Package: debug-symbols
 Architecture: armhf
 Maintainer: Debian Perl Group <pkg-perl-maintainers@lists.alioth.debian.org>
 Installed-Size: 1667
 Depends: libverilog-perl (= 3.446-1)
 Section: debug
 Priority: optional
 Description: debug symbols for libverilog-perl
 Build-Ids: 23e7b116beaf3374bc710f7c0282c86f9d81621a f79df7439e76961595dd6025fdcf5a6d621fab81

drwxr-xr-x root/root         0 2017-11-11 21:08 ./
drwxr-xr-x root/root         0 2017-11-11 21:08 ./usr/
drwxr-xr-x root/root         0 2017-11-11 21:08 ./usr/lib/
drwxr-xr-x root/root         0 2017-11-11 21:08 ./usr/lib/debug/
drwxr-xr-x root/root         0 2017-11-11 21:08 ./usr/lib/debug/.build-id/
drwxr-xr-x root/root         0 2017-11-11 21:08 ./usr/lib/debug/.build-id/23/
-rw-r--r-- root/root    457100 2017-11-11 21:08 ./usr/lib/debug/.build-id/23/e7b116beaf3374bc710f7c0282c86f9d81621a.debug
drwxr-xr-x root/root         0 2017-11-11 21:08 ./usr/lib/debug/.build-id/f7/
-rw-r--r-- root/root   1237220 2017-11-11 21:08 ./usr/lib/debug/.build-id/f7/9df7439e76961595dd6025fdcf5a6d621fab81.debug
drwxr-xr-x root/root         0 2017-11-11 21:08 ./usr/share/
drwxr-xr-x root/root         0 2017-11-11 21:08 ./usr/share/doc/
lrwxrwxrwx root/root         0 2017-11-11 21:08 ./usr/share/doc/libverilog-perl-dbgsym -> libverilog-perl


libverilog-perl_3.446-1_armhf.deb
---------------------------------

 new Debian package, version 2.0.
 size 387808 bytes: control archive=2532 bytes.
    1376 bytes,    29 lines      control              
    5011 bytes,    59 lines      md5sums              
 Package: libverilog-perl
 Version: 3.446-1
 Architecture: armhf
 Maintainer: Debian Perl Group <pkg-perl-maintainers@lists.alioth.debian.org>
 Installed-Size: 1573
 Depends: perl (>= 5.26.1-2), perlapi-5.26.1, libc6 (>= 2.4), libgcc1 (>= 1:3.5), libstdc++6 (>= 5.2)
 Section: perl
 Priority: optional
 Homepage: https://metacpan.org/release/Verilog-Perl
 Description: framework providing Verilog support
  Verilog is a Perl framework providing Verilog support in the Perl language.
  It includes:
  .
   * Verilog::Getopt, which parses command line options similar to C++ and VCS
   * Verilog::Language, which knows the language keywords and parses numbers.
   * Verilog::Netlist, which builds netlists out of Verilog files. This allows
     easy scripts to determine things such as the hierarchy of modules.
   * Verilog::Parser, which invokes callbacks for language tokens
   * Verilog::Preproc, preprocesses the language, and allows reading
     post-processed files right from Perl without temporary files.
  .
  It also includes a variety of useful utilities:
  .
   * vpassert inserts PLIish warnings and assertions for any simulator
   * vppreproc preprocesses the complete Verilog 2001 and SystemVerilog
     language
   * vrename renames and cross-references Verilog symbols. It creates Verilog
     cross references and makes it easy to rename signal and module names over
     multiple files.

drwxr-xr-x root/root         0 2017-11-11 21:08 ./
drwxr-xr-x root/root         0 2017-11-11 21:08 ./usr/
drwxr-xr-x root/root         0 2017-11-11 21:08 ./usr/bin/
-rwxr-xr-x root/root     16011 2017-11-11 21:08 ./usr/bin/vhier
-rwxr-xr-x root/root     51317 2017-11-11 21:08 ./usr/bin/vpassert
-rwxr-xr-x root/root      7543 2017-11-11 21:08 ./usr/bin/vppreproc
-rwxr-xr-x root/root     18863 2017-11-11 21:08 ./usr/bin/vrename
drwxr-xr-x root/root         0 2017-11-11 21:08 ./usr/lib/
drwxr-xr-x root/root         0 2017-11-11 21:08 ./usr/lib/arm-linux-gnueabihf/
drwxr-xr-x root/root         0 2017-11-11 21:08 ./usr/lib/arm-linux-gnueabihf/perl5/
drwxr-xr-x root/root         0 2017-11-11 21:08 ./usr/lib/arm-linux-gnueabihf/perl5/5.26/
drwxr-xr-x root/root         0 2017-11-11 21:08 ./usr/lib/arm-linux-gnueabihf/perl5/5.26/Verilog/
-rw-r--r-- root/root     11103 2017-11-08 14:39 ./usr/lib/arm-linux-gnueabihf/perl5/5.26/Verilog/EditFiles.pm
-rw-r--r-- root/root     22004 2017-11-08 14:39 ./usr/lib/arm-linux-gnueabihf/perl5/5.26/Verilog/Getopt.pm
-rw-r--r-- root/root     21146 2017-11-08 14:39 ./usr/lib/arm-linux-gnueabihf/perl5/5.26/Verilog/Language.pm
-rw-r--r-- root/root     19745 2017-11-08 14:39 ./usr/lib/arm-linux-gnueabihf/perl5/5.26/Verilog/Netlist.pm
drwxr-xr-x root/root         0 2017-11-11 21:08 ./usr/lib/arm-linux-gnueabihf/perl5/5.26/Verilog/Netlist/
-rw-r--r-- root/root      7573 2017-11-08 14:39 ./usr/lib/arm-linux-gnueabihf/perl5/5.26/Verilog/Netlist/Cell.pm
-rw-r--r-- root/root      3275 2017-11-08 14:39 ./usr/lib/arm-linux-gnueabihf/perl5/5.26/Verilog/Netlist/ContAssign.pm
-rw-r--r-- root/root      3240 2017-11-08 14:39 ./usr/lib/arm-linux-gnueabihf/perl5/5.26/Verilog/Netlist/Defparam.pm
-rw-r--r-- root/root     16512 2017-11-08 14:39 ./usr/lib/arm-linux-gnueabihf/perl5/5.26/Verilog/Netlist/File.pm
-rw-r--r-- root/root     10098 2017-11-08 14:39 ./usr/lib/arm-linux-gnueabihf/perl5/5.26/Verilog/Netlist/Interface.pm
-rw-r--r-- root/root      4264 2017-11-08 14:39 ./usr/lib/arm-linux-gnueabihf/perl5/5.26/Verilog/Netlist/Logger.pm
-rw-r--r-- root/root      7077 2017-11-08 14:39 ./usr/lib/arm-linux-gnueabihf/perl5/5.26/Verilog/Netlist/ModPort.pm
-rw-r--r-- root/root     15470 2017-11-08 14:39 ./usr/lib/arm-linux-gnueabihf/perl5/5.26/Verilog/Netlist/Module.pm
-rw-r--r-- root/root     12179 2017-11-08 14:39 ./usr/lib/arm-linux-gnueabihf/perl5/5.26/Verilog/Netlist/Net.pm
-rw-r--r-- root/root     10392 2017-11-08 14:39 ./usr/lib/arm-linux-gnueabihf/perl5/5.26/Verilog/Netlist/Pin.pm
-rw-r--r-- root/root      3005 2017-08-31 23:52 ./usr/lib/arm-linux-gnueabihf/perl5/5.26/Verilog/Netlist/PinSelection.pm
-rw-r--r-- root/root      5645 2017-11-08 14:39 ./usr/lib/arm-linux-gnueabihf/perl5/5.26/Verilog/Netlist/Port.pm
-rw-r--r-- root/root      8832 2017-11-08 14:39 ./usr/lib/arm-linux-gnueabihf/perl5/5.26/Verilog/Netlist/Subclass.pm
-rw-r--r-- root/root     15761 2017-11-08 14:39 ./usr/lib/arm-linux-gnueabihf/perl5/5.26/Verilog/Parser.pm
-rw-r--r-- root/root     13417 2017-11-08 14:39 ./usr/lib/arm-linux-gnueabihf/perl5/5.26/Verilog/Preproc.pm
-rw-r--r-- root/root     14293 2017-11-08 14:39 ./usr/lib/arm-linux-gnueabihf/perl5/5.26/Verilog/SigParser.pm
-rw-r--r-- root/root      3429 2017-11-08 14:39 ./usr/lib/arm-linux-gnueabihf/perl5/5.26/Verilog/Std.pm
-rw-r--r-- root/root     11061 2017-04-26 19:35 ./usr/lib/arm-linux-gnueabihf/perl5/5.26/Verilog/Verilog-Perl.pod
drwxr-xr-x root/root         0 2017-11-11 21:08 ./usr/lib/arm-linux-gnueabihf/perl5/5.26/auto/
drwxr-xr-x root/root         0 2017-11-11 21:08 ./usr/lib/arm-linux-gnueabihf/perl5/5.26/auto/Verilog/
drwxr-xr-x root/root         0 2017-11-11 21:08 ./usr/lib/arm-linux-gnueabihf/perl5/5.26/auto/Verilog/Parser/
-rw-r--r-- root/root    956920 2017-11-11 21:08 ./usr/lib/arm-linux-gnueabihf/perl5/5.26/auto/Verilog/Parser/Parser.so
drwxr-xr-x root/root         0 2017-11-11 21:08 ./usr/lib/arm-linux-gnueabihf/perl5/5.26/auto/Verilog/Preproc/
-rw-r--r-- root/root    157148 2017-11-11 21:08 ./usr/lib/arm-linux-gnueabihf/perl5/5.26/auto/Verilog/Preproc/Preproc.so
drwxr-xr-x root/root         0 2017-11-11 21:08 ./usr/share/
drwxr-xr-x root/root         0 2017-11-11 21:08 ./usr/share/doc/
drwxr-xr-x root/root         0 2017-11-11 21:08 ./usr/share/doc/libverilog-perl/
-rw-r--r-- root/root      2778 2017-11-11 21:08 ./usr/share/doc/libverilog-perl/changelog.Debian.gz
-rw-r--r-- root/root     13297 2017-11-08 14:38 ./usr/share/doc/libverilog-perl/changelog.gz
-rw-r--r-- root/root     11509 2017-11-11 21:08 ./usr/share/doc/libverilog-perl/copyright
drwxr-xr-x root/root         0 2017-11-11 21:08 ./usr/share/doc/libverilog-perl/examples/
-rwxr-xr-x root/root      3338 2017-04-26 19:35 ./usr/share/doc/libverilog-perl/examples/vsplitmodule
drwxr-xr-x root/root         0 2017-11-11 21:08 ./usr/share/lintian/
drwxr-xr-x root/root         0 2017-11-11 21:08 ./usr/share/lintian/overrides/
-rw-r--r-- root/root       344 2017-11-11 21:08 ./usr/share/lintian/overrides/libverilog-perl
drwxr-xr-x root/root         0 2017-11-11 21:08 ./usr/share/man/
drwxr-xr-x root/root         0 2017-11-11 21:08 ./usr/share/man/man1/
-rw-r--r-- root/root      3266 2017-11-11 21:08 ./usr/share/man/man1/vhier.1p.gz
-rw-r--r-- root/root      4806 2017-11-11 21:08 ./usr/share/man/man1/vpassert.1p.gz
-rw-r--r-- root/root      2948 2017-11-11 21:08 ./usr/share/man/man1/vppreproc.1p.gz
-rw-r--r-- root/root      2916 2017-11-11 21:08 ./usr/share/man/man1/vrename.1p.gz
drwxr-xr-x root/root         0 2017-11-11 21:08 ./usr/share/man/man3/
-rw-r--r-- root/root      2679 2017-11-11 21:08 ./usr/share/man/man3/Verilog::EditFiles.3pm.gz
-rw-r--r-- root/root      3608 2017-11-11 21:08 ./usr/share/man/man3/Verilog::Getopt.3pm.gz
-rw-r--r-- root/root      2836 2017-11-11 21:08 ./usr/share/man/man3/Verilog::Language.3pm.gz
-rw-r--r-- root/root      5283 2017-11-11 21:08 ./usr/share/man/man3/Verilog::Netlist.3pm.gz
-rw-r--r-- root/root      2129 2017-11-11 21:08 ./usr/share/man/man3/Verilog::Netlist::Cell.3pm.gz
-rw-r--r-- root/root      1822 2017-11-11 21:08 ./usr/share/man/man3/Verilog::Netlist::ContAssign.3pm.gz
-rw-r--r-- root/root      1814 2017-11-11 21:08 ./usr/share/man/man3/Verilog::Netlist::Defparam.3pm.gz
-rw-r--r-- root/root      1852 2017-11-11 21:08 ./usr/share/man/man3/Verilog::Netlist::File.3pm.gz
-rw-r--r-- root/root      2330 2017-11-11 21:08 ./usr/share/man/man3/Verilog::Netlist::Interface.3pm.gz
-rw-r--r-- root/root      2049 2017-11-11 21:08 ./usr/share/man/man3/Verilog::Netlist::Logger.3pm.gz
-rw-r--r-- root/root      2173 2017-11-11 21:08 ./usr/share/man/man3/Verilog::Netlist::ModPort.3pm.gz
-rw-r--r-- root/root      2986 2017-11-11 21:08 ./usr/share/man/man3/Verilog::Netlist::Module.3pm.gz
-rw-r--r-- root/root      2646 2017-11-11 21:08 ./usr/share/man/man3/Verilog::Netlist::Net.3pm.gz
-rw-r--r-- root/root      2273 2017-11-11 21:08 ./usr/share/man/man3/Verilog::Netlist::Pin.3pm.gz
-rw-r--r-- root/root      1808 2017-11-11 21:08 ./usr/share/man/man3/Verilog::Netlist::PinSelection.3pm.gz
-rw-r--r-- root/root      2135 2017-11-11 21:08 ./usr/share/man/man3/Verilog::Netlist::Port.3pm.gz
-rw-r--r-- root/root      2089 2017-11-11 21:08 ./usr/share/man/man3/Verilog::Netlist::Subclass.3pm.gz
-rw-r--r-- root/root      4599 2017-11-11 21:08 ./usr/share/man/man3/Verilog::Parser.3pm.gz
-rw-r--r-- root/root      4498 2017-11-11 21:08 ./usr/share/man/man3/Verilog::Preproc.3pm.gz
-rw-r--r-- root/root      4904 2017-11-11 21:08 ./usr/share/man/man3/Verilog::SigParser.3pm.gz
-rw-r--r-- root/root      1615 2017-11-11 21:08 ./usr/share/man/man3/Verilog::Std.3pm.gz
-rw-r--r-- root/root      5254 2017-11-11 21:08 ./usr/share/man/man3/Verilog::Verilog-Perl.3pm.gz


+------------------------------------------------------------------------------+
| Post Build                                                                   |
+------------------------------------------------------------------------------+


+------------------------------------------------------------------------------+
| Cleanup                                                                      |
+------------------------------------------------------------------------------+

Purging /<<BUILDDIR>>
Not cleaning session: cloned chroot in use

+------------------------------------------------------------------------------+
| Summary                                                                      |
+------------------------------------------------------------------------------+

Build Architecture: armhf
Build-Space: 29708
Build-Time: 542
Distribution: buster-staging
Host Architecture: armhf
Install-Time: 280
Job: libverilog-perl_3.446-1
Machine Architecture: armhf
Package: libverilog-perl
Package-Time: 869
Source-Version: 3.446-1
Space: 29708
Status: successful
Version: 3.446-1
--------------------------------------------------------------------------------
Finished at 2017-11-17T06:44:02Z
Build needed 00:14:29, 29708k disc space