Raspbian Package Auto-Building

Build log for ghdl (2.0.0+dfsg-6.2) on armhf

ghdl2.0.0+dfsg-6.2armhf → 2023-02-13 10:57:05

sbuild (Debian sbuild) 0.71.0 (24 Aug 2016) on testwandboard

+==============================================================================+
| ghdl 2.0.0+dfsg-6.2 (armhf)                  Mon, 13 Feb 2023 07:04:48 +0000 |
+==============================================================================+

Package: ghdl
Version: 2.0.0+dfsg-6.2
Source Version: 2.0.0+dfsg-6.2
Distribution: bookworm-staging
Machine Architecture: armhf
Host Architecture: armhf
Build Architecture: armhf

I: NOTICE: Log filtering will replace 'var/lib/schroot/mount/bookworm-staging-armhf-sbuild-6ee90dd7-914b-4995-b6fb-bf0ce4c63bef' with '<<CHROOT>>'

+------------------------------------------------------------------------------+
| Update chroot                                                                |
+------------------------------------------------------------------------------+

Get:1 http://172.17.4.1/private bookworm-staging InRelease [11.3 kB]
Get:2 http://172.17.4.1/private bookworm-staging/main Sources [13.7 MB]
Get:3 http://172.17.4.1/private bookworm-staging/main armhf Packages [14.5 MB]
Fetched 28.2 MB in 32s (892 kB/s)
Reading package lists...
W: No sandbox user '_apt' on the system, can not drop privileges
W: http://172.17.4.1/private/dists/bookworm-staging/InRelease: Key is stored in legacy trusted.gpg keyring (/etc/apt/trusted.gpg), see the DEPRECATION section in apt-key(8) for details.

+------------------------------------------------------------------------------+
| Fetch source files                                                           |
+------------------------------------------------------------------------------+


Check APT
---------

Checking available source versions...

Download source files with APT
------------------------------

Reading package lists...
NOTICE: 'ghdl' packaging is maintained in the 'Git' version control system at:
https://salsa.debian.org/electronics-team/ghdl/ghdl.git
Please use:
git clone https://salsa.debian.org/electronics-team/ghdl/ghdl.git
to retrieve the latest (possibly unreleased) updates to the package.
Need to get 4277 kB of source archives.
Get:1 http://172.17.4.1/private bookworm-staging/main ghdl 2.0.0+dfsg-6.2 (dsc) [2886 B]
Get:2 http://172.17.4.1/private bookworm-staging/main ghdl 2.0.0+dfsg-6.2 (tar) [4245 kB]
Get:3 http://172.17.4.1/private bookworm-staging/main ghdl 2.0.0+dfsg-6.2 (diff) [29.2 kB]
Fetched 4277 kB in 1s (4065 kB/s)
Download complete and in download only mode
I: NOTICE: Log filtering will replace 'build/ghdl-sZW83v/ghdl-2.0.0+dfsg' with '<<PKGBUILDDIR>>'
I: NOTICE: Log filtering will replace 'build/ghdl-sZW83v' with '<<BUILDDIR>>'

+------------------------------------------------------------------------------+
| Install build-essential                                                      |
+------------------------------------------------------------------------------+


Setup apt archive
-----------------

Merged Build-Depends: build-essential, fakeroot
Filtered Build-Depends: build-essential, fakeroot
dpkg-deb: building package 'sbuild-build-depends-core-dummy' in '/<<BUILDDIR>>/resolver-IdaGdZ/apt_archive/sbuild-build-depends-core-dummy.deb'.
dpkg-scanpackages: warning: Packages in archive but missing from override file:
dpkg-scanpackages: warning:   sbuild-build-depends-core-dummy
dpkg-scanpackages: info: Wrote 1 entries to output Packages file.
gpg: keybox '/<<BUILDDIR>>/resolver-IdaGdZ/gpg/pubring.kbx' created
gpg: /<<BUILDDIR>>/resolver-IdaGdZ/gpg/trustdb.gpg: trustdb created
gpg: key 35506D9A48F77B2E: public key "Sbuild Signer (Sbuild Build Dependency Archive Key) <buildd-tools-devel@lists.alioth.debian.org>" imported
gpg: Total number processed: 1
gpg:               imported: 1
gpg: key 35506D9A48F77B2E: "Sbuild Signer (Sbuild Build Dependency Archive Key) <buildd-tools-devel@lists.alioth.debian.org>" not changed
gpg: key 35506D9A48F77B2E: secret key imported
gpg: Total number processed: 1
gpg:              unchanged: 1
gpg:       secret keys read: 1
gpg:   secret keys imported: 1
gpg: using "Sbuild Signer" as default secret key for signing
Ign:1 copy:/<<BUILDDIR>>/resolver-IdaGdZ/apt_archive ./ InRelease
Get:2 copy:/<<BUILDDIR>>/resolver-IdaGdZ/apt_archive ./ Release [957 B]
Get:3 copy:/<<BUILDDIR>>/resolver-IdaGdZ/apt_archive ./ Release.gpg [370 B]
Get:4 copy:/<<BUILDDIR>>/resolver-IdaGdZ/apt_archive ./ Sources [349 B]
Get:5 copy:/<<BUILDDIR>>/resolver-IdaGdZ/apt_archive ./ Packages [433 B]
Fetched 2109 B in 1s (2657 B/s)
Reading package lists...
W: No sandbox user '_apt' on the system, can not drop privileges
Reading package lists...

Install core build dependencies (apt-based resolver)
----------------------------------------------------

Installing build dependencies
Reading package lists...
Building dependency tree...
Reading state information...
The following packages were automatically installed and are no longer required:
  krb5-locales libpam-cap netbase
Use 'apt autoremove' to remove them.
The following NEW packages will be installed:
  sbuild-build-depends-core-dummy
0 upgraded, 1 newly installed, 0 to remove and 91 not upgraded.
Need to get 848 B of archives.
After this operation, 0 B of additional disk space will be used.
Get:1 copy:/<<BUILDDIR>>/resolver-IdaGdZ/apt_archive ./ sbuild-build-depends-core-dummy 0.invalid.0 [848 B]
debconf: delaying package configuration, since apt-utils is not installed
Fetched 848 B in 0s (23.1 kB/s)
Selecting previously unselected package sbuild-build-depends-core-dummy.
(Reading database ... 12958 files and directories currently installed.)
Preparing to unpack .../sbuild-build-depends-core-dummy_0.invalid.0_armhf.deb ...
Unpacking sbuild-build-depends-core-dummy (0.invalid.0) ...
Setting up sbuild-build-depends-core-dummy (0.invalid.0) ...
W: No sandbox user '_apt' on the system, can not drop privileges

+------------------------------------------------------------------------------+
| Check architectures                                                          |
+------------------------------------------------------------------------------+

Arch check ok (armhf included in any)

+------------------------------------------------------------------------------+
| Install package build dependencies                                           |
+------------------------------------------------------------------------------+


Setup apt archive
-----------------

Merged Build-Depends: debhelper-compat (= 13), dh-ada-library (>= 8.1), gnat-12, gcc-12-source, libisl-dev (>= 0.14), libmpc-dev (>= 1.0), libmpfr-dev (>= 3.0.0-9~), libgmp-dev (>= 2:5.0.1~), texinfo, llvm-dev (>= 1:3.5), llvm-dev (<< 1:15~), clang (>= 1:3.5), zlib1g-dev
Filtered Build-Depends: debhelper-compat (= 13), dh-ada-library (>= 8.1), gnat-12, gcc-12-source, libisl-dev (>= 0.14), libmpc-dev (>= 1.0), libmpfr-dev (>= 3.0.0-9~), libgmp-dev (>= 2:5.0.1~), texinfo, llvm-dev (>= 1:3.5), llvm-dev (<< 1:15~), clang (>= 1:3.5), zlib1g-dev
dpkg-deb: building package 'sbuild-build-depends-ghdl-dummy' in '/<<BUILDDIR>>/resolver-IdaGdZ/apt_archive/sbuild-build-depends-ghdl-dummy.deb'.
dpkg-scanpackages: warning: Packages in archive but missing from override file:
dpkg-scanpackages: warning:   sbuild-build-depends-core-dummy sbuild-build-depends-ghdl-dummy
dpkg-scanpackages: info: Wrote 2 entries to output Packages file.
gpg: using "Sbuild Signer" as default secret key for signing
Ign:1 copy:/<<BUILDDIR>>/resolver-IdaGdZ/apt_archive ./ InRelease
Get:2 copy:/<<BUILDDIR>>/resolver-IdaGdZ/apt_archive ./ Release [963 B]
Get:3 copy:/<<BUILDDIR>>/resolver-IdaGdZ/apt_archive ./ Release.gpg [370 B]
Get:4 copy:/<<BUILDDIR>>/resolver-IdaGdZ/apt_archive ./ Sources [625 B]
Get:5 copy:/<<BUILDDIR>>/resolver-IdaGdZ/apt_archive ./ Packages [686 B]
Fetched 2644 B in 1s (3473 B/s)
Reading package lists...
W: No sandbox user '_apt' on the system, can not drop privileges
Reading package lists...

Install ghdl build dependencies (apt-based resolver)
----------------------------------------------------

Installing build dependencies
Reading package lists...
Building dependency tree...
Reading state information...
The following packages were automatically installed and are no longer required:
  krb5-locales libpam-cap netbase
Use 'apt autoremove' to remove them.
The following additional packages will be installed:
  autoconf autoconf2.69 automake autopoint autotools-dev binutils
  binutils-arm-linux-gnueabihf binutils-common bsdextrautils clang clang-14
  cpp-12 debhelper dh-ada-library dh-autoreconf dh-strip-nondeterminism
  diffstat dwz ed file g++-12 gawk gcc-12 gcc-12-base gcc-12-source gettext
  gettext-base gnat-12 groff-base icu-devtools intltool-debian
  libarchive-zip-perl libasan8 libatomic1 libbinutils libbrotli1 libbsd0
  libcc1-0 libclang-common-14-dev libclang-cpp14 libclang1-14 libctf-nobfd0
  libctf0 libcurl3-nss libdebhelper-perl libedit2 libelf1 libexpat1 libffi-dev
  libfile-stripnondeterminism-perl libgc1 libgcc-12-dev libgcc-s1 libgmp-dev
  libgmpxx4ldbl libgnat-12 libgomp1 libicu-dev libicu72 libisl-dev libllvm14
  libmagic-mgc libmagic1 libmd0 libmpc-dev libmpc3 libmpdec3 libmpfr-dev
  libmpfr6 libncurses-dev libncurses6 libncursesw6 libnghttp2-14 libnspr4
  libnss3 libobjc-12-dev libobjc4 libpfm4 libpipeline1 libpsl5
  libpython3-stdlib libpython3.11-minimal libpython3.11-stdlib librtmp1
  libsigsegv2 libssh2-1 libstdc++-12-dev libstdc++6 libsub-override-perl
  libtext-unidecode-perl libtinfo-dev libtinfo6 libtool libubsan1 libuchardet0
  libxml-libxml-perl libxml-namespacesupport-perl libxml-sax-base-perl
  libxml-sax-perl libxml2 libxml2-dev libyaml-0-2 libz3-4 libz3-dev llvm
  llvm-14 llvm-14-dev llvm-14-linker-tools llvm-14-runtime llvm-14-tools
  llvm-dev llvm-runtime lsb-release m4 man-db media-types ncurses-base
  ncurses-bin patchutils po-debconf python3 python3-minimal
  python3-pkg-resources python3-pygments python3-yaml python3.11
  python3.11-minimal quilt sharutils tex-common texinfo time ucf zlib1g-dev
Suggested packages:
  autoconf-archive gnu-standards autoconf-doc binutils-doc clang-14-doc
  wasi-libc gcc-12-locales cpp-12-doc dh-make gprbuild gcc-12-doc gawk-doc
  gettext-doc libasprintf-dev libgettextpo-dev gnat-12-doc
  ada-reference-manual-2012 gnat-12-sjlj groff gmp-doc libgmp10-doc icu-doc
  libmpfr-doc ncurses-doc libstdc++-12-doc libtool-doc gfortran
  | fortran95-compiler gcj-jdk pkg-config llvm-14-doc m4-doc apparmor less
  www-browser libmail-box-perl python3-doc python3-tk python3-venv
  python3-setuptools python-pygments-doc ttf-bitstream-vera python3.11-venv
  python3.11-doc binfmt-support default-mta | mail-transport-agent graphviz
  procmail bsd-mailx | mailx sharutils-doc texlive-base texlive-latex-base
  texlive-plain-generic texlive-fonts-recommended
Recommended packages:
  curl | wget | lynx libclang-rt-14-dev ca-certificates libarchive-cpio-perl
  libgpm2 publicsuffix libltdl-dev libwww-perl libxml-sax-expat-perl
  binfmt-support | systemd libmail-sendmail-perl less
The following NEW packages will be installed:
  autoconf autoconf2.69 automake autopoint autotools-dev bsdextrautils clang
  clang-14 debhelper dh-ada-library dh-autoreconf dh-strip-nondeterminism
  diffstat dwz ed file gawk gcc-12-source gettext gettext-base gnat-12
  groff-base icu-devtools intltool-debian libarchive-zip-perl libbrotli1
  libbsd0 libclang-common-14-dev libclang-cpp14 libclang1-14 libcurl3-nss
  libdebhelper-perl libedit2 libelf1 libexpat1 libffi-dev
  libfile-stripnondeterminism-perl libgc1 libgmp-dev libgmpxx4ldbl libgnat-12
  libicu-dev libicu72 libisl-dev libllvm14 libmagic-mgc libmagic1 libmd0
  libmpc-dev libmpdec3 libmpfr-dev libncurses-dev libncurses6 libnghttp2-14
  libnspr4 libnss3 libobjc-12-dev libobjc4 libpfm4 libpipeline1 libpsl5
  libpython3-stdlib libpython3.11-minimal libpython3.11-stdlib librtmp1
  libsigsegv2 libssh2-1 libsub-override-perl libtext-unidecode-perl
  libtinfo-dev libtool libuchardet0 libxml-libxml-perl
  libxml-namespacesupport-perl libxml-sax-base-perl libxml-sax-perl libxml2
  libxml2-dev libyaml-0-2 libz3-4 libz3-dev llvm llvm-14 llvm-14-dev
  llvm-14-linker-tools llvm-14-runtime llvm-14-tools llvm-dev llvm-runtime
  lsb-release m4 man-db media-types patchutils po-debconf python3
  python3-minimal python3-pkg-resources python3-pygments python3-yaml
  python3.11 python3.11-minimal quilt sbuild-build-depends-ghdl-dummy
  sharutils tex-common texinfo time ucf zlib1g-dev
The following packages will be upgraded:
  binutils binutils-arm-linux-gnueabihf binutils-common cpp-12 g++-12 gcc-12
  gcc-12-base libasan8 libatomic1 libbinutils libcc1-0 libctf-nobfd0 libctf0
  libgcc-12-dev libgcc-s1 libgomp1 libmpc3 libmpfr6 libncursesw6
  libstdc++-12-dev libstdc++6 libtinfo6 libubsan1 ncurses-base ncurses-bin
25 upgraded, 110 newly installed, 0 to remove and 66 not upgraded.
Need to get 273 MB of archives.
After this operation, 888 MB of additional disk space will be used.
Get:1 copy:/<<BUILDDIR>>/resolver-IdaGdZ/apt_archive ./ sbuild-build-depends-ghdl-dummy 0.invalid.0 [972 B]
Get:2 http://172.17.4.1/private bookworm-staging/main armhf ncurses-bin armhf 6.4-2 [414 kB]
Get:3 http://172.17.4.1/private bookworm-staging/main armhf ncurses-base all 6.4-2 [261 kB]
Get:4 http://172.17.4.1/private bookworm-staging/main armhf libsigsegv2 armhf 2.14-1 [36.6 kB]
Get:5 http://172.17.4.1/private bookworm-staging/main armhf gawk armhf 1:5.1.0-1 [533 kB]
Get:6 http://172.17.4.1/private bookworm-staging/main armhf libpython3.11-minimal armhf 3.11.1-2 [796 kB]
Get:7 http://172.17.4.1/private bookworm-staging/main armhf libubsan1 armhf 12.2.0-14+rpi1 [861 kB]
Get:8 http://172.17.4.1/private bookworm-staging/main armhf gcc-12-base armhf 12.2.0-14+rpi1 [37.7 kB]
Get:9 http://172.17.4.1/private bookworm-staging/main armhf libgcc-s1 armhf 12.2.0-14+rpi1 [36.3 kB]
Get:10 http://172.17.4.1/private bookworm-staging/main armhf libgomp1 armhf 12.2.0-14+rpi1 [95.4 kB]
Get:11 http://172.17.4.1/private bookworm-staging/main armhf libatomic1 armhf 12.2.0-14+rpi1 [8240 B]
Get:12 http://172.17.4.1/private bookworm-staging/main armhf libasan8 armhf 12.2.0-14+rpi1 [2088 kB]
Get:13 http://172.17.4.1/private bookworm-staging/main armhf cpp-12 armhf 12.2.0-14+rpi1 [7159 kB]
Get:14 http://172.17.4.1/private bookworm-staging/main armhf libcc1-0 armhf 12.2.0-14+rpi1 [32.2 kB]
Get:15 http://172.17.4.1/private bookworm-staging/main armhf libctf0 armhf 2.40-2+rpi1 [71.6 kB]
Get:16 http://172.17.4.1/private bookworm-staging/main armhf libctf-nobfd0 armhf 2.40-2+rpi1 [133 kB]
Get:17 http://172.17.4.1/private bookworm-staging/main armhf binutils-arm-linux-gnueabihf armhf 2.40-2+rpi1 [2067 kB]
Get:18 http://172.17.4.1/private bookworm-staging/main armhf libbinutils armhf 2.40-2+rpi1 [407 kB]
Get:19 http://172.17.4.1/private bookworm-staging/main armhf binutils-common armhf 2.40-2+rpi1 [2475 kB]
Get:20 http://172.17.4.1/private bookworm-staging/main armhf binutils armhf 2.40-2+rpi1 [65.3 kB]
Get:21 http://172.17.4.1/private bookworm-staging/main armhf g++-12 armhf 12.2.0-14+rpi1 [7914 kB]
Get:22 http://172.17.4.1/private bookworm-staging/main armhf gcc-12 armhf 12.2.0-14+rpi1 [14.2 MB]
Get:23 http://172.17.4.1/private bookworm-staging/main armhf libgcc-12-dev armhf 12.2.0-14+rpi1 [727 kB]
Get:24 http://172.17.4.1/private bookworm-staging/main armhf libstdc++-12-dev armhf 12.2.0-14+rpi1 [2027 kB]
Get:25 http://172.17.4.1/private bookworm-staging/main armhf libstdc++6 armhf 12.2.0-14+rpi1 [504 kB]
Get:26 http://172.17.4.1/private bookworm-staging/main armhf libmpfr6 armhf 4.2.0-1 [574 kB]
Get:27 http://172.17.4.1/private bookworm-staging/main armhf libmpc3 armhf 1.3.1-1 [41.0 kB]
Get:28 http://172.17.4.1/private bookworm-staging/main armhf libexpat1 armhf 2.5.0-1 [77.2 kB]
Get:29 http://172.17.4.1/private bookworm-staging/main armhf python3.11-minimal armhf 3.11.1-2 [1678 kB]
Get:30 http://172.17.4.1/private bookworm-staging/main armhf python3-minimal armhf 3.11.1-3 [25.9 kB]
Get:31 http://172.17.4.1/private bookworm-staging/main armhf media-types all 8.0.0 [33.4 kB]
Get:32 http://172.17.4.1/private bookworm-staging/main armhf libmpdec3 armhf 2.5.1-2+rpi1 [73.5 kB]
Get:33 http://172.17.4.1/private bookworm-staging/main armhf libtinfo6 armhf 6.4-2 [317 kB]
Get:34 http://172.17.4.1/private bookworm-staging/main armhf libncursesw6 armhf 6.4-2 [106 kB]
Get:35 http://172.17.4.1/private bookworm-staging/main armhf libpython3.11-stdlib armhf 3.11.1-2 [1622 kB]
Get:36 http://172.17.4.1/private bookworm-staging/main armhf python3.11 armhf 3.11.1-2 [567 kB]
Get:37 http://172.17.4.1/private bookworm-staging/main armhf libpython3-stdlib armhf 3.11.1-3 [8960 B]
Get:38 http://172.17.4.1/private bookworm-staging/main armhf python3 armhf 3.11.1-3 [25.9 kB]
Get:39 http://172.17.4.1/private bookworm-staging/main armhf libuchardet0 armhf 0.0.7-1 [65.0 kB]
Get:40 http://172.17.4.1/private bookworm-staging/main armhf groff-base armhf 1.22.4-9 [774 kB]
Get:41 http://172.17.4.1/private bookworm-staging/main armhf bsdextrautils armhf 2.38.1-4 [78.8 kB]
Get:42 http://172.17.4.1/private bookworm-staging/main armhf libpipeline1 armhf 1.5.7-1 [33.4 kB]
Get:43 http://172.17.4.1/private bookworm-staging/main armhf man-db armhf 2.11.2-1 [1342 kB]
Get:44 http://172.17.4.1/private bookworm-staging/main armhf libmagic-mgc armhf 1:5.44-3 [305 kB]
Get:45 http://172.17.4.1/private bookworm-staging/main armhf libmagic1 armhf 1:5.44-3 [95.3 kB]
Get:46 http://172.17.4.1/private bookworm-staging/main armhf file armhf 1:5.44-3 [41.5 kB]
Get:47 http://172.17.4.1/private bookworm-staging/main armhf gettext-base armhf 0.21-11 [156 kB]
Get:48 http://172.17.4.1/private bookworm-staging/main armhf time armhf 1.9-0.2 [47.2 kB]
Get:49 http://172.17.4.1/private bookworm-staging/main armhf ucf all 3.0043+nmu1 [55.2 kB]
Get:50 http://172.17.4.1/private bookworm-staging/main armhf m4 armhf 1.4.19-3 [256 kB]
Get:51 http://172.17.4.1/private bookworm-staging/main armhf autoconf all 2.71-3 [332 kB]
Get:52 http://172.17.4.1/private bookworm-staging/main armhf autoconf2.69 all 2.69-3.1 [291 kB]
Get:53 http://172.17.4.1/private bookworm-staging/main armhf autotools-dev all 20220109.1 [51.6 kB]
Get:54 http://172.17.4.1/private bookworm-staging/main armhf automake all 1:1.16.5-1.3 [823 kB]
Get:55 http://172.17.4.1/private bookworm-staging/main armhf autopoint all 0.21-11 [495 kB]
Get:56 http://172.17.4.1/private bookworm-staging/main armhf libmd0 armhf 1.0.4-2 [28.6 kB]
Get:57 http://172.17.4.1/private bookworm-staging/main armhf libbsd0 armhf 0.11.7-2 [112 kB]
Get:58 http://172.17.4.1/private bookworm-staging/main armhf libedit2 armhf 3.1-20221030-2 [76.2 kB]
Get:59 http://172.17.4.1/private bookworm-staging/main armhf libicu72 armhf 72.1-3 [9009 kB]
Get:60 http://172.17.4.1/private bookworm-staging/main armhf libxml2 armhf 2.9.14+dfsg-1.1+b1 [571 kB]
Get:61 http://172.17.4.1/private bookworm-staging/main armhf libz3-4 armhf 4.8.12-3.1 [5797 kB]
Get:62 http://172.17.4.1/private bookworm-staging/main armhf libllvm14 armhf 1:14.0.6-10+rpi1+b1 [19.5 MB]
Get:63 http://172.17.4.1/private bookworm-staging/main armhf libclang-cpp14 armhf 1:14.0.6-10+rpi1+b1 [9465 kB]
Get:64 http://172.17.4.1/private bookworm-staging/main armhf libgc1 armhf 1:8.2.2-3 [235 kB]
Get:65 http://172.17.4.1/private bookworm-staging/main armhf libobjc4 armhf 12.2.0-14+rpi1 [33.6 kB]
Get:66 http://172.17.4.1/private bookworm-staging/main armhf libobjc-12-dev armhf 12.2.0-14+rpi1 [159 kB]
Get:67 http://172.17.4.1/private bookworm-staging/main armhf libclang-common-14-dev all 1:14.0.6-10+rpi1 [890 kB]
Get:68 http://172.17.4.1/private bookworm-staging/main armhf llvm-14-linker-tools armhf 1:14.0.6-10+rpi1+b1 [1044 kB]
Get:69 http://172.17.4.1/private bookworm-staging/main armhf libclang1-14 armhf 1:14.0.6-10+rpi1+b1 [5208 kB]
Get:70 http://172.17.4.1/private bookworm-staging/main armhf clang-14 armhf 1:14.0.6-10+rpi1+b1 [95.6 kB]
Get:71 http://172.17.4.1/private bookworm-staging/main armhf clang armhf 1:14.0-55.5 [4936 B]
Get:72 http://172.17.4.1/private bookworm-staging/main armhf libdebhelper-perl all 13.11.4 [81.2 kB]
Get:73 http://172.17.4.1/private bookworm-staging/main armhf libtool all 2.4.7-5 [517 kB]
Get:74 http://172.17.4.1/private bookworm-staging/main armhf dh-autoreconf all 20 [17.1 kB]
Get:75 http://172.17.4.1/private bookworm-staging/main armhf libarchive-zip-perl all 1.68-1 [104 kB]
Get:76 http://172.17.4.1/private bookworm-staging/main armhf libsub-override-perl all 0.09-4 [9304 B]
Get:77 http://172.17.4.1/private bookworm-staging/main armhf libfile-stripnondeterminism-perl all 1.13.1-1 [19.4 kB]
Get:78 http://172.17.4.1/private bookworm-staging/main armhf dh-strip-nondeterminism all 1.13.1-1 [8620 B]
Get:79 http://172.17.4.1/private bookworm-staging/main armhf libelf1 armhf 0.187-2+rpi2 [177 kB]
Get:80 http://172.17.4.1/private bookworm-staging/main armhf dwz armhf 0.15-1 [92.4 kB]
Get:81 http://172.17.4.1/private bookworm-staging/main armhf gettext armhf 0.21-11 [1204 kB]
Get:82 http://172.17.4.1/private bookworm-staging/main armhf intltool-debian all 0.35.0+20060710.6 [22.9 kB]
Get:83 http://172.17.4.1/private bookworm-staging/main armhf po-debconf all 1.0.21+nmu1 [248 kB]
Get:84 http://172.17.4.1/private bookworm-staging/main armhf debhelper all 13.11.4 [942 kB]
Get:85 http://172.17.4.1/private bookworm-staging/main armhf libgnat-12 armhf 12.2.0-14+rpi1 [925 kB]
Get:86 http://172.17.4.1/private bookworm-staging/main armhf gnat-12 armhf 12.2.0-14+rpi1 [14.0 MB]
Get:87 http://172.17.4.1/private bookworm-staging/main armhf dh-ada-library all 8.6 [14.5 kB]
Get:88 http://172.17.4.1/private bookworm-staging/main armhf diffstat armhf 1.65-1 [29.4 kB]
Get:89 http://172.17.4.1/private bookworm-staging/main armhf ed armhf 1.19-1 [52.5 kB]
Get:90 http://172.17.4.1/private bookworm-staging/main armhf quilt all 0.67+really0.66-1 [303 kB]
Get:91 http://172.17.4.1/private bookworm-staging/main armhf patchutils armhf 0.4.2-1 [68.7 kB]
Get:92 http://172.17.4.1/private bookworm-staging/main armhf sharutils armhf 1:4.15.2-9 [234 kB]
Get:93 http://172.17.4.1/private bookworm-staging/main armhf lsb-release all 12.0-1 [6416 B]
Get:94 http://172.17.4.1/private bookworm-staging/main armhf gcc-12-source all 12.2.0-14+rpi1 [83.4 MB]
Get:95 http://172.17.4.1/private bookworm-staging/main armhf icu-devtools armhf 72.1-3 [175 kB]
Get:96 http://172.17.4.1/private bookworm-staging/main armhf libbrotli1 armhf 1.0.9-2+b3 [258 kB]
Get:97 http://172.17.4.1/private bookworm-staging/main armhf libnghttp2-14 armhf 1.51.0-1 [60.5 kB]
Get:98 http://172.17.4.1/private bookworm-staging/main armhf libnspr4 armhf 2:4.35-1 [90.9 kB]
Get:99 http://172.17.4.1/private bookworm-staging/main armhf libnss3 armhf 2:3.87-1 [1091 kB]
Get:100 http://172.17.4.1/private bookworm-staging/main armhf libpsl5 armhf 0.21.0-1.2 [56.2 kB]
Get:101 http://172.17.4.1/private bookworm-staging/main armhf librtmp1 armhf 2.4+20151223.gitfa8646d.1-2+b2 [54.2 kB]
Get:102 http://172.17.4.1/private bookworm-staging/main armhf libssh2-1 armhf 1.10.0-3+b1 [161 kB]
Get:103 http://172.17.4.1/private bookworm-staging/main armhf libcurl3-nss armhf 7.87.0-2 [334 kB]
Get:104 http://172.17.4.1/private bookworm-staging/main armhf libffi-dev armhf 3.4.4-1 [60.4 kB]
Get:105 http://172.17.4.1/private bookworm-staging/main armhf libgmpxx4ldbl armhf 2:6.2.1+dfsg1-1.1 [338 kB]
Get:106 http://172.17.4.1/private bookworm-staging/main armhf libgmp-dev armhf 2:6.2.1+dfsg1-1.1 [582 kB]
Get:107 http://172.17.4.1/private bookworm-staging/main armhf libicu-dev armhf 72.1-3 [9959 kB]
Get:108 http://172.17.4.1/private bookworm-staging/main armhf libisl-dev armhf 0.25-1 [782 kB]
Get:109 http://172.17.4.1/private bookworm-staging/main armhf libmpfr-dev armhf 4.2.0-1 [211 kB]
Get:110 http://172.17.4.1/private bookworm-staging/main armhf libmpc-dev armhf 1.3.1-1 [53.7 kB]
Get:111 http://172.17.4.1/private bookworm-staging/main armhf libncurses6 armhf 6.4-2 [80.2 kB]
Get:112 http://172.17.4.1/private bookworm-staging/main armhf libncurses-dev armhf 6.4-2 [291 kB]
Get:113 http://172.17.4.1/private bookworm-staging/main armhf libpfm4 armhf 4.12.1+git6-g8aaaf17-1 [44.8 kB]
Get:114 http://172.17.4.1/private bookworm-staging/main armhf libtext-unidecode-perl all 1.30-3 [101 kB]
Get:115 http://172.17.4.1/private bookworm-staging/main armhf libtinfo-dev armhf 6.4-2 [924 B]
Get:116 http://172.17.4.1/private bookworm-staging/main armhf libxml-namespacesupport-perl all 1.12-2 [15.1 kB]
Get:117 http://172.17.4.1/private bookworm-staging/main armhf libxml-sax-base-perl all 1.09-3 [20.6 kB]
Get:118 http://172.17.4.1/private bookworm-staging/main armhf libxml-sax-perl all 1.02+dfsg-3 [59.4 kB]
Get:119 http://172.17.4.1/private bookworm-staging/main armhf libxml-libxml-perl armhf 2.0207+dfsg+really+2.0134-1+b2 [305 kB]
Get:120 http://172.17.4.1/private bookworm-staging/main armhf libxml2-dev armhf 2.9.14+dfsg-1.1+b1 [637 kB]
Get:121 http://172.17.4.1/private bookworm-staging/main armhf libyaml-0-2 armhf 0.2.5-1 [44.6 kB]
Get:122 http://172.17.4.1/private bookworm-staging/main armhf libz3-dev armhf 4.8.12-3.1 [90.6 kB]
Get:123 http://172.17.4.1/private bookworm-staging/main armhf llvm-14-runtime armhf 1:14.0.6-10+rpi1+b1 [430 kB]
Get:124 http://172.17.4.1/private bookworm-staging/main armhf llvm-runtime armhf 1:14.0-55.5 [4596 B]
Get:125 http://172.17.4.1/private bookworm-staging/main armhf llvm-14 armhf 1:14.0.6-10+rpi1+b1 [10.0 MB]
Get:126 http://172.17.4.1/private bookworm-staging/main armhf llvm armhf 1:14.0-55.5 [7000 B]
Get:127 http://172.17.4.1/private bookworm-staging/main armhf python3-pkg-resources all 66.1.1-1 [296 kB]
Get:128 http://172.17.4.1/private bookworm-staging/main armhf python3-pygments all 2.14.0+dfsg-1 [783 kB]
Get:129 http://172.17.4.1/private bookworm-staging/main armhf python3-yaml armhf 6.0-3+b1 [141 kB]
Get:130 http://172.17.4.1/private bookworm-staging/main armhf llvm-14-tools armhf 1:14.0.6-10+rpi1+b1 [363 kB]
Get:131 http://172.17.4.1/private bookworm-staging/main armhf llvm-14-dev armhf 1:14.0.6-10+rpi1+b1 [33.9 MB]
Get:132 http://172.17.4.1/private bookworm-staging/main armhf llvm-dev armhf 1:14.0-55.5 [4848 B]
Get:133 http://172.17.4.1/private bookworm-staging/main armhf tex-common all 6.18 [32.5 kB]
Get:134 http://172.17.4.1/private bookworm-staging/main armhf texinfo armhf 6.8-6+b1 [1801 kB]
Get:135 http://172.17.4.1/private bookworm-staging/main armhf zlib1g-dev armhf 1:1.2.13.dfsg-1 [901 kB]
debconf: delaying package configuration, since apt-utils is not installed
Fetched 273 MB in 58s (4696 kB/s)
(Reading database ... 12958 files and directories currently installed.)
Preparing to unpack .../ncurses-bin_6.4-2_armhf.deb ...
Unpacking ncurses-bin (6.4-2) over (6.3+20220423-2) ...
Setting up ncurses-bin (6.4-2) ...
(Reading database ... 12958 files and directories currently installed.)
Preparing to unpack .../ncurses-base_6.4-2_all.deb ...
Unpacking ncurses-base (6.4-2) over (6.3+20220423-2) ...
Setting up ncurses-base (6.4-2) ...
Selecting previously unselected package libsigsegv2:armhf.
(Reading database ... 12958 files and directories currently installed.)
Preparing to unpack .../libsigsegv2_2.14-1_armhf.deb ...
Unpacking libsigsegv2:armhf (2.14-1) ...
Setting up libsigsegv2:armhf (2.14-1) ...
Selecting previously unselected package gawk.
(Reading database ... 12967 files and directories currently installed.)
Preparing to unpack .../gawk_1%3a5.1.0-1_armhf.deb ...
Unpacking gawk (1:5.1.0-1) ...
Selecting previously unselected package libpython3.11-minimal:armhf.
Preparing to unpack .../libpython3.11-minimal_3.11.1-2_armhf.deb ...
Unpacking libpython3.11-minimal:armhf (3.11.1-2) ...
Preparing to unpack .../libubsan1_12.2.0-14+rpi1_armhf.deb ...
Unpacking libubsan1:armhf (12.2.0-14+rpi1) over (12.2.0-10+rpi1) ...
Preparing to unpack .../gcc-12-base_12.2.0-14+rpi1_armhf.deb ...
Unpacking gcc-12-base:armhf (12.2.0-14+rpi1) over (12.2.0-10+rpi1) ...
Setting up gcc-12-base:armhf (12.2.0-14+rpi1) ...
(Reading database ... 13438 files and directories currently installed.)
Preparing to unpack .../libgcc-s1_12.2.0-14+rpi1_armhf.deb ...
Unpacking libgcc-s1:armhf (12.2.0-14+rpi1) over (12.2.0-10+rpi1) ...
Setting up libgcc-s1:armhf (12.2.0-14+rpi1) ...
(Reading database ... 13438 files and directories currently installed.)
Preparing to unpack .../00-libgomp1_12.2.0-14+rpi1_armhf.deb ...
Unpacking libgomp1:armhf (12.2.0-14+rpi1) over (12.2.0-10+rpi1) ...
Preparing to unpack .../01-libatomic1_12.2.0-14+rpi1_armhf.deb ...
Unpacking libatomic1:armhf (12.2.0-14+rpi1) over (12.2.0-10+rpi1) ...
Preparing to unpack .../02-libasan8_12.2.0-14+rpi1_armhf.deb ...
Unpacking libasan8:armhf (12.2.0-14+rpi1) over (12.2.0-10+rpi1) ...
Preparing to unpack .../03-cpp-12_12.2.0-14+rpi1_armhf.deb ...
Unpacking cpp-12 (12.2.0-14+rpi1) over (12.2.0-10+rpi1) ...
Preparing to unpack .../04-libcc1-0_12.2.0-14+rpi1_armhf.deb ...
Unpacking libcc1-0:armhf (12.2.0-14+rpi1) over (12.2.0-10+rpi1) ...
Preparing to unpack .../05-libctf0_2.40-2+rpi1_armhf.deb ...
Unpacking libctf0:armhf (2.40-2+rpi1) over (2.39.50.20221208-5+rpi1) ...
Preparing to unpack .../06-libctf-nobfd0_2.40-2+rpi1_armhf.deb ...
Unpacking libctf-nobfd0:armhf (2.40-2+rpi1) over (2.39.50.20221208-5+rpi1) ...
Preparing to unpack .../07-binutils-arm-linux-gnueabihf_2.40-2+rpi1_armhf.deb ...
Unpacking binutils-arm-linux-gnueabihf (2.40-2+rpi1) over (2.39.50.20221208-5+rpi1) ...
Preparing to unpack .../08-libbinutils_2.40-2+rpi1_armhf.deb ...
Unpacking libbinutils:armhf (2.40-2+rpi1) over (2.39.50.20221208-5+rpi1) ...
Preparing to unpack .../09-binutils-common_2.40-2+rpi1_armhf.deb ...
Unpacking binutils-common:armhf (2.40-2+rpi1) over (2.39.50.20221208-5+rpi1) ...
Preparing to unpack .../10-binutils_2.40-2+rpi1_armhf.deb ...
Unpacking binutils (2.40-2+rpi1) over (2.39.50.20221208-5+rpi1) ...
Preparing to unpack .../11-g++-12_12.2.0-14+rpi1_armhf.deb ...
Unpacking g++-12 (12.2.0-14+rpi1) over (12.2.0-10+rpi1) ...
Preparing to unpack .../12-gcc-12_12.2.0-14+rpi1_armhf.deb ...
Unpacking gcc-12 (12.2.0-14+rpi1) over (12.2.0-10+rpi1) ...
Preparing to unpack .../13-libgcc-12-dev_12.2.0-14+rpi1_armhf.deb ...
Unpacking libgcc-12-dev:armhf (12.2.0-14+rpi1) over (12.2.0-10+rpi1) ...
Preparing to unpack .../14-libstdc++-12-dev_12.2.0-14+rpi1_armhf.deb ...
Unpacking libstdc++-12-dev:armhf (12.2.0-14+rpi1) over (12.2.0-10+rpi1) ...
Preparing to unpack .../15-libstdc++6_12.2.0-14+rpi1_armhf.deb ...
Unpacking libstdc++6:armhf (12.2.0-14+rpi1) over (12.2.0-10+rpi1) ...
Setting up libstdc++6:armhf (12.2.0-14+rpi1) ...
(Reading database ... 13439 files and directories currently installed.)
Preparing to unpack .../libmpfr6_4.2.0-1_armhf.deb ...
Unpacking libmpfr6:armhf (4.2.0-1) over (4.1.0-3) ...
Preparing to unpack .../libmpc3_1.3.1-1_armhf.deb ...
Unpacking libmpc3:armhf (1.3.1-1) over (1.2.1-2) ...
Selecting previously unselected package libexpat1:armhf.
Preparing to unpack .../libexpat1_2.5.0-1_armhf.deb ...
Unpacking libexpat1:armhf (2.5.0-1) ...
Selecting previously unselected package python3.11-minimal.
Preparing to unpack .../python3.11-minimal_3.11.1-2_armhf.deb ...
Unpacking python3.11-minimal (3.11.1-2) ...
Setting up libpython3.11-minimal:armhf (3.11.1-2) ...
Setting up libexpat1:armhf (2.5.0-1) ...
Setting up python3.11-minimal (3.11.1-2) ...
Selecting previously unselected package python3-minimal.
(Reading database ... 13459 files and directories currently installed.)
Preparing to unpack .../python3-minimal_3.11.1-3_armhf.deb ...
Unpacking python3-minimal (3.11.1-3) ...
Selecting previously unselected package media-types.
Preparing to unpack .../media-types_8.0.0_all.deb ...
Unpacking media-types (8.0.0) ...
Selecting previously unselected package libmpdec3:armhf.
Preparing to unpack .../libmpdec3_2.5.1-2+rpi1_armhf.deb ...
Unpacking libmpdec3:armhf (2.5.1-2+rpi1) ...
Preparing to unpack .../libtinfo6_6.4-2_armhf.deb ...
Unpacking libtinfo6:armhf (6.4-2) over (6.3+20220423-2) ...
Setting up libtinfo6:armhf (6.4-2) ...
(Reading database ... 13494 files and directories currently installed.)
Preparing to unpack .../libncursesw6_6.4-2_armhf.deb ...
Unpacking libncursesw6:armhf (6.4-2) over (6.3+20220423-2) ...
Setting up libncursesw6:armhf (6.4-2) ...
Selecting previously unselected package libpython3.11-stdlib:armhf.
(Reading database ... 13494 files and directories currently installed.)
Preparing to unpack .../libpython3.11-stdlib_3.11.1-2_armhf.deb ...
Unpacking libpython3.11-stdlib:armhf (3.11.1-2) ...
Selecting previously unselected package python3.11.
Preparing to unpack .../python3.11_3.11.1-2_armhf.deb ...
Unpacking python3.11 (3.11.1-2) ...
Selecting previously unselected package libpython3-stdlib:armhf.
Preparing to unpack .../libpython3-stdlib_3.11.1-3_armhf.deb ...
Unpacking libpython3-stdlib:armhf (3.11.1-3) ...
Setting up python3-minimal (3.11.1-3) ...
Selecting previously unselected package python3.
(Reading database ... 13872 files and directories currently installed.)
Preparing to unpack .../00-python3_3.11.1-3_armhf.deb ...
Unpacking python3 (3.11.1-3) ...
Selecting previously unselected package libuchardet0:armhf.
Preparing to unpack .../01-libuchardet0_0.0.7-1_armhf.deb ...
Unpacking libuchardet0:armhf (0.0.7-1) ...
Selecting previously unselected package groff-base.
Preparing to unpack .../02-groff-base_1.22.4-9_armhf.deb ...
Unpacking groff-base (1.22.4-9) ...
Selecting previously unselected package bsdextrautils.
Preparing to unpack .../03-bsdextrautils_2.38.1-4_armhf.deb ...
Unpacking bsdextrautils (2.38.1-4) ...
Selecting previously unselected package libpipeline1:armhf.
Preparing to unpack .../04-libpipeline1_1.5.7-1_armhf.deb ...
Unpacking libpipeline1:armhf (1.5.7-1) ...
Selecting previously unselected package man-db.
Preparing to unpack .../05-man-db_2.11.2-1_armhf.deb ...
Unpacking man-db (2.11.2-1) ...
Selecting previously unselected package libmagic-mgc.
Preparing to unpack .../06-libmagic-mgc_1%3a5.44-3_armhf.deb ...
Unpacking libmagic-mgc (1:5.44-3) ...
Selecting previously unselected package libmagic1:armhf.
Preparing to unpack .../07-libmagic1_1%3a5.44-3_armhf.deb ...
Unpacking libmagic1:armhf (1:5.44-3) ...
Selecting previously unselected package file.
Preparing to unpack .../08-file_1%3a5.44-3_armhf.deb ...
Unpacking file (1:5.44-3) ...
Selecting previously unselected package gettext-base.
Preparing to unpack .../09-gettext-base_0.21-11_armhf.deb ...
Unpacking gettext-base (0.21-11) ...
Selecting previously unselected package time.
Preparing to unpack .../10-time_1.9-0.2_armhf.deb ...
Unpacking time (1.9-0.2) ...
Selecting previously unselected package ucf.
Preparing to unpack .../11-ucf_3.0043+nmu1_all.deb ...
Moving old data out of the way
Unpacking ucf (3.0043+nmu1) ...
Selecting previously unselected package m4.
Preparing to unpack .../12-m4_1.4.19-3_armhf.deb ...
Unpacking m4 (1.4.19-3) ...
Selecting previously unselected package autoconf.
Preparing to unpack .../13-autoconf_2.71-3_all.deb ...
Unpacking autoconf (2.71-3) ...
Selecting previously unselected package autoconf2.69.
Preparing to unpack .../14-autoconf2.69_2.69-3.1_all.deb ...
Unpacking autoconf2.69 (2.69-3.1) ...
Selecting previously unselected package autotools-dev.
Preparing to unpack .../15-autotools-dev_20220109.1_all.deb ...
Unpacking autotools-dev (20220109.1) ...
Selecting previously unselected package automake.
Preparing to unpack .../16-automake_1%3a1.16.5-1.3_all.deb ...
Unpacking automake (1:1.16.5-1.3) ...
Selecting previously unselected package autopoint.
Preparing to unpack .../17-autopoint_0.21-11_all.deb ...
Unpacking autopoint (0.21-11) ...
Selecting previously unselected package libmd0:armhf.
Preparing to unpack .../18-libmd0_1.0.4-2_armhf.deb ...
Unpacking libmd0:armhf (1.0.4-2) ...
Selecting previously unselected package libbsd0:armhf.
Preparing to unpack .../19-libbsd0_0.11.7-2_armhf.deb ...
Unpacking libbsd0:armhf (0.11.7-2) ...
Selecting previously unselected package libedit2:armhf.
Preparing to unpack .../20-libedit2_3.1-20221030-2_armhf.deb ...
Unpacking libedit2:armhf (3.1-20221030-2) ...
Selecting previously unselected package libicu72:armhf.
Preparing to unpack .../21-libicu72_72.1-3_armhf.deb ...
Unpacking libicu72:armhf (72.1-3) ...
Selecting previously unselected package libxml2:armhf.
Preparing to unpack .../22-libxml2_2.9.14+dfsg-1.1+b1_armhf.deb ...
Unpacking libxml2:armhf (2.9.14+dfsg-1.1+b1) ...
Selecting previously unselected package libz3-4:armhf.
Preparing to unpack .../23-libz3-4_4.8.12-3.1_armhf.deb ...
Unpacking libz3-4:armhf (4.8.12-3.1) ...
Selecting previously unselected package libllvm14:armhf.
Preparing to unpack .../24-libllvm14_1%3a14.0.6-10+rpi1+b1_armhf.deb ...
Unpacking libllvm14:armhf (1:14.0.6-10+rpi1+b1) ...
Selecting previously unselected package libclang-cpp14.
Preparing to unpack .../25-libclang-cpp14_1%3a14.0.6-10+rpi1+b1_armhf.deb ...
Unpacking libclang-cpp14 (1:14.0.6-10+rpi1+b1) ...
Selecting previously unselected package libgc1:armhf.
Preparing to unpack .../26-libgc1_1%3a8.2.2-3_armhf.deb ...
Unpacking libgc1:armhf (1:8.2.2-3) ...
Selecting previously unselected package libobjc4:armhf.
Preparing to unpack .../27-libobjc4_12.2.0-14+rpi1_armhf.deb ...
Unpacking libobjc4:armhf (12.2.0-14+rpi1) ...
Selecting previously unselected package libobjc-12-dev:armhf.
Preparing to unpack .../28-libobjc-12-dev_12.2.0-14+rpi1_armhf.deb ...
Unpacking libobjc-12-dev:armhf (12.2.0-14+rpi1) ...
Selecting previously unselected package libclang-common-14-dev.
Preparing to unpack .../29-libclang-common-14-dev_1%3a14.0.6-10+rpi1_all.deb ...
Unpacking libclang-common-14-dev (1:14.0.6-10+rpi1) ...
Selecting previously unselected package llvm-14-linker-tools.
Preparing to unpack .../30-llvm-14-linker-tools_1%3a14.0.6-10+rpi1+b1_armhf.deb ...
Unpacking llvm-14-linker-tools (1:14.0.6-10+rpi1+b1) ...
Selecting previously unselected package libclang1-14.
Preparing to unpack .../31-libclang1-14_1%3a14.0.6-10+rpi1+b1_armhf.deb ...
Unpacking libclang1-14 (1:14.0.6-10+rpi1+b1) ...
Selecting previously unselected package clang-14.
Preparing to unpack .../32-clang-14_1%3a14.0.6-10+rpi1+b1_armhf.deb ...
Unpacking clang-14 (1:14.0.6-10+rpi1+b1) ...
Selecting previously unselected package clang.
Preparing to unpack .../33-clang_1%3a14.0-55.5_armhf.deb ...
Unpacking clang (1:14.0-55.5) ...
Selecting previously unselected package libdebhelper-perl.
Preparing to unpack .../34-libdebhelper-perl_13.11.4_all.deb ...
Unpacking libdebhelper-perl (13.11.4) ...
Selecting previously unselected package libtool.
Preparing to unpack .../35-libtool_2.4.7-5_all.deb ...
Unpacking libtool (2.4.7-5) ...
Selecting previously unselected package dh-autoreconf.
Preparing to unpack .../36-dh-autoreconf_20_all.deb ...
Unpacking dh-autoreconf (20) ...
Selecting previously unselected package libarchive-zip-perl.
Preparing to unpack .../37-libarchive-zip-perl_1.68-1_all.deb ...
Unpacking libarchive-zip-perl (1.68-1) ...
Selecting previously unselected package libsub-override-perl.
Preparing to unpack .../38-libsub-override-perl_0.09-4_all.deb ...
Unpacking libsub-override-perl (0.09-4) ...
Selecting previously unselected package libfile-stripnondeterminism-perl.
Preparing to unpack .../39-libfile-stripnondeterminism-perl_1.13.1-1_all.deb ...
Unpacking libfile-stripnondeterminism-perl (1.13.1-1) ...
Selecting previously unselected package dh-strip-nondeterminism.
Preparing to unpack .../40-dh-strip-nondeterminism_1.13.1-1_all.deb ...
Unpacking dh-strip-nondeterminism (1.13.1-1) ...
Selecting previously unselected package libelf1:armhf.
Preparing to unpack .../41-libelf1_0.187-2+rpi2_armhf.deb ...
Unpacking libelf1:armhf (0.187-2+rpi2) ...
Selecting previously unselected package dwz.
Preparing to unpack .../42-dwz_0.15-1_armhf.deb ...
Unpacking dwz (0.15-1) ...
Selecting previously unselected package gettext.
Preparing to unpack .../43-gettext_0.21-11_armhf.deb ...
Unpacking gettext (0.21-11) ...
Selecting previously unselected package intltool-debian.
Preparing to unpack .../44-intltool-debian_0.35.0+20060710.6_all.deb ...
Unpacking intltool-debian (0.35.0+20060710.6) ...
Selecting previously unselected package po-debconf.
Preparing to unpack .../45-po-debconf_1.0.21+nmu1_all.deb ...
Unpacking po-debconf (1.0.21+nmu1) ...
Selecting previously unselected package debhelper.
Preparing to unpack .../46-debhelper_13.11.4_all.deb ...
Unpacking debhelper (13.11.4) ...
Selecting previously unselected package libgnat-12:armhf.
Preparing to unpack .../47-libgnat-12_12.2.0-14+rpi1_armhf.deb ...
Unpacking libgnat-12:armhf (12.2.0-14+rpi1) ...
Selecting previously unselected package gnat-12.
Preparing to unpack .../48-gnat-12_12.2.0-14+rpi1_armhf.deb ...
Unpacking gnat-12 (12.2.0-14+rpi1) ...
Selecting previously unselected package dh-ada-library.
Preparing to unpack .../49-dh-ada-library_8.6_all.deb ...
Unpacking dh-ada-library (8.6) ...
Selecting previously unselected package diffstat.
Preparing to unpack .../50-diffstat_1.65-1_armhf.deb ...
Unpacking diffstat (1.65-1) ...
Selecting previously unselected package ed.
Preparing to unpack .../51-ed_1.19-1_armhf.deb ...
Unpacking ed (1.19-1) ...
Selecting previously unselected package quilt.
Preparing to unpack .../52-quilt_0.67+really0.66-1_all.deb ...
Unpacking quilt (0.67+really0.66-1) ...
Selecting previously unselected package patchutils.
Preparing to unpack .../53-patchutils_0.4.2-1_armhf.deb ...
Unpacking patchutils (0.4.2-1) ...
Selecting previously unselected package sharutils.
Preparing to unpack .../54-sharutils_1%3a4.15.2-9_armhf.deb ...
Unpacking sharutils (1:4.15.2-9) ...
Selecting previously unselected package lsb-release.
Preparing to unpack .../55-lsb-release_12.0-1_all.deb ...
Unpacking lsb-release (12.0-1) ...
Selecting previously unselected package gcc-12-source.
Preparing to unpack .../56-gcc-12-source_12.2.0-14+rpi1_all.deb ...
Unpacking gcc-12-source (12.2.0-14+rpi1) ...
Selecting previously unselected package icu-devtools.
Preparing to unpack .../57-icu-devtools_72.1-3_armhf.deb ...
Unpacking icu-devtools (72.1-3) ...
Selecting previously unselected package libbrotli1:armhf.
Preparing to unpack .../58-libbrotli1_1.0.9-2+b3_armhf.deb ...
Unpacking libbrotli1:armhf (1.0.9-2+b3) ...
Selecting previously unselected package libnghttp2-14:armhf.
Preparing to unpack .../59-libnghttp2-14_1.51.0-1_armhf.deb ...
Unpacking libnghttp2-14:armhf (1.51.0-1) ...
Selecting previously unselected package libnspr4:armhf.
Preparing to unpack .../60-libnspr4_2%3a4.35-1_armhf.deb ...
Unpacking libnspr4:armhf (2:4.35-1) ...
Selecting previously unselected package libnss3:armhf.
Preparing to unpack .../61-libnss3_2%3a3.87-1_armhf.deb ...
Unpacking libnss3:armhf (2:3.87-1) ...
Selecting previously unselected package libpsl5:armhf.
Preparing to unpack .../62-libpsl5_0.21.0-1.2_armhf.deb ...
Unpacking libpsl5:armhf (0.21.0-1.2) ...
Selecting previously unselected package librtmp1:armhf.
Preparing to unpack .../63-librtmp1_2.4+20151223.gitfa8646d.1-2+b2_armhf.deb ...
Unpacking librtmp1:armhf (2.4+20151223.gitfa8646d.1-2+b2) ...
Selecting previously unselected package libssh2-1:armhf.
Preparing to unpack .../64-libssh2-1_1.10.0-3+b1_armhf.deb ...
Unpacking libssh2-1:armhf (1.10.0-3+b1) ...
Selecting previously unselected package libcurl3-nss:armhf.
Preparing to unpack .../65-libcurl3-nss_7.87.0-2_armhf.deb ...
Unpacking libcurl3-nss:armhf (7.87.0-2) ...
Selecting previously unselected package libffi-dev:armhf.
Preparing to unpack .../66-libffi-dev_3.4.4-1_armhf.deb ...
Unpacking libffi-dev:armhf (3.4.4-1) ...
Selecting previously unselected package libgmpxx4ldbl:armhf.
Preparing to unpack .../67-libgmpxx4ldbl_2%3a6.2.1+dfsg1-1.1_armhf.deb ...
Unpacking libgmpxx4ldbl:armhf (2:6.2.1+dfsg1-1.1) ...
Selecting previously unselected package libgmp-dev:armhf.
Preparing to unpack .../68-libgmp-dev_2%3a6.2.1+dfsg1-1.1_armhf.deb ...
Unpacking libgmp-dev:armhf (2:6.2.1+dfsg1-1.1) ...
Selecting previously unselected package libicu-dev:armhf.
Preparing to unpack .../69-libicu-dev_72.1-3_armhf.deb ...
Unpacking libicu-dev:armhf (72.1-3) ...
Selecting previously unselected package libisl-dev:armhf.
Preparing to unpack .../70-libisl-dev_0.25-1_armhf.deb ...
Unpacking libisl-dev:armhf (0.25-1) ...
Selecting previously unselected package libmpfr-dev:armhf.
Preparing to unpack .../71-libmpfr-dev_4.2.0-1_armhf.deb ...
Unpacking libmpfr-dev:armhf (4.2.0-1) ...
Selecting previously unselected package libmpc-dev:armhf.
Preparing to unpack .../72-libmpc-dev_1.3.1-1_armhf.deb ...
Unpacking libmpc-dev:armhf (1.3.1-1) ...
Selecting previously unselected package libncurses6:armhf.
Preparing to unpack .../73-libncurses6_6.4-2_armhf.deb ...
Unpacking libncurses6:armhf (6.4-2) ...
Selecting previously unselected package libncurses-dev:armhf.
Preparing to unpack .../74-libncurses-dev_6.4-2_armhf.deb ...
Unpacking libncurses-dev:armhf (6.4-2) ...
Selecting previously unselected package libpfm4:armhf.
Preparing to unpack .../75-libpfm4_4.12.1+git6-g8aaaf17-1_armhf.deb ...
Unpacking libpfm4:armhf (4.12.1+git6-g8aaaf17-1) ...
Selecting previously unselected package libtext-unidecode-perl.
Preparing to unpack .../76-libtext-unidecode-perl_1.30-3_all.deb ...
Unpacking libtext-unidecode-perl (1.30-3) ...
Selecting previously unselected package libtinfo-dev:armhf.
Preparing to unpack .../77-libtinfo-dev_6.4-2_armhf.deb ...
Unpacking libtinfo-dev:armhf (6.4-2) ...
Selecting previously unselected package libxml-namespacesupport-perl.
Preparing to unpack .../78-libxml-namespacesupport-perl_1.12-2_all.deb ...
Unpacking libxml-namespacesupport-perl (1.12-2) ...
Selecting previously unselected package libxml-sax-base-perl.
Preparing to unpack .../79-libxml-sax-base-perl_1.09-3_all.deb ...
Unpacking libxml-sax-base-perl (1.09-3) ...
Selecting previously unselected package libxml-sax-perl.
Preparing to unpack .../80-libxml-sax-perl_1.02+dfsg-3_all.deb ...
Unpacking libxml-sax-perl (1.02+dfsg-3) ...
Selecting previously unselected package libxml-libxml-perl.
Preparing to unpack .../81-libxml-libxml-perl_2.0207+dfsg+really+2.0134-1+b2_armhf.deb ...
Unpacking libxml-libxml-perl (2.0207+dfsg+really+2.0134-1+b2) ...
Selecting previously unselected package libxml2-dev:armhf.
Preparing to unpack .../82-libxml2-dev_2.9.14+dfsg-1.1+b1_armhf.deb ...
Unpacking libxml2-dev:armhf (2.9.14+dfsg-1.1+b1) ...
Selecting previously unselected package libyaml-0-2:armhf.
Preparing to unpack .../83-libyaml-0-2_0.2.5-1_armhf.deb ...
Unpacking libyaml-0-2:armhf (0.2.5-1) ...
Selecting previously unselected package libz3-dev:armhf.
Preparing to unpack .../84-libz3-dev_4.8.12-3.1_armhf.deb ...
Unpacking libz3-dev:armhf (4.8.12-3.1) ...
Selecting previously unselected package llvm-14-runtime.
Preparing to unpack .../85-llvm-14-runtime_1%3a14.0.6-10+rpi1+b1_armhf.deb ...
Unpacking llvm-14-runtime (1:14.0.6-10+rpi1+b1) ...
Selecting previously unselected package llvm-runtime:armhf.
Preparing to unpack .../86-llvm-runtime_1%3a14.0-55.5_armhf.deb ...
Unpacking llvm-runtime:armhf (1:14.0-55.5) ...
Selecting previously unselected package llvm-14.
Preparing to unpack .../87-llvm-14_1%3a14.0.6-10+rpi1+b1_armhf.deb ...
Unpacking llvm-14 (1:14.0.6-10+rpi1+b1) ...
Selecting previously unselected package llvm.
Preparing to unpack .../88-llvm_1%3a14.0-55.5_armhf.deb ...
Unpacking llvm (1:14.0-55.5) ...
Selecting previously unselected package python3-pkg-resources.
Preparing to unpack .../89-python3-pkg-resources_66.1.1-1_all.deb ...
Unpacking python3-pkg-resources (66.1.1-1) ...
Selecting previously unselected package python3-pygments.
Preparing to unpack .../90-python3-pygments_2.14.0+dfsg-1_all.deb ...
Unpacking python3-pygments (2.14.0+dfsg-1) ...
Selecting previously unselected package python3-yaml.
Preparing to unpack .../91-python3-yaml_6.0-3+b1_armhf.deb ...
Unpacking python3-yaml (6.0-3+b1) ...
Selecting previously unselected package llvm-14-tools.
Preparing to unpack .../92-llvm-14-tools_1%3a14.0.6-10+rpi1+b1_armhf.deb ...
Unpacking llvm-14-tools (1:14.0.6-10+rpi1+b1) ...
Selecting previously unselected package llvm-14-dev.
Preparing to unpack .../93-llvm-14-dev_1%3a14.0.6-10+rpi1+b1_armhf.deb ...
Unpacking llvm-14-dev (1:14.0.6-10+rpi1+b1) ...
Selecting previously unselected package llvm-dev.
Preparing to unpack .../94-llvm-dev_1%3a14.0-55.5_armhf.deb ...
Unpacking llvm-dev (1:14.0-55.5) ...
Selecting previously unselected package tex-common.
Preparing to unpack .../95-tex-common_6.18_all.deb ...
Unpacking tex-common (6.18) ...
Selecting previously unselected package texinfo.
Preparing to unpack .../96-texinfo_6.8-6+b1_armhf.deb ...
Unpacking texinfo (6.8-6+b1) ...
Selecting previously unselected package zlib1g-dev:armhf.
Preparing to unpack .../97-zlib1g-dev_1%3a1.2.13.dfsg-1_armhf.deb ...
Unpacking zlib1g-dev:armhf (1:1.2.13.dfsg-1) ...
Selecting previously unselected package sbuild-build-depends-ghdl-dummy.
Preparing to unpack .../98-sbuild-build-depends-ghdl-dummy_0.invalid.0_armhf.deb ...
Unpacking sbuild-build-depends-ghdl-dummy (0.invalid.0) ...
Setting up media-types (8.0.0) ...
Setting up libpipeline1:armhf (1.5.7-1) ...
Setting up time (1.9-0.2) ...
Setting up libpsl5:armhf (0.21.0-1.2) ...
Setting up libicu72:armhf (72.1-3) ...
Setting up bsdextrautils (2.38.1-4) ...
Setting up libmagic-mgc (1:5.44-3) ...
Setting up libarchive-zip-perl (1.68-1) ...
Setting up libyaml-0-2:armhf (0.2.5-1) ...
Setting up libisl-dev:armhf (0.25-1) ...
Setting up libdebhelper-perl (13.11.4) ...
Setting up libbrotli1:armhf (1.0.9-2+b3) ...
Setting up binutils-common:armhf (2.40-2+rpi1) ...
Setting up libnghttp2-14:armhf (1.51.0-1) ...
Setting up libmagic1:armhf (1:5.44-3) ...
Setting up libxml-namespacesupport-perl (1.12-2) ...
Setting up libctf-nobfd0:armhf (2.40-2+rpi1) ...
Setting up gettext-base (0.21-11) ...
Setting up m4 (1.4.19-3) ...
Setting up file (1:5.44-3) ...
Setting up libgomp1:armhf (12.2.0-14+rpi1) ...
Setting up libffi-dev:armhf (3.4.4-1) ...
Setting up patchutils (0.4.2-1) ...
Setting up libxml-sax-base-perl (1.09-3) ...
Setting up autotools-dev (20220109.1) ...
Setting up libz3-4:armhf (4.8.12-3.1) ...
Setting up libgmpxx4ldbl:armhf (2:6.2.1+dfsg1-1.1) ...
Setting up libpfm4:armhf (4.12.1+git6-g8aaaf17-1) ...
Setting up libmpfr6:armhf (4.2.0-1) ...
Setting up libnspr4:armhf (2:4.35-1) ...
Setting up ed (1.19-1) ...
Setting up librtmp1:armhf (2.4+20151223.gitfa8646d.1-2+b2) ...
Setting up libncurses6:armhf (6.4-2) ...
Setting up diffstat (1.65-1) ...
Setting up libmpc3:armhf (1.3.1-1) ...
Setting up libatomic1:armhf (12.2.0-14+rpi1) ...
Setting up autopoint (0.21-11) ...
Setting up icu-devtools (72.1-3) ...
Setting up ucf (3.0043+nmu1) ...
Setting up libgc1:armhf (1:8.2.2-3) ...
Setting up autoconf (2.71-3) ...
Setting up libubsan1:armhf (12.2.0-14+rpi1) ...
Setting up zlib1g-dev:armhf (1:1.2.13.dfsg-1) ...
Setting up libmd0:armhf (1.0.4-2) ...
Setting up libasan8:armhf (12.2.0-14+rpi1) ...
Setting up libuchardet0:armhf (0.0.7-1) ...
Setting up libmpdec3:armhf (2.5.1-2+rpi1) ...
Setting up libsub-override-perl (0.09-4) ...
Setting up libssh2-1:armhf (1.10.0-3+b1) ...
Setting up sharutils (1:4.15.2-9) ...
Setting up libbinutils:armhf (2.40-2+rpi1) ...
Setting up lsb-release (12.0-1) ...
Setting up libtext-unidecode-perl (1.30-3) ...
Setting up libbsd0:armhf (0.11.7-2) ...
Setting up libelf1:armhf (0.187-2+rpi2) ...
Setting up libicu-dev:armhf (72.1-3) ...
Setting up libxml2:armhf (2.9.14+dfsg-1.1+b1) ...
Setting up libcc1-0:armhf (12.2.0-14+rpi1) ...
Setting up libctf0:armhf (2.40-2+rpi1) ...
Setting up automake (1:1.16.5-1.3) ...
update-alternatives: using /usr/bin/automake-1.16 to provide /usr/bin/automake (automake) in auto mode
Setting up libfile-stripnondeterminism-perl (1.13.1-1) ...
Setting up libz3-dev:armhf (4.8.12-3.1) ...
Setting up libncurses-dev:armhf (6.4-2) ...
Setting up cpp-12 (12.2.0-14+rpi1) ...
Setting up gettext (0.21-11) ...
Setting up libgmp-dev:armhf (2:6.2.1+dfsg1-1.1) ...
Setting up libtool (2.4.7-5) ...
Setting up gawk (1:5.1.0-1) ...
Setting up libpython3.11-stdlib:armhf (3.11.1-2) ...
Setting up libedit2:armhf (3.1-20221030-2) ...
Setting up libobjc4:armhf (12.2.0-14+rpi1) ...
Setting up quilt (0.67+really0.66-1) ...
Setting up libnss3:armhf (2:3.87-1) ...
Setting up libmpfr-dev:armhf (4.2.0-1) ...
Setting up libxml-sax-perl (1.02+dfsg-3) ...
update-perl-sax-parsers: Registering Perl SAX parser XML::SAX::PurePerl with priority 10...
update-perl-sax-parsers: Updating overall Perl SAX parser modules info file...

Creating config file /etc/perl/XML/SAX/ParserDetails.ini with new version
Setting up intltool-debian (0.35.0+20060710.6) ...
Setting up autoconf2.69 (2.69-3.1) ...
Setting up dh-autoreconf (20) ...
Setting up tex-common (6.18) ...
update-language: texlive-base not installed and configured, doing nothing!
Setting up libxml2-dev:armhf (2.9.14+dfsg-1.1+b1) ...
Setting up libgcc-12-dev:armhf (12.2.0-14+rpi1) ...
Setting up libgnat-12:armhf (12.2.0-14+rpi1) ...
Setting up libmpc-dev:armhf (1.3.1-1) ...
Setting up libxml-libxml-perl (2.0207+dfsg+really+2.0134-1+b2) ...
update-perl-sax-parsers: Registering Perl SAX parser XML::LibXML::SAX::Parser with priority 50...
update-perl-sax-parsers: Registering Perl SAX parser XML::LibXML::SAX with priority 50...
update-perl-sax-parsers: Updating overall Perl SAX parser modules info file...
Replacing config file /etc/perl/XML/SAX/ParserDetails.ini with new version
Setting up dh-strip-nondeterminism (1.13.1-1) ...
Setting up libllvm14:armhf (1:14.0.6-10+rpi1+b1) ...
Setting up libobjc-12-dev:armhf (12.2.0-14+rpi1) ...
Setting up dwz (0.15-1) ...
Setting up libcurl3-nss:armhf (7.87.0-2) ...
Setting up groff-base (1.22.4-9) ...
Setting up llvm-14-linker-tools (1:14.0.6-10+rpi1+b1) ...
Setting up binutils-arm-linux-gnueabihf (2.40-2+rpi1) ...
Setting up gcc-12-source (12.2.0-14+rpi1) ...
Setting up libpython3-stdlib:armhf (3.11.1-3) ...
Setting up libtinfo-dev:armhf (6.4-2) ...
Setting up python3.11 (3.11.1-2) ...
Setting up libstdc++-12-dev:armhf (12.2.0-14+rpi1) ...
Setting up po-debconf (1.0.21+nmu1) ...
Setting up libclang1-14 (1:14.0.6-10+rpi1+b1) ...
Setting up python3 (3.11.1-3) ...
Setting up binutils (2.40-2+rpi1) ...
Setting up man-db (2.11.2-1) ...
Not building database; man-db/auto-update is not 'true'.
Setting up llvm-14-runtime (1:14.0.6-10+rpi1+b1) ...
Setting up gcc-12 (12.2.0-14+rpi1) ...
Setting up llvm-runtime:armhf (1:14.0-55.5) ...
Setting up libclang-common-14-dev (1:14.0.6-10+rpi1) ...
Setting up libclang-cpp14 (1:14.0.6-10+rpi1+b1) ...
Setting up texinfo (6.8-6+b1) ...
Setting up g++-12 (12.2.0-14+rpi1) ...
Setting up gnat-12 (12.2.0-14+rpi1) ...
Setting up llvm-14 (1:14.0.6-10+rpi1+b1) ...
Setting up python3-pkg-resources (66.1.1-1) ...
Setting up clang-14 (1:14.0.6-10+rpi1+b1) ...
Setting up python3-yaml (6.0-3+b1) ...
Setting up debhelper (13.11.4) ...
Setting up python3-pygments (2.14.0+dfsg-1) ...
Setting up clang (1:14.0-55.5) ...
Setting up llvm (1:14.0-55.5) ...
Setting up llvm-14-tools (1:14.0.6-10+rpi1+b1) ...
Setting up dh-ada-library (8.6) ...
Setting up llvm-14-dev (1:14.0.6-10+rpi1+b1) ...
Setting up llvm-dev (1:14.0-55.5) ...
Setting up sbuild-build-depends-ghdl-dummy (0.invalid.0) ...
Processing triggers for libc-bin (2.36-6+rpi1) ...
W: No sandbox user '_apt' on the system, can not drop privileges

+------------------------------------------------------------------------------+
| Build environment                                                            |
+------------------------------------------------------------------------------+

Kernel: Linux 4.9.0-0.bpo.6-armmp armhf (armv7l)
Toolchain package versions: binutils_2.40-2+rpi1 dpkg-dev_1.21.9+rpi1 g++-12_12.2.0-14+rpi1 gcc-12_12.2.0-14+rpi1 libc6-dev_2.36-6+rpi1 libstdc++-12-dev_12.2.0-14+rpi1 libstdc++6_12.2.0-14+rpi1 linux-libc-dev_6.0.12-1+rpi1
Package versions: adduser_3.129 apt_2.5.4 autoconf_2.71-3 autoconf2.69_2.69-3.1 automake_1:1.16.5-1.3 autopoint_0.21-11 autotools-dev_20220109.1 base-files_12.3+rpi1 base-passwd_3.6.1 bash_5.2-2 binutils_2.40-2+rpi1 binutils-arm-linux-gnueabihf_2.40-2+rpi1 binutils-common_2.40-2+rpi1 bsdextrautils_2.38.1-4 bsdutils_1:2.38.1-4 build-essential_12.9 bzip2_1.0.8-5+b2 clang_1:14.0-55.5 clang-14_1:14.0.6-10+rpi1+b1 coreutils_9.1-1 cpp_4:12.2.0-1+rpi1 cpp-12_12.2.0-14+rpi1 dash_0.5.11+git20210903+057cd650a4ed-9 debconf_1.5.80 debhelper_13.11.4 debianutils_5.7-0.4 dh-ada-library_8.6 dh-autoreconf_20 dh-strip-nondeterminism_1.13.1-1 diffstat_1.65-1 diffutils_1:3.8-1 dirmngr_2.2.40-1 dpkg_1.21.9+rpi1 dpkg-dev_1.21.9+rpi1 dwz_0.15-1 e2fsprogs_1.46.6~rc1-1 ed_1.19-1 fakeroot_1.29-1 file_1:5.44-3 findutils_4.9.0-3 g++_4:12.2.0-1+rpi1 g++-12_12.2.0-14+rpi1 gawk_1:5.1.0-1 gcc_4:12.2.0-1+rpi1 gcc-12_12.2.0-14+rpi1 gcc-12-base_12.2.0-14+rpi1 gcc-12-source_12.2.0-14+rpi1 gcc-7-base_7.5.0-6+rpi1+b2 gcc-8-base_8.4.0-7+rpi1 gcc-9-base_9.4.0-2+rpi1 gettext_0.21-11 gettext-base_0.21-11 gnat-12_12.2.0-14+rpi1 gnupg_2.2.40-1 gnupg-l10n_2.2.40-1 gnupg-utils_2.2.40-1 gpg_2.2.40-1 gpg-agent_2.2.40-1 gpg-wks-client_2.2.40-1 gpg-wks-server_2.2.40-1 gpgconf_2.2.40-1 gpgsm_2.2.40-1 gpgv_2.2.40-1 grep_3.8-3 groff-base_1.22.4-9 gzip_1.12-1 hostname_3.23 icu-devtools_72.1-3 init-system-helpers_1.64 intltool-debian_0.35.0+20060710.6 iputils-ping_3:20221126-1 krb5-locales_1.20.1-1 libacl1_2.3.1-2 libapt-pkg6.0_2.5.4 libarchive-zip-perl_1.68-1 libasan8_12.2.0-14+rpi1 libassuan0_2.5.5-5 libatomic1_12.2.0-14+rpi1 libattr1_1:2.5.1-3 libaudit-common_1:3.0.7-1.1 libaudit1_1:3.0.7-1.1 libbinutils_2.40-2+rpi1 libblkid1_2.38.1-4 libbrotli1_1.0.9-2+b3 libbsd0_0.11.7-2 libbz2-1.0_1.0.8-5+b2 libc-bin_2.36-6+rpi1 libc-dev-bin_2.36-6+rpi1 libc6_2.36-6+rpi1 libc6-dev_2.36-6+rpi1 libcap-ng0_0.8.3-1 libcap2_1:2.44-1 libcap2-bin_1:2.44-1 libcc1-0_12.2.0-14+rpi1 libclang-common-14-dev_1:14.0.6-10+rpi1 libclang-cpp14_1:14.0.6-10+rpi1+b1 libclang1-14_1:14.0.6-10+rpi1+b1 libcom-err2_1.46.6~rc1-1 libcrypt-dev_1:4.4.33-1 libcrypt1_1:4.4.33-1 libctf-nobfd0_2.40-2+rpi1 libctf0_2.40-2+rpi1 libcurl3-nss_7.87.0-2 libdb5.3_5.3.28+dfsg1-0.10 libdebconfclient0_0.265 libdebhelper-perl_13.11.4 libdpkg-perl_1.21.9+rpi1 libedit2_3.1-20221030-2 libelf1_0.187-2+rpi2 libexpat1_2.5.0-1 libext2fs2_1.46.6~rc1-1 libfakeroot_1.29-1 libffi-dev_3.4.4-1 libffi8_3.4.4-1 libfile-stripnondeterminism-perl_1.13.1-1 libgc1_1:8.2.2-3 libgcc-12-dev_12.2.0-14+rpi1 libgcc-s1_12.2.0-14+rpi1 libgcrypt20_1.10.1-3 libgdbm-compat4_1.23-3 libgdbm6_1.23-3 libgmp-dev_2:6.2.1+dfsg1-1.1 libgmp10_2:6.2.1+dfsg1-1.1 libgmpxx4ldbl_2:6.2.1+dfsg1-1.1 libgnat-12_12.2.0-14+rpi1 libgnutls30_3.7.8-4 libgomp1_12.2.0-14+rpi1 libgpg-error0_1.46-1 libgssapi-krb5-2_1.20.1-1 libhogweed6_3.8.1-2 libicu-dev_72.1-3 libicu72_72.1-3 libidn2-0_2.3.3-1 libisl-dev_0.25-1 libisl23_0.25-1 libjansson4_2.14-2 libk5crypto3_1.20.1-1 libkeyutils1_1.6.3-1 libkrb5-3_1.20.1-1 libkrb5support0_1.20.1-1 libksba8_1.6.2-4 libldap-2.5-0_2.5.13+dfsg-2+rpi1+b1 libllvm14_1:14.0.6-10+rpi1+b1 liblz4-1_1.9.4-1+rpi1 liblzma5_5.4.0-0.1 libmagic-mgc_1:5.44-3 libmagic1_1:5.44-3 libmd0_1.0.4-2 libmount1_2.38.1-4 libmpc-dev_1.3.1-1 libmpc3_1.3.1-1 libmpdec3_2.5.1-2+rpi1 libmpfr-dev_4.2.0-1 libmpfr6_4.2.0-1 libncurses-dev_6.4-2 libncurses6_6.4-2 libncursesw6_6.4-2 libnettle8_3.8.1-2 libnghttp2-14_1.51.0-1 libnpth0_1.6-3 libnsl-dev_1.3.0-2 libnsl2_1.3.0-2 libnspr4_2:4.35-1 libnss3_2:3.87-1 libobjc-12-dev_12.2.0-14+rpi1 libobjc4_12.2.0-14+rpi1 libp11-kit0_0.24.1-1 libpam-cap_1:2.44-1 libpam-modules_1.5.2-5 libpam-modules-bin_1.5.2-5 libpam-runtime_1.5.2-5 libpam0g_1.5.2-5 libpcre2-8-0_10.40-3 libpcre3_2:8.39-14 libperl5.36_5.36.0-6 libpfm4_4.12.1+git6-g8aaaf17-1 libpipeline1_1.5.7-1 libpsl5_0.21.0-1.2 libpython3-stdlib_3.11.1-3 libpython3.11-minimal_3.11.1-2 libpython3.11-stdlib_3.11.1-2 libreadline8_8.2-1.2 librtmp1_2.4+20151223.gitfa8646d.1-2+b2 libsasl2-2_2.1.28+dfsg-10 libsasl2-modules-db_2.1.28+dfsg-10 libseccomp2_2.5.4-1+rpi1 libselinux1_3.4-1 libsemanage-common_3.4-1 libsemanage2_3.4-1 libsepol1_3.1-1 libsepol2_3.4-2 libsigsegv2_2.14-1 libsmartcols1_2.38.1-4 libsqlite3-0_3.40.0-1 libss2_1.46.6~rc1-1 libssh2-1_1.10.0-3+b1 libssl1.1_1.1.1o-1 libssl3_3.0.7-1 libstdc++-12-dev_12.2.0-14+rpi1 libstdc++6_12.2.0-14+rpi1 libsub-override-perl_0.09-4 libsystemd0_252.2-1+rpi1 libtasn1-6_4.19.0-2 libtext-unidecode-perl_1.30-3 libtinfo-dev_6.4-2 libtinfo6_6.4-2 libtirpc-common_1.3.3+ds-1 libtirpc-dev_1.3.3+ds-1 libtirpc3_1.3.3+ds-1 libtool_2.4.7-5 libubsan1_12.2.0-14+rpi1 libuchardet0_0.0.7-1 libudev1_252.2-1+rpi1 libunistring2_1.0-2 libuuid1_2.38.1-4 libxml-libxml-perl_2.0207+dfsg+really+2.0134-1+b2 libxml-namespacesupport-perl_1.12-2 libxml-sax-base-perl_1.09-3 libxml-sax-perl_1.02+dfsg-3 libxml2_2.9.14+dfsg-1.1+b1 libxml2-dev_2.9.14+dfsg-1.1+b1 libxxhash0_0.8.1-1 libyaml-0-2_0.2.5-1 libz3-4_4.8.12-3.1 libz3-dev_4.8.12-3.1 libzstd1_1.5.2+dfsg-1 linux-libc-dev_6.0.12-1+rpi1 llvm_1:14.0-55.5 llvm-14_1:14.0.6-10+rpi1+b1 llvm-14-dev_1:14.0.6-10+rpi1+b1 llvm-14-linker-tools_1:14.0.6-10+rpi1+b1 llvm-14-runtime_1:14.0.6-10+rpi1+b1 llvm-14-tools_1:14.0.6-10+rpi1+b1 llvm-dev_1:14.0-55.5 llvm-runtime_1:14.0-55.5 login_1:4.13+dfsg1-1 logsave_1.46.6~rc1-1 lsb-base_11.4+rpi1 lsb-release_12.0-1 m4_1.4.19-3 make_4.3-4.1 man-db_2.11.2-1 mawk_1.3.4.20200120-3.1 media-types_8.0.0 mount_2.38.1-4 nano_7.1-1 ncurses-base_6.4-2 ncurses-bin_6.4-2 netbase_6.4 passwd_1:4.13+dfsg1-1 patch_2.7.6-7 patchutils_0.4.2-1 perl_5.36.0-6 perl-base_5.36.0-6 perl-modules-5.36_5.36.0-6 pinentry-curses_1.2.1-1 po-debconf_1.0.21+nmu1 python3_3.11.1-3 python3-minimal_3.11.1-3 python3-pkg-resources_66.1.1-1 python3-pygments_2.14.0+dfsg-1 python3-yaml_6.0-3+b1 python3.11_3.11.1-2 python3.11-minimal_3.11.1-2 quilt_0.67+really0.66-1 raspbian-archive-keyring_20120528.2 readline-common_8.2-1.2 rpcsvc-proto_1.4.3-1 sbuild-build-depends-core-dummy_0.invalid.0 sbuild-build-depends-ghdl-dummy_0.invalid.0 sed_4.8-1 sensible-utils_0.0.17 sgml-base_1.31 sharutils_1:4.15.2-9 sysvinit-utils_3.05-7 tar_1.34+dfsg-1 tex-common_6.18 texinfo_6.8-6+b1 time_1.9-0.2 tzdata_2022f-1 ucf_3.0043+nmu1 util-linux_2.38.1-4 util-linux-extra_2.38.1-4 xz-utils_5.4.0-0.1 zlib1g_1:1.2.13.dfsg-1 zlib1g-dev_1:1.2.13.dfsg-1

+------------------------------------------------------------------------------+
| Build                                                                        |
+------------------------------------------------------------------------------+


Unpack source
-------------

gpgv: unknown type of key resource 'trustedkeys.kbx'
gpgv: keyblock resource '/tmp/dpkg-verify-sig.d0wbZYRV/trustedkeys.kbx': General error
gpgv: Signature made Sat Feb 11 09:13:10 2023 UTC
gpgv:                using RSA key 3AFA757FAC6EA11D2FF45DF088D24287A2D898B1
gpgv: Can't check signature: No public key
dpkg-source: warning: cannot verify signature ./ghdl_2.0.0+dfsg-6.2.dsc
dpkg-source: info: extracting ghdl in /<<BUILDDIR>>/ghdl-2.0.0+dfsg
dpkg-source: info: unpacking ghdl_2.0.0+dfsg.orig.tar.xz
dpkg-source: info: unpacking ghdl_2.0.0+dfsg-6.2.debian.tar.xz
dpkg-source: info: using patch list from debian/patches/series
dpkg-source: info: applying modify-install-paths
dpkg-source: info: applying adjust-compile-flags
dpkg-source: info: applying extend-ghdl-manpage
dpkg-source: info: applying llvm-14.0.patch
dpkg-source: info: applying gcc-12.patch
dpkg-source: info: applying skip-unsupported-tests
dpkg-source: info: applying parallelize-testsuite
dpkg-source: info: applying gcc-12-fix-move-pragma-suppress
dpkg-source: info: applying gcc-12-more-fix-move-pragma-suppress
dpkg-source: info: applying testsuite-show-diff-output
dpkg-source: info: applying testsuite-pass-parameters
dpkg-source: info: applying psl-disable-incorrect-optimization
dpkg-source: info: applying gcc-distro-specs
dpkg-source: info: applying allow-non-linux-mcode
dpkg-source: info: applying sparc-memmodel-include

Check disc space
----------------

Sufficient free space for build

User Environment
----------------

APT_CONFIG=/var/lib/sbuild/apt.conf
DEB_BUILD_OPTIONS=parallel=4
HOME=/sbuild-nonexistent
LC_ALL=POSIX
LOGNAME=buildd
PATH=/usr/local/sbin:/usr/local/bin:/usr/sbin:/usr/bin:/sbin:/bin:/usr/games
SCHROOT_ALIAS_NAME=bookworm-staging-armhf-sbuild
SCHROOT_CHROOT_NAME=bookworm-staging-armhf-sbuild
SCHROOT_COMMAND=env
SCHROOT_GID=109
SCHROOT_GROUP=buildd
SCHROOT_SESSION_ID=bookworm-staging-armhf-sbuild-6ee90dd7-914b-4995-b6fb-bf0ce4c63bef
SCHROOT_UID=104
SCHROOT_USER=buildd
SHELL=/bin/sh
TERM=linux
USER=buildd

dpkg-buildpackage
-----------------

dpkg-buildpackage: info: source package ghdl
dpkg-buildpackage: info: source version 2.0.0+dfsg-6.2
dpkg-buildpackage: info: source distribution unstable
 dpkg-source --before-build .
dpkg-buildpackage: info: host architecture armhf
 debian/rules clean
dh clean
   debian/rules override_dh_auto_clean
make[1]: Entering directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg'
# dh_auto_clean complains about python-distutils and fails if it
# doesn't see a Makefile, so override the default build system.
dh_auto_clean --buildsystem=makefile
make[1]: Leaving directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg'
   debian/rules override_dh_clean
make[1]: Entering directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg'
# An .orig file exists in the release, don't delete it to not create
# spurious differences to the tarball/git.
dh_clean -Xtestsuite/synth/synth14/top.vhdl.orig
make[1]: Leaving directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg'
 debian/rules binary-arch
dh binary-arch
   dh_update_autotools_config -a
   dh_autoreconf -a
   debian/rules override_dh_auto_configure
make[1]: Entering directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg'
dh_testdir
mkdir -p /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/stamps

------------------------------------------------------------
Configuring with llvm backend
------------------------------------------------------------
cd /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm && \
../../configure --srcdir=../.. --prefix=/usr --disable-werror --incdir=lib/ghdl/include --enable-gplcompat  \
	--libdir=lib/ghdl/llvm \
	--with-llvm-config
Build machine is: arm-linux-gnueabihf
Debugging is enabled with llvm 14.0.6
create pic/ subdirectory
Creating ghdl.gpr
Creating Makefile
make[2]: Entering directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm'
for d in ieee/v87 ieee/v93 ieee/v08 std/v87 std/v93 std/v08 src/ieee src/ieee/v87 src/ieee/v93 src/ieee2008 src/std src/std/v87 src/std/v93 src/std/v08 src/synopsys src/synopsys/v08 src/upf; do \
  mkdir -p lib/ghdl/llvm/$d; \
done
make[2]: Leaving directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm'
Generate ghdlsynth_maybe.ads
Generate default_paths.ads
touch /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/stamps/configure-llvm
dh_testdir
mkdir -p /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/stamps

------------------------------------------------------------
Configuring with gcc backend
------------------------------------------------------------
# gcc unpack sequence cribbed from gcc-7-cross debian/rules
# We have to disable the gcc-verbose-lto-link patch since it replaces
# the LLINKER value with one that runs the linker under /usr/bin/time
# and the spaces are not escaped properly somewhere on the ghdl side.
set -e && \
cd /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc && \
ln -sf /usr/src/gcc-12/gcc-*.tar.* && \
cp -a  /usr/src/gcc-12/debian/ . && \
if [ -n "$(grep -v '^\#' /<<BUILDDIR>>/ghdl-2.0.0+dfsg/debian/gcc-patches/gcc-12/series)" ]; then \
	cp -n /<<BUILDDIR>>/ghdl-2.0.0+dfsg/debian/gcc-patches/gcc-12/*.diff debian/patches/  && \
	cat /<<BUILDDIR>>/ghdl-2.0.0+dfsg/debian/gcc-patches/gcc-12/series >> debian/patches/series  && \
	sed -i "s/\(^series_stamp.*\)/debian_patches += $(grep -v '^#' /<<BUILDDIR>>/ghdl-2.0.0+dfsg/debian/gcc-patches/gcc-12/series|sed 's/\..*//'|tr '\n' ' ')\n\n\1/" debian/rules.patch ; \
fi && \
echo -n > /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/debian/patches/gcc-verbose-lto-link.diff && \
debian/rules patch && \
../../configure --srcdir=../.. --prefix=/usr --disable-werror --incdir=lib/ghdl/include --enable-gplcompat  \
	--libdir=lib/ghdl/gcc \
	--with-gcc=src && \
make copy-sources && \
/usr/bin/make -f debian/rules2 configure PF=usr/lib/ghdl/gcc with_bootstrap=off \
	with_cc1=no with_dev=no separate_lang=yes enabled_languages=vhdl \
	with_common_libs=no with_common_pkgs=no \
	with_check="skipped for GHDL build"
make[2]: Entering directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc'
Build environment: derivative=Debian, release=bookworm
: # unpack gcc tarball
mkdir -p stamps
if [ -d /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src ]; then \
  echo >&2 "Source directory /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src exists. Delete by hand"; \
  false; \
fi
rm -rf gcc-12.2.0
tar -x -f gcc-12.2.0-dfsg.tar.xz
mv gcc-12.2.0 /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src
ln -sf libsanitizer /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/libasan
rm -f /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/doc/*.1
rm -f /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/doc/fsf-funding.7
rm -f /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/doc/*.info
rm -f /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/fortran/*.info
rm -f /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/libgomp/*.info
for i in gcc/doc/analyzer.texi gcc/doc/avr-mmcu.texi gcc/doc/bugreport.texi gcc/doc/cfg.texi gcc/doc/collect2.texi gcc/doc/compat.texi gcc/doc/configfiles.texi gcc/doc/configterms.texi gcc/doc/contrib.texi gcc/doc/contribute.texi gcc/doc/cpp.texi gcc/doc/cppdiropts.texi gcc/doc/cppenv.texi gcc/doc/cppinternals.texi gcc/doc/cppopts.texi gcc/doc/cppwarnopts.texi gcc/doc/extend.texi gcc/doc/fragments.texi gcc/doc/frontends.texi gcc/doc/gccint.texi gcc/doc/gcov.texi gcc/doc/gcov-dump.texi gcc/doc/gcov-tool.texi gcc/doc/generic.texi gcc/doc/gimple.texi gcc/doc/gnu.texi gcc/doc/gty.texi gcc/doc/headerdirs.texi gcc/doc/hostconfig.texi gcc/doc/implement-c.texi gcc/doc/implement-cxx.texi gcc/doc/install-old.texi gcc/doc/install.texi gcc/doc/interface.texi gcc/doc/invoke.texi gcc/doc/languages.texi gcc/doc/libgcc.texi gcc/doc/loop.texi gcc/doc/lto.texi gcc/doc/makefile.texi gcc/doc/match-and-simplify.texi gcc/doc/md.texi gcc/doc/objc.texi gcc/doc/optinfo.texi gcc/doc/options.texi gcc/doc/passes.texi gcc/doc/plugins.texi gcc/doc/poly-int.texi gcc/doc/portability.texi gcc/doc/rtl.texi gcc/doc/service.texi gcc/doc/sourcebuild.texi gcc/doc/standards.texi gcc/doc/tm.texi.in gcc/doc/tm.texi gcc/doc/tree-ssa.texi gcc/doc/trouble.texi gcc/doc/ux.texi gcc/doc/include/gcc-common.texi gcc/doc/include/funding.texi gcc/fortran/gfc-internals.texi gcc/fortran/invoke.texi gcc/fortran/intrinsic.texi ; do \
  if [ -f /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/$i ]; then \
    cp debian/dummy.texi /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/$i; \
  else \
    cp debian/dummy.texi /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/$i; \
    echo >&2 "$i does not exist, fix debian/rules.unpack"; \
  fi; \
done
( \
  echo '@include gcc-vers.texi'; \
  echo '@macro versionsubtitle'; \
  echo '@subtitle For @sc{gcc} version @value{version-GCC}'; \
  echo '@vskip 0pt plus 1filll'; \
  echo '@end macro'; \
) > /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/doc/include/gcc-common.texi
for i in gcc/doc/gcc.texi gcc/doc/lto-dump.texi gcc/ada/gnat-style.texi gcc/ada/gnat_rm.texi gcc/ada/gnat_ugn.texi gcc/fortran/gfortran.texi gcc/go/gccgo.texi libgomp/libgomp.texi libquadmath/libquadmath.texi ; do \
  n=$(basename $i .texi); \
  if [ -f /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/$i ]; then \
    sed "s/@name@/$n/g" debian/gcc-dummy.texi \
      > /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/$i; \
  else \
    sed "s/@name@/$n/g" debian/gcc-dummy.texi \
      > /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/$i; \
    echo >&2 "$i does not exist, fix debian/rules.unpack"; \
  fi; \
done
for i in gcc/doc/cpp.1 gcc/doc/g++.1 gcc/doc/gc-analyze.1 gcc/doc/gcc.1 gcc/doc/gccgo.1 gcc/doc/gcov.1 gcc/doc/gcov-dump.1 gcc/doc/gcov-tool.1 gcc/doc/gfortran.1 gcc/lto/lto-dump.1 gcc/doc/fsf-funding.7 ; do \
  touch /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/$i; \
done
rm -f /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/INSTALL/*.html
rm -f /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/zlib/contrib/dotzlib/DotZLib.chm
echo "gcc-12.2.0-dfsg.tar.xz unpacked." > stamps/01-unpack-stamp-gcc-12.2.0-dfsg.tar.xz
: # unpack gm2 tarball
mkdir -p stamps
: # rm -rf gm2-20220506
tar -x -f /usr/src/gcc-12/gm2-20220506.tar.xz
(cd gm2 && tar cf - gcc libgm2) | (cd src && tar xf -)
rm -rf gm2
echo "gm2-20220506.tar.xz unpacked." > stamps/01-unpack-stamp-gm2-20220506.tar.xz
echo -e "\nBuilt from Debian source package gcc-12-12.2.0-14+rpi1" \
	> pxxx
echo -e "Integrated upstream packages in this version:\n" >> pxxx
for i in gcc-12.2.0-dfsg.tar.xz gm2-20220506.tar.xz; do echo "  $i" >> pxxx; done
mv -f pxxx stamps/01-unpack-stamp
echo gcc-gfdl-build.diff gcc-textdomain.diff gcc-distro-specs.diff gcc-driver-extra-langs.diff gcc-hash-style-gnu.diff libstdc++-pic.diff libstdc++-doclink.diff libstdc++-man-3cxx.diff libstdc++-test-installed.diff alpha-no-ev4-directive.diff note-gnu-stack.diff libgomp-omp_h-multilib.diff libgo-testsuite.diff libgo-cleanfiles.diff gcc-target-include-asm.diff libgo-revert-timeout-exp.diff libgo-setcontext-config.diff gcc-auto-build.diff libitm-no-fortify-source.diff sparc64-biarch-long-double-128.diff pr66368.diff pr67590.diff libffi-race-condition.diff cuda-float128.diff t-libunwind-elf-Wl-z-defs.diff gcc-force-cross-layout.diff gcc-search-prefixed-as-ld.diff kfreebsd-decimal-float.diff pr87808.diff pr94253.diff gcc-arm-disable-guality-tests.diff musl-ssp.diff pr79724-revert.diff pr104290-followup.diff arc-stddef.diff libstdc++-hurd.diff pr107475.diff pr93371.diff raspbian-disable-libatomic-march.diff gm2.diff gm2-info-no-headers.diff gm2-texinfo.diff ada-gcc-name.diff ada-verbose.diff ada-link-lib.diff ada-gnattools-cross.diff ada-lib-info-source-date-epoch.diff ada-perl-shebang.diff gdc-texinfo.diff alpha-ieee.diff sys-auxv-header.diff gdc-dynamic-link-phobos.diff ia64-disable-selective-scheduling.diff libstdc++-pythondir.diff gcc-verbose-lto-link.diff ada-armel-libatomic.diff ada-kfreebsd.diff gcc-ice-dump.diff gcc-ice-apport.diff skip-bootstrap-multilib.diff libffi-ro-eh_frame_sect.diff gcc-multiarch.diff config-ml.diff g++-multiarch-incdir.diff canonical-cpppath.diff gcc-multilib-multiarch.diff gcc-as-needed.diff gcc-as-needed-gold.diff libgomp-kfreebsd-testsuite.diff go-testsuite.diff fix_ghdl_ppc64.diff reenable_gengtype_c_files.diff \
  | sed -r 's/  +/ /g' | tr " " "\n" > debian/patches/series
sed -r 's/(.)$/\1 -p1/' -i debian/patches/series
touch stamps/02-series-stamp
sync
QUILT_PATCHES=debian/patches QUILT_PATCH_OPTS='-E' \
  quilt --quiltrc /dev/null push -a || test $? = 2
Applying patch gcc-gfdl-build.diff
patching file src/gcc/Makefile.in

Applying patch gcc-textdomain.diff
patching file src/gcc/intl.cc
patching file src/gcc/Makefile.in
patching file src/libcpp/init.cc
patching file src/libcpp/system.h
patching file src/libcpp/Makefile.in

Applying patch gcc-distro-specs.diff
patching file src/gcc/gcc.cc
patching file src/gcc/cp/lang-specs.h
patching file src/gcc/objc/lang-specs.h
patching file src/gcc/objcp/lang-specs.h
patching file src/gcc/c-family/c-cppbuiltin.cc

Applying patch gcc-driver-extra-langs.diff
patching file src/gcc/Makefile.in

Applying patch gcc-hash-style-gnu.diff
patching file src/gcc/config/alpha/linux-elf.h
patching file src/gcc/config/ia64/linux.h
patching file src/gcc/config/rs6000/linux64.h
patching file src/gcc/config/rs6000/sysv4.h
patching file src/gcc/config/s390/linux.h
patching file src/gcc/config/sparc/linux.h
patching file src/gcc/config/arm/linux-elf.h
patching file src/gcc/config/i386/gnu-user.h
patching file src/gcc/config/i386/gnu-user64.h
patching file src/gcc/config/aarch64/aarch64-linux.h
patching file src/gcc/config/riscv/linux.h

Applying patch libstdc++-pic.diff
patching file src/libstdc++-v3/src/Makefile.am
patching file src/libstdc++-v3/src/Makefile.in

Applying patch libstdc++-doclink.diff
patching file src/libstdc++-v3/doc/doxygen/mainpage.html
patching file src/libstdc++-v3/doc/html/api.html
patching file src/libstdc++-v3/doc/xml/api.xml

Applying patch libstdc++-man-3cxx.diff
patching file src/libstdc++-v3/doc/doxygen/user.cfg.in
patching file src/libstdc++-v3/scripts/run_doxygen

Applying patch libstdc++-test-installed.diff
patching file src/libstdc++-v3/testsuite/lib/libstdc++.exp

Applying patch alpha-no-ev4-directive.diff
patching file src/gcc/config/alpha/alpha.cc

Applying patch note-gnu-stack.diff
patching file src/libgcc/config/ia64/crtbegin.S
patching file src/libgcc/config/ia64/crtend.S
patching file src/libgcc/config/ia64/crti.S
patching file src/libgcc/config/ia64/crtn.S
patching file src/libgcc/config/ia64/lib1funcs.S
patching file src/gcc/config/ia64/linux.h
patching file src/gcc/config/rs6000/ppc-asm.h

Applying patch libgomp-omp_h-multilib.diff
patching file src/libgomp/omp.h.in

Applying patch libgo-testsuite.diff
patching file src/libgo/Makefile.am
patching file src/libgo/Makefile.in

Applying patch libgo-cleanfiles.diff
patching file src/libgo/Makefile.am
patching file src/libgo/Makefile.in

Applying patch gcc-target-include-asm.diff
patching file src/configure.ac

Applying patch libgo-revert-timeout-exp.diff
patching file src/libgo/testsuite/lib/libgo.exp

Applying patch libgo-setcontext-config.diff
patching file src/libgo/configure.ac

Applying patch gcc-auto-build.diff
patching file src/gcc/configure.ac

Applying patch libitm-no-fortify-source.diff
patching file src/libitm/configure.tgt

Applying patch sparc64-biarch-long-double-128.diff
patching file src/gcc/config/sparc/linux64.h

Applying patch pr66368.diff
patching file src/libgo/Makefile.am
patching file src/libgo/Makefile.in

Applying patch pr67590.diff
patching file src/libcc1/configure.ac

Applying patch libffi-race-condition.diff
patching file src/libffi/src/closures.c

Applying patch cuda-float128.diff
patching file src/libstdc++-v3/include/std/type_traits
patching file src/libstdc++-v3/include/bits/std_abs.h
patching file src/libstdc++-v3/include/bits/stl_algobase.h
patching file src/libstdc++-v3/include/std/numbers

Applying patch t-libunwind-elf-Wl-z-defs.diff
patching file src/libgcc/config/t-libunwind-elf

Applying patch gcc-force-cross-layout.diff
patching file src/configure.ac
patching file src/gcc/configure.ac

Applying patch gcc-search-prefixed-as-ld.diff
patching file src/gcc/gcc.cc

Applying patch kfreebsd-decimal-float.diff
patching file src/gcc/configure.ac
patching file src/libdecnumber/configure.ac
patching file src/libgcc/configure.ac

Applying patch pr87808.diff
patching file src/gcc/jit/Make-lang.in
patching file src/gcc/jit/jit-playback.cc

Applying patch pr94253.diff
patching file src/gcc/config/rs6000/rs6000.cc

Applying patch gcc-arm-disable-guality-tests.diff
patching file src/gcc/testsuite/gcc.dg/guality/guality.exp

Applying patch musl-ssp.diff
patching file src/gcc/gcc.cc

Applying patch pr79724-revert.diff
patching file src/gcc/ada/osint.adb

Applying patch pr104290-followup.diff
patching file src/gcc/config/gnu.h

Applying patch arc-stddef.diff
patching file src/gcc/config/arc/arc-arch.h

Applying patch libstdc++-hurd.diff
patching file src/libstdc++-v3/config/os/gnu-linux/os_defines.h

Applying patch pr107475.diff
patching file src/gcc/ada/Makefile.rtl
Hunk #1 succeeded at 2666 (offset 82 lines).

Applying patch pr93371.diff
patching file src/gcc/gcc.cc
Hunk #1 succeeded at 881 (offset 4 lines).

Applying patch raspbian-disable-libatomic-march.diff
patching file src/libatomic/Makefile.am
Hunk #1 succeeded at 129 (offset 2 lines).
patching file src/libatomic/Makefile.in
Hunk #1 succeeded at 435 with fuzz 2 (offset 84 lines).

Applying patch gm2.diff
patching file src/Makefile.def
patching file src/Makefile.in
patching file src/Makefile.tpl
patching file src/configure
patching file src/configure.ac
patching file src/gcc/c-family/cppspec.cc
patching file src/gcc/c/gccspec.cc
patching file src/gcc/cp/g++spec.cc
patching file src/gcc/d/d-spec.cc
patching file src/gcc/fortran/gfortranspec.cc
patching file src/gcc/gcc.cc
patching file src/gcc/gcc.h
patching file src/gcc/go/gospec.cc
patching file src/gcc/jit/jit-spec.cc

Applying patch gm2-info-no-headers.diff
patching file src/gcc/m2/Make-lang.in
patching file src/gcc/doc/gm2.texi
Hunk #1 succeeded at 65 (offset 1 line).

Applying patch gm2-texinfo.diff
patching file src/gcc/doc/gm2.texi

Applying patch ada-gcc-name.diff
patching file src/gcc/ada/osint.ads
patching file src/gcc/ada/osint.adb
patching file src/gcc/ada/gnatchop.adb

Applying patch ada-verbose.diff
patching file src/gcc/ada/Make-generated.in
patching file src/gcc/ada/gcc-interface/Makefile.in

Applying patch ada-link-lib.diff
patching file src/gcc/ada/gcc-interface/config-lang.in
patching file src/gcc/ada/link.c
patching file src/libada/Makefile.in
patching file src/Makefile.def
patching file src/configure.ac
patching file src/gcc/ada/gcc-interface/Make-lang.in
patching file src/gcc/testsuite/lib/gnat.exp

Applying patch ada-gnattools-cross.diff
patching file src/gcc/ada/Makefile.rtl
patching file src/gcc/ada/gcc-interface/Makefile.in
patching file src/gnattools/Makefile.in

Applying patch ada-lib-info-source-date-epoch.diff
patching file src/gcc/ada/osint.adb
patching file src/gcc/ada/osint.ads

Applying patch ada-perl-shebang.diff
patching file src/gcc/ada/gnathtml.pl

Applying patch gdc-texinfo.diff
patching file src/gcc/d/gdc.texi

Applying patch alpha-ieee.diff
patching file src/gcc/config/alpha/alpha.cc

Applying patch sys-auxv-header.diff
patching file src/gcc/configure.ac
patching file src/gcc/config.in
patching file src/gcc/config/rs6000/driver-rs6000.cc

Applying patch gdc-dynamic-link-phobos.diff
patching file src/gcc/d/d-spec.cc

Applying patch ia64-disable-selective-scheduling.diff
patching file src/gcc/config/ia64/ia64.cc

Applying patch libstdc++-pythondir.diff
patching file src/libstdc++-v3/python/Makefile.am
patching file src/libstdc++-v3/python/Makefile.in

Applying patch gcc-verbose-lto-link.diff
Patch gcc-verbose-lto-link.diff appears to be empty; applied

Applying patch ada-armel-libatomic.diff
patching file src/gcc/ada/Makefile.rtl
patching file src/Makefile.def
patching file src/gcc/ada/gcc-interface/Makefile.in

Applying patch ada-kfreebsd.diff
patching file src/gcc/ada/libgnarl/s-osinte__kfreebsd-gnu.ads
patching file src/gcc/ada/s-oscons-tmplt.c

Applying patch gcc-ice-dump.diff
patching file src/gcc/gcc.cc

Applying patch gcc-ice-apport.diff
patching file src/gcc/gcc.cc

Applying patch skip-bootstrap-multilib.diff
patching file src/config-ml.in

Applying patch libffi-ro-eh_frame_sect.diff
patching file src/libffi/configure.ac

Applying patch gcc-multiarch.diff
patching file src/gcc/config/sh/t-linux
patching file src/gcc/config/sparc/t-linux64
patching file src/gcc/config/s390/t-linux64
patching file src/gcc/config/rs6000/t-linux64
patching file src/gcc/config/i386/t-linux64
patching file src/gcc/config/i386/t-kfreebsd
patching file src/gcc/config/mips/t-linux64
patching file src/gcc/config.gcc
patching file src/gcc/config/mips/mips.h
patching file src/gcc/config/tilegx/t-tilegx
patching file src/gcc/config/riscv/t-linux
patching file src/gcc/Makefile.in
patching file src/gcc/config/aarch64/t-aarch64-linux
patching file src/gcc/config/arc/t-multilib-linux

Applying patch config-ml.diff
patching file src/config-ml.in

Applying patch g++-multiarch-incdir.diff
patching file src/libstdc++-v3/include/Makefile.am
patching file src/libstdc++-v3/include/Makefile.in
patching file src/gcc/Makefile.in
patching file src/gcc/cppdefault.cc
patching file src/gcc/incpath.cc

Applying patch canonical-cpppath.diff
patching file src/gcc/incpath.cc

Applying patch gcc-multilib-multiarch.diff
patching file src/gcc/config/sparc/t-linux64
patching file src/gcc/config/s390/t-linux64
patching file src/gcc/config/rs6000/t-linux64
patching file src/gcc/config/i386/t-linux64
patching file src/gcc/config/mips/t-linux64
patching file src/gcc/config/rs6000/t-linux
patching file src/gcc/config/loongarch/t-linux

Applying patch gcc-as-needed.diff
patching file src/gcc/gcc.cc
patching file src/gcc/config/gnu-user.h
patching file src/gcc/config/aarch64/aarch64-linux.h
patching file src/gcc/config/ia64/linux.h
patching file src/gcc/config/sparc/linux.h
patching file src/gcc/config/s390/linux.h
patching file src/gcc/config/rs6000/linux64.h
patching file src/gcc/config/rs6000/sysv4.h
patching file src/gcc/config/i386/gnu-user64.h
patching file src/gcc/config/i386/gnu-user.h
patching file src/gcc/config/alpha/linux-elf.h
patching file src/gcc/config/arm/linux-elf.h
patching file src/gcc/config/mips/gnu-user.h
patching file src/gcc/config/riscv/linux.h
patching file src/gcc/config/m68k/linux.h
patching file src/gcc/config/sh/linux.h
patching file src/gcc/config/pa/pa-linux.h

Applying patch gcc-as-needed-gold.diff
patching file src/gcc/gcc.cc
patching file src/gcc/config/gnu-user.h

Applying patch libgomp-kfreebsd-testsuite.diff
patching file src/libgomp/testsuite/libgomp.c/lock-2.c

Applying patch go-testsuite.diff
patching file src/gcc/testsuite/go.test/go-test.exp

Applying patch fix_ghdl_ppc64.diff
patching file src/gcc/config/rs6000/rs6000-logue.cc

Applying patch reenable_gengtype_c_files.diff
patching file src/gcc/gengtype.cc

Now at patch reenable_gengtype_c_files.diff
: # only needed when we have changes, and currently fails with autogen 5.18
: #cd /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/fixincludes && ./genfixes
sync
echo -n src/ src/gcc/ src/libcc1/ src/libdecnumber/ src/libffi/ src/libgcc/ src/libgo/ | xargs -d ' ' -L 1 -P 4 -I{} \
  sh -c 'echo "Running autoconf2.69 in {}..." ; \
  cd /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/{} && rm -f configure && \
  AUTOM4TE=/usr/bin/autom4te2.69 autoconf2.69'
xargs: warning: options --max-lines and --replace/-I/-i are mutually exclusive, ignoring previous --max-lines value
Running autoconf2.69 in src/...
Running autoconf2.69 in src/gcc/...
Running autoconf2.69 in src/libdecnumber/...
Running autoconf2.69 in src/libcc1/...
Running autoconf2.69 in src/libffi/...
Running autoconf2.69 in src/libgcc/...
Running autoconf2.69 in src/libgo/...
for i in gcc-gfdl-build gcc-textdomain gcc-distro-specs gcc-driver-extra-langs gcc-hash-style-gnu libstdc++-pic libstdc++-doclink libstdc++-man-3cxx libstdc++-test-installed alpha-no-ev4-directive note-gnu-stack libgomp-omp_h-multilib libgo-testsuite libgo-cleanfiles gcc-target-include-asm libgo-revert-timeout-exp libgo-setcontext-config gcc-auto-build libitm-no-fortify-source sparc64-biarch-long-double-128 pr66368 pr67590 libffi-race-condition cuda-float128 t-libunwind-elf-Wl-z-defs gcc-force-cross-layout gcc-search-prefixed-as-ld kfreebsd-decimal-float pr87808 pr94253 gcc-arm-disable-guality-tests musl-ssp pr79724-revert pr104290-followup arc-stddef libstdc++-hurd pr107475 pr93371  raspbian-disable-libatomic-march  gm2 gm2-info-no-headers gm2-texinfo ada-gcc-name ada-verbose ada-link-lib ada-gnattools-cross ada-lib-info-source-date-epoch ada-perl-shebang gdc-texinfo alpha-ieee sys-auxv-header gdc-dynamic-link-phobos ia64-disable-selective-scheduling libstdc++-pythondir gcc-verbose-lto-link  ada-armel-libatomic ada-kfreebsd gcc-ice-dump gcc-ice-apport skip-bootstrap-multilib libffi-ro-eh_frame_sect gcc-multiarch config-ml g++-multiarch-incdir canonical-cpppath gcc-multilib-multiarch gcc-as-needed gcc-as-needed-gold libgomp-kfreebsd-testsuite go-testsuite fix_ghdl_ppc64 reenable_gengtype_c_files  ; do \
  echo -e "\n$i:" >> pxxx; \
  sed -n 's/^# *DP: */  /p' debian/patches/$i.diff >> pxxx; \
done
: # generate the distro-defaults.h header
rm -f /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/distro-defaults.h
( \
  echo '/* distro specific configuration injected by the distro build.  */'; \
  echo ''; \
  echo '#ifndef ACCEL_COMPILER' \
) >> /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/distro-defaults.h
echo '#endif' \
     >> /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/distro-defaults.h
mv pxxx stamps/02-patch-stamp
make[2]: Leaving directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc'
Build machine is: arm-linux-gnueabihf
create pic/ subdirectory
Creating ghdl.gpr
Creating Makefile
make[2]: Entering directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc'
for d in ieee/v87 ieee/v93 ieee/v08 std/v87 std/v93 std/v08 src/ieee src/ieee/v87 src/ieee/v93 src/ieee2008 src/std src/std/v87 src/std/v93 src/std/v08 src/synopsys src/synopsys/v08 src/upf; do \
  mkdir -p lib/ghdl/gcc/$d; \
done
make[2]: Leaving directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc'
Generate ghdlsynth_maybe.ads
Generate default_paths.ads
make[2]: Entering directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc'
VER_DESC=tarball; \
VER_REF=unknown; \
VER_HASH=unknown; \
if test -d ../../.git && desc=`cd ../..; git describe --dirty --long`; then \
  VER_DESC=`echo $desc | sed -e 's/\([^-]*-g\)/r\1/' -e 's/-/./g' -e 's/^v//g'`; \
  VER_REF=`cd ../..; git rev-parse --abbrev-ref HEAD`; \
  VER_HASH=`cd ../..; git rev-parse HEAD`; \
fi; \
sed \
  -e "s#@VER@#2.0.0#" \
  -e "s#@DESC@#${GHDL_VER_DESC:-$VER_DESC}#" \
  -e "s#@REF@#${GHDL_VER_REF:-$VER_REF}#" \
  -e "s#@HASH@#${GHDL_VER_HASH:-$VER_HASH}#" \
  < ../../src/version.in > version.tmp;
if [ ! -r version.ads ] || ! cmp version.tmp version.ads > /dev/null; then cp version.tmp version.ads; fi
rm -f -rf src/gcc/vhdl
mkdir src/gcc/vhdl
cp -p version.ads ghdlsynth_maybe.ads src/gcc/vhdl
cp -p ../../src/*.ad? src/gcc/vhdl
cp -p ../../src/vhdl/*.ad? src/gcc/vhdl
cp -p ../../src/vhdl/translate/*.ad? src/gcc/vhdl
cp -p ../../src/synth/*.ad? src/gcc/vhdl
cp -p ../../src/psl/*.ad? src/gcc/vhdl
cp -p ../../src/grt/grt.ad? src/gcc/vhdl
cp -p ../../src/grt/grt-types.ads src/gcc/vhdl
cp -p ../../src/grt/grt-c.ad? src/gcc/vhdl
cp -p ../../src/grt/grt-fcvt.ad? src/gcc/vhdl
cp -p ../../src/grt/grt-algos.ad? src/gcc/vhdl
cp -p ../../src/grt/grt-vstrings.ad? src/gcc/vhdl
cp -p ../../src/grt/grt-cstdio.c src/gcc/vhdl
cp -p ../../src/grt/grt-stdio.ad? src/gcc/vhdl
cp -p ../../src/grt/grt-table.ad? src/gcc/vhdl
cp -p ../../src/grt/grt-files_operations.ad? src/gcc/vhdl
cp -p ../../src/grt/grt-to_strings.ad? src/gcc/vhdl
cp -p ../../src/grt/grt-severity.ads src/gcc/vhdl
cp -p ../../src/ortho/*.ad? src/gcc/vhdl
cp -p ../../src/ortho/gcc/*.ad? src/gcc/vhdl
cp -p ../../src/ortho/gcc/*.c src/gcc/vhdl
cp -p ../../src/ortho/gcc/*.opt src/gcc/vhdl
base_ver=`cat src/gcc/BASE-VER`; \
case $base_ver in \
 4.9*)     	    gcc_ortho_lang=ortho-lang-49.c ;; \
 5.*)      	    gcc_ortho_lang=ortho-lang-5.c ;; \
 6 | 6.*)  	    gcc_ortho_lang=ortho-lang-6.c ;; \
 7.*)      	    gcc_ortho_lang=ortho-lang-7.c ;; \
 8.*)      	    gcc_ortho_lang=ortho-lang-8.c ;; \
 9.* | 10.* | 11.* | 12.*) gcc_ortho_lang=ortho-lang-9.c ;; \
 *) echo "Mismatch gcc version from src"; \
    echo "Need gcc version 4.9.x, 5.x, 6.x, 7.x, 8.x, 9.x or 10.x"; \
    exit 1 ;; \
esac; \
cp -p ../../src/ortho/gcc/$gcc_ortho_lang \
  src/gcc/vhdl/ortho-lang.c
cp -p ../../doc/ghdl.texi ../../doc/ghdl.1 src/gcc/vhdl
mkdir src/gcc/vhdl/ghdldrv
cp -pR ../../src/ghdldrv/*.ad? src/gcc/vhdl/ghdldrv
cp -p ../../scripts/gcc/Make-lang.in src/gcc/vhdl
cp -p ../../scripts/gcc/config-lang.in src/gcc/vhdl
cp -p ../../scripts/gcc/lang-options.h src/gcc/vhdl
cp -p ../../scripts/gcc/lang-specs.h src/gcc/vhdl
make[2]: Leaving directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc'
make[2]: Entering directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc'
dh_testdir
: # give information about the build process
------------------------ Build process variables ------------------------
Memory on this machine:
MemTotal:        2065928 kB
MemFree:          248728 kB
MemAvailable:    1854368 kB
SwapCached:         2436 kB
SwapTotal:      10239996 kB
SwapFree:       10218436 kB
Number of parallel processes used for the build: 4
DEB_BUILD_OPTIONS: parallel=4
Package source: gcc-12
GCC version: 
Base Debian version: 12
Configured with: -v
	 --with-pkgversion='Raspbian 12.2.0-14+rpi1'
	 --with-bugurl='file:///usr/share/doc/gcc-12/README.Bugs'
	 --enable-languages=vhdl
	 --prefix=/usr/lib/ghdl/gcc
	 --with-gcc-major-version-only
	 --program-suffix=-12
	 --program-prefix=arm-linux-gnueabihf-
	 --enable-shared
	 --enable-linker-build-id
	 --libexecdir=/usr/lib/ghdl/gcc/lib
	 --without-included-gettext
	 --enable-threads=posix
	 --libdir=/usr/lib/ghdl/gcc/lib
	 --enable-nls
	 --disable-bootstrap
	 --enable-clocale=gnu
	 --enable-libstdcxx-time=yes
	 --with-default-libstdcxx-abi=new
	 --enable-gnu-unique-object
	 --disable-libssp
	 --disable-libgomp
	 --disable-libitm
	 --disable-libatomic
	 --disable-libsanitizer
	 --disable-libquadmath
	 --disable-libquadmath-support
	 --enable-plugin
	 --with-system-zlib
	 --enable-multiarch
	 --disable-sjlj-exceptions
	 --with-arch=armv6+fp
	 --with-float=hard
	 --disable-werror
	 --enable-checking=release
	 --build=arm-linux-gnueabihf
	 --host=arm-linux-gnueabihf
	 --target=arm-linux-gnueabihf
	
Using shell /bin/bash -e		
Architecture: armhf (GNU: arm-linux-gnueabihf)
CPPFLAGS: 
CFLAGS: 
LDFLAGS: 
BOOT_CFLAGS: 
DEBIAN_BUILDARCH: 
Install prefix: /usr/lib/ghdl/gcc
Will not build the biarch compilers
Will not build the C++ compiler: 
Will not build the ObjC compiler: 
Will not build the Obj-C++ compiler: 
Will not build the Fortran 95 compiler: 
Will not build the Ada compiler: 
Will not build the Go compiler: 
Will not build the D compiler: 
Will not build the Modula-2 compiler: 
Will build without SSP support: 
Will not run the testsuite: skipped for GHDL build
Will enable national language support.
-----------------------------------------------------------------------------

rm -f stamps/04-configure-stamp stamps/05-build-stamp
cat debian/README.Debian stamps/02-patch-stamp > debian/README.Debian.armhf
rm -rf /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build
mkdir /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build
: # some tools like gettext are built with a newer libstdc++
mkdir -p bin
for i in msgfmt; do \
  install -m755 debian/bin-wrapper.in bin/$i; \
done
: # configure
cd /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build \
  && PATH=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/bin:/usr/lib/arm-linux-gnueabihf/gcc/bin:$PATH \
	CC="arm-linux-gnueabihf-gcc-12" CXX="arm-linux-gnueabihf-g++-12"            LDFLAGS_FOR_TARGET="-Wl,-z,relro" \
	  \
	../src/configure -v --with-pkgversion='Raspbian 12.2.0-14+rpi1' --with-bugurl='file:///usr/share/doc/gcc-12/README.Bugs' --enable-languages=vhdl --prefix=/usr/lib/ghdl/gcc --with-gcc-major-version-only  --program-suffix=-12 --program-prefix=arm-linux-gnueabihf- --enable-shared --enable-linker-build-id  --libexecdir=/usr/lib/ghdl/gcc/lib --without-included-gettext --enable-threads=posix --libdir=/usr/lib/ghdl/gcc/lib --enable-nls --disable-bootstrap --enable-clocale=gnu --enable-libstdcxx-time=yes --with-default-libstdcxx-abi=new --enable-gnu-unique-object --disable-libssp --disable-libgomp --disable-libitm --disable-libatomic --disable-libsanitizer --disable-libquadmath --disable-libquadmath-support --enable-plugin --with-system-zlib --enable-multiarch --disable-sjlj-exceptions --with-arch=armv6+fp --with-float=hard --disable-werror --enable-checking=release --build=arm-linux-gnueabihf --host=arm-linux-gnueabihf --target=arm-linux-gnueabihf
checking build system type... arm-unknown-linux-gnueabihf
checking host system type... arm-unknown-linux-gnueabihf
checking target system type... arm-unknown-linux-gnueabihf
checking for a BSD-compatible install... /usr/bin/install -c
checking whether ln works... yes
checking whether ln -s works... yes
checking for a sed that does not truncate output... /bin/sed
checking for gawk... gawk
checking for libvtv support... yes
checking for libphobos support... yes
checking for arm-linux-gnueabihf-gcc... arm-linux-gnueabihf-gcc-12
checking whether the C compiler works... yes
checking for C compiler default output file name... a.out
checking for suffix of executables... 
checking whether we are cross compiling... no
checking for suffix of object files... o
checking whether we are using the GNU C compiler... yes
checking whether arm-linux-gnueabihf-gcc-12 accepts -g... yes
checking for arm-linux-gnueabihf-gcc-12 option to accept ISO C89... none needed
checking for arm-linux-gnueabihf-gcc-12 option to accept ISO C99... none needed
checking whether we are using the GNU C++ compiler... yes
checking whether arm-linux-gnueabihf-g++-12 accepts -g... yes
checking whether g++ accepts -static-libstdc++ -static-libgcc... yes
checking for arm-linux-gnueabihf-gnatbind... arm-linux-gnueabihf-gnatbind
checking for arm-linux-gnueabihf-gnatmake... gnatmake -v -j4 -R -eS 
checking whether compiler driver understands Ada... yes
checking for arm-linux-gnueabihf-gdc... no
checking for gdc... no
checking whether the D compiler works... no
checking how to compare bootstrapped objects... cmp --ignore-initial=16 $$f1 $$f2
checking whether arm-linux-gnueabihf-g++-12 supports C++11 features by default... yes
checking for objdir... .libs
checking for the correct version of gmp.h... yes
checking for the correct version of mpfr.h... yes
checking for the correct version of mpc.h... yes
checking for the correct version of the gmp/mpfr/mpc libraries... yes
checking for isl 0.15 or later... yes
The following languages will be built: c,lto,vhdl
*** This configuration is not supported in the following subdirectories:
     zlib target-libquadmath gnattools gotools target-libada target-libstdc++-v3 target-libphobos target-zlib target-libbacktrace target-libgfortran target-libgo target-libffi target-libgm2 target-libobjc target-libgomp target-liboffloadmic target-libatomic target-libitm target-libsanitizer target-libssp target-libvtv
    (Any other directories should still work fine.)
checking for default BUILD_CONFIG... 
checking for --enable-vtable-verify... no
checking for bison... no
checking for byacc... no
checking for yacc... no
checking for bison... no
checking for gm4... no
checking for gnum4... no
checking for m4... m4
checking for flex... no
checking for lex... no
checking for flex... no
checking for makeinfo... makeinfo
checking for expect... no
checking for runtest... no
checking for arm-linux-gnueabihf-ar... arm-linux-gnueabihf-ar
checking for arm-linux-gnueabihf-as... arm-linux-gnueabihf-as
checking for arm-linux-gnueabihf-dlltool... no
checking for dlltool... no
checking for arm-linux-gnueabihf-dsymutil... no
checking for dsymutil... dsymutil
checking for arm-linux-gnueabihf-ld... arm-linux-gnueabihf-ld
checking for arm-linux-gnueabihf-lipo... no
checking for lipo... no
checking for arm-linux-gnueabihf-nm... arm-linux-gnueabihf-nm
checking for arm-linux-gnueabihf-ranlib... arm-linux-gnueabihf-ranlib
checking for arm-linux-gnueabihf-strip... arm-linux-gnueabihf-strip
checking for arm-linux-gnueabihf-windres... no
checking for windres... no
checking for arm-linux-gnueabihf-windmc... no
checking for windmc... no
checking for arm-linux-gnueabihf-objcopy... arm-linux-gnueabihf-objcopy
checking for arm-linux-gnueabihf-objdump... arm-linux-gnueabihf-objdump
checking for arm-linux-gnueabihf-otool... no
checking for otool... no
checking for arm-linux-gnueabihf-readelf... arm-linux-gnueabihf-readelf
checking for arm-linux-gnueabihf-cc... no
checking for cc... cc
checking for arm-linux-gnueabihf-c++... no
checking for c++... c++
checking for arm-linux-gnueabihf-gcc... arm-linux-gnueabihf-gcc
checking for arm-linux-gnueabihf-gfortran... no
checking for gfortran... no
checking for arm-linux-gnueabihf-gccgo... no
checking for gccgo... no
checking for arm-linux-gnueabihf-gdc... no
checking for gdc... no
checking for arm-linux-gnueabihf-gm2... no
checking for gm2... no
checking for ar... no
checking for arm-linux-gnueabihf-ar... arm-linux-gnueabihf-ar
checking for as... no
checking for arm-linux-gnueabihf-as... arm-linux-gnueabihf-as
checking for dlltool... no
checking for arm-linux-gnueabihf-dlltool... no
checking for dlltool... no
checking for dsymutil... no
checking for arm-linux-gnueabihf-dsymutil... no
checking for dsymutil... dsymutil
checking for ld... no
checking for arm-linux-gnueabihf-ld... arm-linux-gnueabihf-ld
checking for lipo... no
checking for arm-linux-gnueabihf-lipo... no
checking for lipo... no
checking for nm... no
checking for arm-linux-gnueabihf-nm... arm-linux-gnueabihf-nm
checking for objcopy... no
checking for arm-linux-gnueabihf-objcopy... arm-linux-gnueabihf-objcopy
checking for objdump... no
checking for arm-linux-gnueabihf-objdump... arm-linux-gnueabihf-objdump
checking for otool... no
checking for arm-linux-gnueabihf-otool... no
checking for otool... no
checking for ranlib... no
checking for arm-linux-gnueabihf-ranlib... arm-linux-gnueabihf-ranlib
checking for readelf... no
checking for arm-linux-gnueabihf-readelf... arm-linux-gnueabihf-readelf
checking for strip... no
checking for arm-linux-gnueabihf-strip... arm-linux-gnueabihf-strip
checking for windres... no
checking for arm-linux-gnueabihf-windres... no
checking for windres... no
checking for windmc... no
checking for arm-linux-gnueabihf-windmc... no
checking for windmc... no
checking where to find the target ar... host tool
checking where to find the target as... host tool
checking where to find the target cc... just compiled
checking where to find the target c++... host tool
checking where to find the target c++ for libstdc++... host tool
checking where to find the target dlltool... host tool
checking where to find the target dsymutil... host tool
checking where to find the target gcc... just compiled
checking where to find the target gfortran... host tool
checking where to find the target gccgo... host tool
checking where to find the target gdc... host tool
checking where to find the target gm2... host tool
checking where to find the target ld... host tool
checking where to find the target lipo... host tool
checking where to find the target nm... host tool
checking where to find the target objcopy... host tool
checking where to find the target objdump... host tool
checking where to find the target otool... host tool
checking where to find the target ranlib... host tool
checking where to find the target readelf... host tool
checking where to find the target strip... host tool
checking where to find the target windres... host tool
checking where to find the target windmc... host tool
checking whether to enable maintainer-specific portions of Makefiles... no
configure: creating ./config.status
config.status: creating Makefile
: # multilib builds without b-d on gcc-multilib (used in FLAGS_FOR_TARGET)
if [ -d /usr/include/arm-linux-gnueabihf/asm ]; then \
  mkdir -p /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include; \
  ln -sf /usr/include/arm-linux-gnueabihf/asm /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include/asm; \
fi
touch stamps/04-configure-stamp
make[2]: Leaving directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc'
touch /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/stamps/configure-gcc
dh override_dh_auto_configure
make[1]: Leaving directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg'
   debian/rules override_dh_auto_build
make[1]: Entering directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg'
dh_testdir

------------------------------------------------------------
Building with llvm backend
------------------------------------------------------------
/usr/bin/make -C /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm
make[2]: Entering directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm'
VER_DESC=tarball; \
VER_REF=unknown; \
VER_HASH=unknown; \
if test -d ../../.git && desc=`cd ../..; git describe --dirty --long`; then \
  VER_DESC=`echo $desc | sed -e 's/\([^-]*-g\)/r\1/' -e 's/-/./g' -e 's/^v//g'`; \
  VER_REF=`cd ../..; git rev-parse --abbrev-ref HEAD`; \
  VER_HASH=`cd ../..; git rev-parse HEAD`; \
fi; \
sed \
  -e "s#@VER@#2.0.0#" \
  -e "s#@DESC@#${GHDL_VER_DESC:-$VER_DESC}#" \
  -e "s#@REF@#${GHDL_VER_REF:-$VER_REF}#" \
  -e "s#@HASH@#${GHDL_VER_HASH:-$VER_HASH}#" \
  < ../../src/version.in > version.tmp;
if [ ! -r version.ads ] || ! cmp version.tmp version.ads > /dev/null; then cp version.tmp version.ads; fi
gcc-12 -c  -g -Wall -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -o grt-cstdio.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt-cstdio.c
make -f ../../src/ortho/llvm6/Makefile \
 ortho_srcdir=../../src/ortho ortho_exec=ghdl1-llvm \
 GNATFLAGS="-aI../../src -aI../../src/vhdl -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/ghdldrv -aI../../src/ortho -aI../../src/ortho/llvm6 -aI../../src/synth -gnat12 -gnaty3befhkmr -g  -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata" \
 GNATLFLAGS="grt-cstdio.o" \
 LDFLAGS="-Wl,-z,relro -Wl,-z,now" \
 LLVM_CONFIG="llvm-config" CXX="g++-12" \
 CXXFLAGS=" -g -Wall -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong" \
 GNATMAKE="gnatmake -v -j4 -R -eS " all
make[3]: Entering directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm'
g++-12 -c `llvm-config --cxxflags` -g -Wall -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -o llvm-cbindings.o ../../src/ortho/llvm6/llvm-cbindings.cpp
In file included from /usr/include/c++/12/cassert:44,
                 from /usr/lib/llvm-14/include/llvm/Support/FileSystem.h:39,
                 from ../../src/ortho/llvm6/llvm-cbindings.cpp:47:
../../src/ortho/llvm6/llvm-cbindings.cpp: In function 'void finish_record_type(OElementList*, OTnodeBase**)':
../../src/ortho/llvm6/llvm-cbindings.cpp:751:12: warning: comparison of integer expressions of different signedness: 'int' and 'unsigned int' [-Wsign-compare]
  751 |   assert(i == Els->BndCount);
      |          ~~^~~~~~~~~~~~~~~~
../../src/ortho/llvm6/llvm-cbindings.cpp: In function 'void finish_declare_stmt()':
../../src/ortho/llvm6/llvm-cbindings.cpp:1696:20: warning: 'LLVMOpaqueValue* LLVMBuildCall(LLVMBuilderRef, LLVMValueRef, LLVMOpaqueValue**, unsigned int, const char*)' is deprecated [-Wdeprecated-declarations]
 1696 |       LLVMBuildCall(Builder, StackRestoreFun,
      |       ~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~
 1697 |                     &CurrentDeclareBlock->StackValue, 1, "");
      |                     ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
In file included from /usr/lib/llvm-14/include/llvm-c/Core.h:18,
                 from ../../src/ortho/llvm6/llvm-cbindings.cpp:30:
/usr/lib/llvm-14/include/llvm-c/Core.h:3992:18: note: declared here
 3992 |     LLVMValueRef LLVMBuildCall(LLVMBuilderRef, LLVMValueRef Fn,
      |                  ^~~~~~~~~~~~~
/usr/lib/llvm-14/include/llvm-c/Deprecated.h:29:3: note: in definition of macro 'LLVM_ATTRIBUTE_C_DEPRECATED'
   29 |   decl __attribute__((deprecated))
      |   ^~~~
../../src/ortho/llvm6/llvm-cbindings.cpp: In function 'OEnode new_function_call(OAssocList*)':
../../src/ortho/llvm6/llvm-cbindings.cpp:2087:24: warning: 'LLVMOpaqueValue* LLVMBuildCall(LLVMBuilderRef, LLVMValueRef, LLVMOpaqueValue**, unsigned int, const char*)' is deprecated [-Wdeprecated-declarations]
 2087 |     Res = LLVMBuildCall(Builder, Assocs->Subprg->Ref,
      |           ~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
 2088 |                         Assocs->Vals, Assocs->Subprg->Inters.size(), "");
      |                         ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
/usr/lib/llvm-14/include/llvm-c/Core.h:3992:18: note: declared here
 3992 |     LLVMValueRef LLVMBuildCall(LLVMBuilderRef, LLVMValueRef Fn,
      |                  ^~~~~~~~~~~~~
/usr/lib/llvm-14/include/llvm-c/Deprecated.h:29:3: note: in definition of macro 'LLVM_ATTRIBUTE_C_DEPRECATED'
   29 |   decl __attribute__((deprecated))
      |   ^~~~
../../src/ortho/llvm6/llvm-cbindings.cpp: In function 'void new_procedure_call(OAssocList*)':
../../src/ortho/llvm6/llvm-cbindings.cpp:2100:18: warning: 'LLVMOpaqueValue* LLVMBuildCall(LLVMBuilderRef, LLVMValueRef, LLVMOpaqueValue**, unsigned int, const char*)' is deprecated [-Wdeprecated-declarations]
 2100 |     LLVMBuildCall(Builder, Assocs->Subprg->Ref,
      |     ~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
 2101 |                   Assocs->Vals, Assocs->Subprg->Inters.size(), "");
      |                   ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
/usr/lib/llvm-14/include/llvm-c/Core.h:3992:18: note: declared here
 3992 |     LLVMValueRef LLVMBuildCall(LLVMBuilderRef, LLVMValueRef Fn,
      |                  ^~~~~~~~~~~~~
/usr/lib/llvm-14/include/llvm-c/Deprecated.h:29:3: note: in definition of macro 'LLVM_ATTRIBUTE_C_DEPRECATED'
   29 |   decl __attribute__((deprecated))
      |   ^~~~
../../src/ortho/llvm6/llvm-cbindings.cpp: In function 'OEnode new_convert(OEnode, OTnode)':
../../src/ortho/llvm6/llvm-cbindings.cpp:2471:26: warning: 'LLVMOpaqueValue* LLVMBuildCall(LLVMBuilderRef, LLVMValueRef, LLVMOpaqueValue**, unsigned int, const char*)' is deprecated [-Wdeprecated-declarations]
 2471 |         V = LLVMBuildCall(Builder, CopySignFun, Args, 2, "");
      |             ~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
/usr/lib/llvm-14/include/llvm-c/Core.h:3992:18: note: declared here
 3992 |     LLVMValueRef LLVMBuildCall(LLVMBuilderRef, LLVMValueRef Fn,
      |                  ^~~~~~~~~~~~~
/usr/lib/llvm-14/include/llvm-c/Deprecated.h:29:3: note: in definition of macro 'LLVM_ATTRIBUTE_C_DEPRECATED'
   29 |   decl __attribute__((deprecated))
      |   ^~~~
../../src/ortho/llvm6/llvm-cbindings.cpp: In function 'OEnode new_alloca(OTnode, OEnode)':
../../src/ortho/llvm6/llvm-cbindings.cpp:2532:22: warning: 'LLVMOpaqueValue* LLVMBuildCall(LLVMBuilderRef, LLVMValueRef, LLVMOpaqueValue**, unsigned int, const char*)' is deprecated [-Wdeprecated-declarations]
 2532 |         LLVMBuildCall(Bld, StackSaveFun, nullptr, 0, "");
      |         ~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
/usr/lib/llvm-14/include/llvm-c/Core.h:3992:18: note: declared here
 3992 |     LLVMValueRef LLVMBuildCall(LLVMBuilderRef, LLVMValueRef Fn,
      |                  ^~~~~~~~~~~~~
/usr/lib/llvm-14/include/llvm-c/Deprecated.h:29:3: note: in definition of macro 'LLVM_ATTRIBUTE_C_DEPRECATED'
   29 |   decl __attribute__((deprecated))
      |   ^~~~
../../src/ortho/llvm6/llvm-cbindings.cpp: In function 'OGnode new_global_selected_element(OGnode, OFnodeBase*)':
../../src/ortho/llvm6/llvm-cbindings.cpp:2570:25: warning: 'LLVMOpaqueValue* LLVMConstGEP(LLVMValueRef, LLVMOpaqueValue**, unsigned int)' is deprecated [-Wdeprecated-declarations]
 2570 |       Res = LLVMConstGEP(Rec.Ref, Idx, 2);
      |             ~~~~~~~~~~~~^~~~~~~~~~~~~~~~~
/usr/lib/llvm-14/include/llvm-c/Core.h:2157:18: note: declared here
 2157 |     LLVMValueRef LLVMConstGEP(LLVMValueRef ConstantVal,
      |                  ^~~~~~~~~~~~
/usr/lib/llvm-14/include/llvm-c/Deprecated.h:29:3: note: in definition of macro 'LLVM_ATTRIBUTE_C_DEPRECATED'
   29 |   decl __attribute__((deprecated))
      |   ^~~~
../../src/ortho/llvm6/llvm-cbindings.cpp: In function 'OEnode new_value(OLnode*)':
../../src/ortho/llvm6/llvm-cbindings.cpp:2632:26: warning: 'LLVMOpaqueValue* LLVMBuildLoad(LLVMBuilderRef, LLVMValueRef, const char*)' is deprecated [-Wdeprecated-declarations]
 2632 |       Res = LLVMBuildLoad(Builder, Lvalue->Ref, "");
      |             ~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~
/usr/lib/llvm-14/include/llvm-c/Core.h:3892:18: note: declared here
 3892 |     LLVMValueRef LLVMBuildLoad(LLVMBuilderRef, LLVMValueRef PointerVal,
      |                  ^~~~~~~~~~~~~
/usr/lib/llvm-14/include/llvm-c/Deprecated.h:29:3: note: in definition of macro 'LLVM_ATTRIBUTE_C_DEPRECATED'
   29 |   decl __attribute__((deprecated))
      |   ^~~~
../../src/ortho/llvm6/llvm-cbindings.cpp: In function 'OLnode new_indexed_element(OLnode*, OEnode)':
../../src/ortho/llvm6/llvm-cbindings.cpp:2655:23: warning: 'LLVMOpaqueValue* LLVMBuildGEP(LLVMBuilderRef, LLVMValueRef, LLVMOpaqueValue**, unsigned int, const char*)' is deprecated [-Wdeprecated-declarations]
 2655 |     Res = LLVMBuildGEP(Builder, Arr->Ref, Idx, 2, "");
      |           ~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
/usr/lib/llvm-14/include/llvm-c/Core.h:3899:18: note: declared here
 3899 |     LLVMValueRef LLVMBuildGEP(LLVMBuilderRef B, LLVMValueRef Pointer,
      |                  ^~~~~~~~~~~~
/usr/lib/llvm-14/include/llvm-c/Deprecated.h:29:3: note: in definition of macro 'LLVM_ATTRIBUTE_C_DEPRECATED'
   29 |   decl __attribute__((deprecated))
      |   ^~~~
../../src/ortho/llvm6/llvm-cbindings.cpp: In function 'OLnode new_slice(OLnode*, OTnode, OEnode)':
../../src/ortho/llvm6/llvm-cbindings.cpp:2671:23: warning: 'LLVMOpaqueValue* LLVMBuildGEP(LLVMBuilderRef, LLVMValueRef, LLVMOpaqueValue**, unsigned int, const char*)' is deprecated [-Wdeprecated-declarations]
 2671 |     Res = LLVMBuildGEP(Builder, Arr->Ref, Idx, 2, "");
      |           ~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
/usr/lib/llvm-14/include/llvm-c/Core.h:3899:18: note: declared here
 3899 |     LLVMValueRef LLVMBuildGEP(LLVMBuilderRef B, LLVMValueRef Pointer,
      |                  ^~~~~~~~~~~~
/usr/lib/llvm-14/include/llvm-c/Deprecated.h:29:3: note: in definition of macro 'LLVM_ATTRIBUTE_C_DEPRECATED'
   29 |   decl __attribute__((deprecated))
      |   ^~~~
../../src/ortho/llvm6/llvm-cbindings.cpp: In function 'OLnode new_selected_element(OLnode*, OFnodeBase*)':
../../src/ortho/llvm6/llvm-cbindings.cpp:2692:27: warning: 'LLVMOpaqueValue* LLVMBuildGEP(LLVMBuilderRef, LLVMValueRef, LLVMOpaqueValue**, unsigned int, const char*)' is deprecated [-Wdeprecated-declarations]
 2692 |         Res = LLVMBuildGEP(Builder, Rec->Ref, Idx, 2, "");
      |               ~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
/usr/lib/llvm-14/include/llvm-c/Core.h:3899:18: note: declared here
 3899 |     LLVMValueRef LLVMBuildGEP(LLVMBuilderRef B, LLVMValueRef Pointer,
      |                  ^~~~~~~~~~~~
/usr/lib/llvm-14/include/llvm-c/Deprecated.h:29:3: note: in definition of macro 'LLVM_ATTRIBUTE_C_DEPRECATED'
   29 |   decl __attribute__((deprecated))
      |   ^~~~
../../src/ortho/llvm6/llvm-cbindings.cpp: In function 'OLnode new_access_element(OEnode)':
../../src/ortho/llvm6/llvm-cbindings.cpp:2720:25: warning: 'LLVMOpaqueValue* LLVMBuildGEP(LLVMBuilderRef, LLVMValueRef, LLVMOpaqueValue**, unsigned int, const char*)' is deprecated [-Wdeprecated-declarations]
 2720 |       Res = LLVMBuildGEP(Builder, Acc.Ref, Idx, 2, "");
      |             ~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
/usr/lib/llvm-14/include/llvm-c/Core.h:3899:18: note: declared here
 3899 |     LLVMValueRef LLVMBuildGEP(LLVMBuilderRef B, LLVMValueRef Pointer,
      |                  ^~~~~~~~~~~~
/usr/lib/llvm-14/include/llvm-c/Deprecated.h:29:3: note: in definition of macro 'LLVM_ATTRIBUTE_C_DEPRECATED'
   29 |   decl __attribute__((deprecated))
      |   ^~~~
../../src/ortho/llvm6/llvm-cbindings.cpp: In function 'void new_var_decl(ODnodeBase**, OIdent, OStorage, OTnode)':
../../src/ortho/llvm6/llvm-cbindings.cpp:1267:9: note: parameter passing for argument of type 'llvm::ArrayRef<long long unsigned int>' changed in GCC 7.1
 1266 |       DBuilder->insertDeclare
      |       ~~~~~~~~~~~~~~~~~~~~~~~
 1267 |         (unwrap(Decl), D, DBuilder->createExpression(),
      |         ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
 1268 |          DILocation::get(DebugCurrentScope->getContext(), DebugCurrentLine, 0, DebugCurrentScope),
      |          ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
 1269 |          unwrap(LLVMGetInsertBlock(DeclBuilder)));
      |          ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
../../src/ortho/llvm6/llvm-cbindings.cpp: In function 'OGnode new_global_selected_element(OGnode, OFnodeBase*)':
../../src/ortho/llvm6/llvm-cbindings.cpp:2577:25: warning: 'Res' may be used uninitialized [-Wmaybe-uninitialized]
 2577 |   return {Res, El->FType};
      |                         ^
../../src/ortho/llvm6/llvm-cbindings.cpp:2561:16: note: 'Res' was declared here
 2561 |   LLVMValueRef Res;
      |                ^~~
../../src/ortho/llvm6/llvm-cbindings.cpp: In function 'OLnode new_selected_element(OLnode*, OFnodeBase*)':
../../src/ortho/llvm6/llvm-cbindings.cpp:2701:34: warning: 'Res' may be used uninitialized [-Wmaybe-uninitialized]
 2701 |   return { false, Res, El->FType };
      |                                  ^
../../src/ortho/llvm6/llvm-cbindings.cpp:2680:16: note: 'Res' was declared here
 2680 |   LLVMValueRef Res;
      |                ^~~
../../src/ortho/llvm6/llvm-cbindings.cpp: In function 'void start_subprogram_body(ODnodeSubprg*)':
../../src/ortho/llvm6/llvm-cbindings.cpp:1625:9: note: parameter passing for argument of type 'llvm::ArrayRef<long long unsigned int>' changed in GCC 7.1
 1624 |       DBuilder->insertDeclare
      |       ~~~~~~~~~~~~~~~~~~~~~~~
 1625 |         (unwrap(Var), D, DBuilder->createExpression(),
      |         ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
 1626 |          DILocation::get(DebugCurrentSubprg->getContext(), DebugCurrentLine, 0, DebugCurrentSubprg),
      |          ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
 1627 |          unwrap(LLVMGetInsertBlock(DeclBuilder)));
      |          ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
gnatmake -v -j4 -R -eS  -o ghdl1-llvm -aI../../src/ortho/llvm6 -aI../../src/ortho \
-aI../../src -aI../../src/vhdl -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/ghdldrv -aI../../src/ortho -aI../../src/ortho/llvm6 -aI../../src/synth -gnat12 -gnaty3befhkmr -g  -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata ortho_code_main -bargs -E \
-largs llvm-cbindings.o grt-cstdio.o --LINK=g++-12 \
`llvm-config --ldflags --libs --system-libs` -Wl,-z,relro -Wl,-z,now

GNATMAKE 12.2.0
Copyright (C) 1992-2022, Free Software Foundation, Inc.
  -> "ghdl1-llvm" final executable
  "ortho_code_main.ali" being checked ...
  -> "ortho_code_main.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/ortho/llvm6/ortho_code_main.adb
  "ortho_front.ali" being checked ...
  -> "ortho_front.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/translate/ortho_front.adb
  "ortho_llvm.ali" being checked ...
  -> "ortho_llvm.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/ortho/llvm6/ortho_llvm.adb
  "ortho_ident.ali" being checked ...
  -> "ortho_ident.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/ortho/llvm6/ortho_ident.adb
  "bug.ali" being checked ...
  -> "bug.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/bug.adb
  "dyn_interning.ali" being checked ...
  -> "dyn_interning.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/dyn_interning.adb
  "dyn_maps.ali" being checked ...
  -> "dyn_maps.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/dyn_maps.adb
  "dyn_tables.ali" being checked ...
  -> "dyn_tables.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/dyn_tables.adb
  "errorout.ali" being checked ...
  -> "errorout.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/errorout.adb
  "errorout-console.ali" being checked ...
  -> "errorout-console.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/errorout-console.adb
  "flags.ali" being checked ...
  -> "flags.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/flags.adb
  "hash.ali" being checked ...
  -> "hash.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/hash.adb
  "interning.ali" being checked ...
  -> "interning.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/interning.adb
  "libraries.ali" being checked ...
  -> "libraries.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/libraries.adb
  "name_table.ali" being checked ...
  -> "name_table.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/name_table.adb
  "options.ali" being checked ...
  -> "options.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/options.adb
  "trans_be.ali" being checked ...
  -> "trans_be.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/translate/trans_be.adb
  "translation.ali" being checked ...
  -> "translation.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/translate/translation.adb
  "types.ali" being checked ...
  -> "types.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/types.ads
  "vhdl.ali" being checked ...
  -> "vhdl.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl.ads
  "vhdl-configuration.ali" being checked ...
  -> "vhdl-configuration.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-configuration.adb
  "vhdl-errors.ali" being checked ...
  -> "vhdl-errors.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-errors.adb
  "vhdl-nodes.ali" being checked ...
  -> "vhdl-nodes.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-nodes.adb
  "vhdl-sem.ali" being checked ...
  -> "vhdl-sem.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem.adb
  "vhdl-sem_lib.ali" being checked ...
  -> "vhdl-sem_lib.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_lib.adb
  "vhdl-std_package.ali" being checked ...
  -> "vhdl-std_package.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-std_package.adb
  "vhdl-utils.ali" being checked ...
  -> "vhdl-utils.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-utils.adb
  "simple_io.ali" being checked ...
  -> "simple_io.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/simple_io.adb
  "version.ali" being checked ...
  -> "version.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata version.ads
  "files_map.ali" being checked ...
  -> "files_map.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/files_map.adb
  "str_table.ali" being checked ...
  -> "str_table.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/str_table.adb
  "vhdl-back_end.ali" being checked ...
  -> "vhdl-back_end.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-back_end.ads
  "psl.ali" being checked ...
  -> "psl.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/psl/psl.ads
  "psl-dump_tree.ali" being checked ...
  -> "psl-dump_tree.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/psl/psl-dump_tree.adb
  "psl-nodes.ali" being checked ...
  -> "psl-nodes.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/psl/psl-nodes.adb
  "std_names.ali" being checked ...
  -> "std_names.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/std_names.adb
  "vhdl-disp_tree.ali" being checked ...
  -> "vhdl-disp_tree.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-disp_tree.adb
  "vhdl-lists.ali" being checked ...
  -> "vhdl-lists.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-lists.ads
  "vhdl-parse.ali" being checked ...
  -> "vhdl-parse.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-parse.adb
  "vhdl-scanner.ali" being checked ...
  -> "vhdl-scanner.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-scanner.adb
  "logging.ali" being checked ...
  -> "logging.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/logging.adb
  "tables.ali" being checked ...
  -> "tables.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/tables.adb
  "vhdl-tokens.ali" being checked ...
  -> "vhdl-tokens.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-tokens.adb
  "vhdl-canon.ali" being checked ...
  -> "vhdl-canon.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-canon.adb
  "vhdl-evaluation.ali" being checked ...
  -> "vhdl-evaluation.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-evaluation.adb
  "vhdl-nodes_walk.ali" being checked ...
  -> "vhdl-nodes_walk.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-nodes_walk.adb
  "vhdl-sem_scopes.ali" being checked ...
  -> "vhdl-sem_scopes.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_scopes.adb
  "ortho_nodes.ali" being checked ...
  -> "ortho_nodes.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/ortho/llvm6/ortho_nodes.ads
  "trans.ali" being checked ...
  -> "trans.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/translate/trans.adb
  "trans-chap1.ali" being checked ...
  -> "trans-chap1.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/translate/trans-chap1.adb
  "trans-chap12.ali" being checked ...
  -> "trans-chap12.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/translate/trans-chap12.adb
  "trans-chap2.ali" being checked ...
  -> "trans-chap2.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/translate/trans-chap2.adb
  "trans-chap3.ali" being checked ...
  -> "trans-chap3.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/translate/trans-chap3.adb
  "trans-chap4.ali" being checked ...
  -> "trans-chap4.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/translate/trans-chap4.adb
  "trans-chap7.ali" being checked ...
  -> "trans-chap7.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/translate/trans-chap7.adb
  "trans-helpers2.ali" being checked ...
  -> "trans-helpers2.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/translate/trans-helpers2.adb
  "trans-rtis.ali" being checked ...
  -> "trans-rtis.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/translate/trans-rtis.adb
trans-rtis.adb:24:06: warning: unnecessary with of ancestor [-gnatwr]
  "trans_decls.ali" being checked ...
  -> "trans_decls.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/translate/trans_decls.ads
  "vhdl-sem_specs.ali" being checked ...
  -> "vhdl-sem_specs.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_specs.adb
  "vhdl-nodes_gc.ali" being checked ...
  -> "vhdl-nodes_gc.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-nodes_gc.adb
  "vhdl-post_sems.ali" being checked ...
  -> "vhdl-post_sems.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-post_sems.adb
  "vhdl-prints.ali" being checked ...
  -> "vhdl-prints.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-prints.adb
  "vhdl-elocations.ali" being checked ...
  -> "vhdl-elocations.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-elocations.adb
  "vhdl-ieee.ali" being checked ...
  -> "vhdl-ieee.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-ieee.adb
  "vhdl-ieee-std_logic_1164.ali" being checked ...
  -> "vhdl-ieee-std_logic_1164.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-ieee-std_logic_1164.adb
  "vhdl-nodes_utils.ali" being checked ...
  -> "vhdl-nodes_utils.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-nodes_utils.adb
  "vhdl-sem_assocs.ali" being checked ...
  -> "vhdl-sem_assocs.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_assocs.adb
  "vhdl-sem_decls.ali" being checked ...
  -> "vhdl-sem_decls.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_decls.adb
  "vhdl-sem_expr.ali" being checked ...
  -> "vhdl-sem_expr.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_expr.adb
  "vhdl-sem_inst.ali" being checked ...
  -> "vhdl-sem_inst.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_inst.adb
  "vhdl-sem_names.ali" being checked ...
  -> "vhdl-sem_names.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_names.adb
  "vhdl-sem_psl.ali" being checked ...
  -> "vhdl-sem_psl.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_psl.adb
  "vhdl-sem_stmts.ali" being checked ...
  -> "vhdl-sem_stmts.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_stmts.adb
  "vhdl-sem_utils.ali" being checked ...
  -> "vhdl-sem_utils.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_utils.adb
  "vhdl-xrefs.ali" being checked ...
  -> "vhdl-xrefs.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-xrefs.adb
  "psl-types.ali" being checked ...
  -> "psl-types.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/psl/psl-types.ads
  "psl-errors.ali" being checked ...
  -> "psl-errors.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/psl/psl-errors.adb
  "psl-nodes_meta.ali" being checked ...
  -> "psl-nodes_meta.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/psl/psl-nodes_meta.adb
  "psl-hash.ali" being checked ...
  -> "psl-hash.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/psl/psl-hash.adb
  "vhdl-types.ali" being checked ...
  -> "vhdl-types.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-types.ads
  "lists.ali" being checked ...
  -> "lists.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/lists.adb
  "vhdl-nodes_meta.ali" being checked ...
  -> "vhdl-nodes_meta.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-nodes_meta.adb
  "grt.ali" being checked ...
  -> "grt.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt.ads
  "grt-fcvt.ali" being checked ...
  -> "grt-fcvt.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-fcvt.adb
  "psl-build.ali" being checked ...
  -> "psl-build.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/psl/psl-build.adb
  "psl-nfas.ali" being checked ...
  -> "psl-nfas.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/psl/psl-nfas.adb
  "psl-nfas-utils.ali" being checked ...
  -> "psl-nfas-utils.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/psl/psl-nfas-utils.adb
  "psl-rewrites.ali" being checked ...
  -> "psl-rewrites.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/psl/psl-rewrites.adb
  "vhdl-canon_psl.ali" being checked ...
  -> "vhdl-canon_psl.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-canon_psl.adb
  "vhdl-parse_psl.ali" being checked ...
  -> "vhdl-parse_psl.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-parse_psl.adb
  "vhdl-nodes_priv.ali" being checked ...
  -> "vhdl-nodes_priv.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-nodes_priv.ads
  "vhdl-flists.ali" being checked ...
  -> "vhdl-flists.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-flists.ads
  "grt-to_strings.ali" being checked ...
  -> "grt-to_strings.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-to_strings.adb
  "grt-types.ali" being checked ...
  -> "grt-types.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-types.ads
  "trans-chap6.ali" being checked ...
  -> "trans-chap6.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/translate/trans-chap6.adb
  "trans-chap5.ali" being checked ...
  -> "trans-chap5.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/translate/trans-chap5.adb
  "trans-chap9.ali" being checked ...
  -> "trans-chap9.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/translate/trans-chap9.adb
  "trans-chap8.ali" being checked ...
  -> "trans-chap8.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/translate/trans-chap8.adb
  "trans-foreach_non_composite.ali" being checked ...
  -> "trans-foreach_non_composite.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/translate/trans-foreach_non_composite.adb
  "trans-chap14.ali" being checked ...
  -> "trans-chap14.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/translate/trans-chap14.adb
  "vhdl-ieee-math_real.ali" being checked ...
  -> "vhdl-ieee-math_real.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-ieee-math_real.adb
  "vhdl-ieee-numeric.ali" being checked ...
  -> "vhdl-ieee-numeric.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-ieee-numeric.adb
  "vhdl-ieee-numeric_std_unsigned.ali" being checked ...
  -> "vhdl-ieee-numeric_std_unsigned.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-ieee-numeric_std_unsigned.adb
  "vhdl-ieee-std_logic_arith.ali" being checked ...
  -> "vhdl-ieee-std_logic_arith.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-ieee-std_logic_arith.adb
  "vhdl-ieee-std_logic_misc.ali" being checked ...
  -> "vhdl-ieee-std_logic_misc.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-ieee-std_logic_misc.adb
  "vhdl-ieee-std_logic_unsigned.ali" being checked ...
  -> "vhdl-ieee-std_logic_unsigned.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-ieee-std_logic_unsigned.adb
  "vhdl-ieee-vital_timing.ali" being checked ...
  -> "vhdl-ieee-vital_timing.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-ieee-vital_timing.adb
  "vhdl-elocations_meta.ali" being checked ...
  -> "vhdl-elocations_meta.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-elocations_meta.adb
  "vhdl-sem_types.ali" being checked ...
  -> "vhdl-sem_types.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_types.adb
  "psl-subsets.ali" being checked ...
  -> "psl-subsets.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/psl/psl-subsets.adb
  "psl-prints.ali" being checked ...
  -> "psl-prints.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/psl/psl-prints.adb
  "psl-priorities.ali" being checked ...
  -> "psl-priorities.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/psl/psl-priorities.ads
  "grt-algos.ali" being checked ...
  -> "grt-algos.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-algos.adb
  "psl-nodes_priv.ali" being checked ...
  -> "psl-nodes_priv.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/psl/psl-nodes_priv.ads
  "psl-cse.ali" being checked ...
  -> "psl-cse.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/psl/psl-cse.adb
  "psl-disp_nfas.ali" being checked ...
  -> "psl-disp_nfas.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/psl/psl-disp_nfas.adb
  "psl-optimize.ali" being checked ...
  -> "psl-optimize.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/psl/psl-optimize.adb
  "psl-qm.ali" being checked ...
  -> "psl-qm.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/psl/psl-qm.adb
  "flists.ali" being checked ...
  -> "flists.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/flists.adb
  "trans_analyzes.ali" being checked ...
  -> "trans_analyzes.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/translate/trans_analyzes.adb
End of compilation
arm-linux-gnueabihf-gnatbind-12 -aI../../src/ortho/llvm6 -aI../../src/ortho -aI../../src -aI../../src/vhdl -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/ghdldrv -aI../../src/ortho -aI../../src/ortho/llvm6 -aI../../src/synth -E -x ortho_code_main.ali
arm-linux-gnueabihf-gnatlink-12 ortho_code_main.ali -o ghdl1-llvm -g -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches llvm-cbindings.o grt-cstdio.o --LINK=g++-12 -L/usr/lib/llvm-14/lib -lLLVM-14 -Wl,-z,relro -Wl,-z,now -R
make[3]: Leaving directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm'
gnatmake -v -j4 -R -eS  -aI../../src -aI../../src/vhdl -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/ghdldrv -aI../../src/ortho -aI../../src/ortho/llvm6 -aI../../src/synth \
         -aI../../src/ghdldrv -gnat12 -gnaty3befhkmr -g  -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata \
 ghdl_llvm -bargs -E \
         -largs -Wl,-z,relro -Wl,-z,now grt-cstdio.o

GNATMAKE 12.2.0
Copyright (C) 1992-2022, Free Software Foundation, Inc.
  "ghdl_llvm.ali" being checked ...
  -> "ghdl_llvm.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/ghdldrv/ghdl_llvm.adb
  "ghdldrv.ali" being checked ...
  -> "ghdldrv.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/ghdldrv/ghdldrv.adb
  "ghdllocal.ali" being checked ...
  -> "ghdllocal.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/ghdldrv/ghdllocal.adb
  "ghdlmain.ali" being checked ...
  -> "ghdlmain.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/ghdldrv/ghdlmain.adb
  "ghdlprint.ali" being checked ...
  -> "ghdlprint.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/ghdldrv/ghdlprint.adb
  "ghdlsynth_maybe.ali" being checked ...
  -> "ghdlsynth_maybe.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata ghdlsynth_maybe.ads
  "ghdlvpi.ali" being checked ...
  -> "ghdlvpi.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/ghdldrv/ghdlvpi.adb
  "ghdlxml.ali" being checked ...
  -> "ghdlxml.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/ghdldrv/ghdlxml.adb
  "bug.ali" being checked ...
  "default_paths.ali" being checked ...
  -> "default_paths.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata default_paths.ads
  "errorout.ali" being checked ...
  "errorout-console.ali" being checked ...
  "simple_io.ali" being checked ...
  "types.ali" being checked ...
  "version.ali" being checked ...
  "options.ali" being checked ...
  "ghdlsynth.ali" being checked ...
  -> "ghdlsynth.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/ghdldrv/ghdlsynth.adb
  "files_map.ali" being checked ...
  "libraries.ali" being checked ...
  "name_table.ali" being checked ...
  "vhdl.ali" being checked ...
  "vhdl-disp_tree.ali" being checked ...
  "vhdl-nodes.ali" being checked ...
  "vhdl-nodes_meta.ali" being checked ...
  "vhdl-sem_lib.ali" being checked ...
  "str_table.ali" being checked ...
  "flags.ali" being checked ...
  "psl.ali" being checked ...
  "psl-dump_tree.ali" being checked ...
  "psl-nodes.ali" being checked ...
  "std_names.ali" being checked ...
  "vhdl-back_end.ali" being checked ...
  "vhdl-errors.ali" being checked ...
  "vhdl-lists.ali" being checked ...
  "vhdl-parse.ali" being checked ...
  "vhdl-scanner.ali" being checked ...
  "dyn_tables.ali" being checked ...
  "tables.ali" being checked ...
  "vhdl-canon.ali" being checked ...
  "vhdl-elocations.ali" being checked ...
  "vhdl-formatters.ali" being checked ...
  -> "vhdl-formatters.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-formatters.adb
  "vhdl-prints.ali" being checked ...
  "vhdl-tokens.ali" being checked ...
  "vhdl-utils.ali" being checked ...
  "vhdl-xrefs.ali" being checked ...
  "logging.ali" being checked ...
  "vhdl-types.ali" being checked ...
  "vhdl-std_package.ali" being checked ...
  "psl-types.ali" being checked ...
  "vhdl-nodes_priv.ali" being checked ...
  "vhdl-flists.ali" being checked ...
  "vhdl-nodes_gc.ali" being checked ...
  "vhdl-post_sems.ali" being checked ...
  "vhdl-sem.ali" being checked ...
  "psl-errors.ali" being checked ...
  "psl-nodes_meta.ali" being checked ...
  "psl-hash.ali" being checked ...
  "lists.ali" being checked ...
  "vhdl-nodes_utils.ali" being checked ...
  "vhdl-parse_psl.ali" being checked ...
  "grt.ali" being checked ...
  "grt-fcvt.ali" being checked ...
  "psl-build.ali" being checked ...
  "psl-nfas.ali" being checked ...
  "psl-nfas-utils.ali" being checked ...
  "psl-rewrites.ali" being checked ...
  "vhdl-canon_psl.ali" being checked ...
  "vhdl-sem_inst.ali" being checked ...
  "vhdl-sem_specs.ali" being checked ...
  "vhdl-elocations_meta.ali" being checked ...
  "vhdl-configuration.ali" being checked ...
  "psl-prints.ali" being checked ...
  "psl-priorities.ali" being checked ...
  "vhdl-sem_utils.ali" being checked ...
  "psl-nodes_priv.ali" being checked ...
  "flists.ali" being checked ...
  "vhdl-ieee.ali" being checked ...
  "vhdl-ieee-math_real.ali" being checked ...
  "vhdl-ieee-numeric.ali" being checked ...
  "vhdl-ieee-numeric_std_unsigned.ali" being checked ...
  "vhdl-ieee-std_logic_1164.ali" being checked ...
  "vhdl-ieee-std_logic_arith.ali" being checked ...
  "vhdl-ieee-std_logic_misc.ali" being checked ...
  "vhdl-ieee-std_logic_unsigned.ali" being checked ...
  "vhdl-ieee-vital_timing.ali" being checked ...
  "vhdl-sem_assocs.ali" being checked ...
  "vhdl-sem_decls.ali" being checked ...
  "vhdl-sem_expr.ali" being checked ...
  "vhdl-sem_names.ali" being checked ...
  "vhdl-sem_psl.ali" being checked ...
  "vhdl-sem_scopes.ali" being checked ...
  "vhdl-sem_stmts.ali" being checked ...
  "psl-cse.ali" being checked ...
  "psl-disp_nfas.ali" being checked ...
  "psl-optimize.ali" being checked ...
  "psl-qm.ali" being checked ...
  "vhdl-evaluation.ali" being checked ...
  "vhdl-nodes_walk.ali" being checked ...
  "vhdl-sem_types.ali" being checked ...
  "grt-algos.ali" being checked ...
  "psl-subsets.ali" being checked ...
  "grt-to_strings.ali" being checked ...
  "grt-types.ali" being checked ...
  "elab.ali" being checked ...
  -> "elab.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/elab.ads
  "elab-vhdl_context.ali" being checked ...
  -> "elab-vhdl_context.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_context.adb
  "elab-vhdl_insts.ali" being checked ...
  -> "elab-vhdl_insts.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_insts.adb
  "elab-vhdl_objtypes.ali" being checked ...
  -> "elab-vhdl_objtypes.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_objtypes.adb
  "ghdlcomp.ali" being checked ...
  -> "ghdlcomp.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/ghdldrv/ghdlcomp.adb
  "netlists.ali" being checked ...
  -> "netlists.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/netlists.adb
  "netlists-disp_dot.ali" being checked ...
  -> "netlists-disp_dot.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/netlists-disp_dot.adb
netlists-disp_dot.ads:19:06: warning: unnecessary with of ancestor [-gnatwr]
  "netlists-disp_verilog.ali" being checked ...
  -> "netlists-disp_verilog.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/netlists-disp_verilog.adb
  "netlists-disp_vhdl.ali" being checked ...
  -> "netlists-disp_vhdl.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/netlists-disp_vhdl.adb
  "netlists-dump.ali" being checked ...
  -> "netlists-dump.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/netlists-dump.adb
  "netlists-errors.ali" being checked ...
  -> "netlists-errors.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/netlists-errors.adb
  "netlists-inference.ali" being checked ...
  -> "netlists-inference.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/netlists-inference.adb
netlists-inference.ads:19:06: warning: unnecessary with of ancestor [-gnatwr]
  "synth.ali" being checked ...
  -> "synth.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/synth.ads
  "synth-disp_vhdl.ali" being checked ...
  -> "synth-disp_vhdl.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/synth-disp_vhdl.adb
  "synth-flags.ali" being checked ...
  -> "synth-flags.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/synth-flags.ads
  "synth-vhdl_context.ali" being checked ...
  -> "synth-vhdl_context.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/synth-vhdl_context.adb
  "synthesis.ali" being checked ...
  -> "synthesis.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/synthesis.adb
  "vhdl-annotations.ali" being checked ...
  -> "vhdl-annotations.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-annotations.adb
  "utils_io.ali" being checked ...
  -> "utils_io.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/utils_io.adb
  "grt-vstrings.ali" being checked ...
  -> "grt-vstrings.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-vstrings.adb
  "elab-vhdl_decls.ali" being checked ...
  -> "elab-vhdl_decls.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_decls.adb
  "elab-vhdl_errors.ali" being checked ...
  -> "elab-vhdl_errors.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_errors.adb
  "elab-vhdl_expr.ali" being checked ...
  -> "elab-vhdl_expr.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_expr.adb
  "elab-vhdl_files.ali" being checked ...
  -> "elab-vhdl_files.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_files.adb
  "elab-vhdl_stmts.ali" being checked ...
  -> "elab-vhdl_stmts.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_stmts.adb
  "elab-vhdl_types.ali" being checked ...
  -> "elab-vhdl_types.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_types.adb
  "elab-vhdl_values.ali" being checked ...
  -> "elab-vhdl_values.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_values.adb
  "netlists-iterators.ali" being checked ...
  -> "netlists-iterators.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/netlists-iterators.adb
  "netlists-utils.ali" being checked ...
  -> "netlists-utils.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/netlists-utils.adb
  "mutils.ali" being checked ...
  -> "mutils.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/mutils.adb
  "areapools.ali" being checked ...
  -> "areapools.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/areapools.adb
  "elab-memtype.ali" being checked ...
  -> "elab-memtype.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/elab-memtype.adb
  "netlists-gates.ali" being checked ...
  -> "netlists-gates.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/netlists-gates.ads
  "netlists-locations.ali" being checked ...
  -> "netlists-locations.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/netlists-locations.adb
  "types_utils.ali" being checked ...
  -> "types_utils.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/types_utils.adb
  "netlists-folds.ali" being checked ...
  -> "netlists-folds.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/netlists-folds.adb
  "netlists-gates_ports.ali" being checked ...
  -> "netlists-gates_ports.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/netlists-gates_ports.adb
  "netlists-internings.ali" being checked ...
  -> "netlists-internings.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/netlists-internings.adb
  "netlists-memories.ali" being checked ...
  -> "netlists-memories.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/netlists-memories.adb
  "synth-errors.ali" being checked ...
  -> "synth-errors.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/synth-errors.adb
  "netlists-builders.ali" being checked ...
  -> "netlists-builders.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/netlists-builders.adb
  "grt-severity.ali" being checked ...
  -> "grt-severity.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-severity.ads
  "elab-vhdl_values-debug.ali" being checked ...
  -> "elab-vhdl_values-debug.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_values-debug.adb
  "netlists-cleanup.ali" being checked ...
  -> "netlists-cleanup.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/netlists-cleanup.adb
  "netlists-expands.ali" being checked ...
  -> "netlists-expands.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/netlists-expands.adb
  "synth-vhdl_insts.ali" being checked ...
  -> "synth-vhdl_insts.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/synth-vhdl_insts.adb
  "synth-context.ali" being checked ...
  -> "synth-context.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/synth-context.ads
  "synth-vhdl_expr.ali" being checked ...
  -> "synth-vhdl_expr.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/synth-vhdl_expr.adb
  "synth-vhdl_environment.ali" being checked ...
  -> "synth-vhdl_environment.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/synth-vhdl_environment.adb
  "grt-c.ali" being checked ...
  -> "grt-c.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-c.ads
  "dyn_maps.ali" being checked ...
  "hash.ali" being checked ...
  "grt-files_operations.ali" being checked ...
  -> "grt-files_operations.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-files_operations.adb
  "grt-stdio.ali" being checked ...
  -> "grt-stdio.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-stdio.ads
  "elab-debugger.ali" being checked ...
  -> "elab-debugger.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/elab-debugger.adb
  "elab-vhdl_heap.ali" being checked ...
  -> "elab-vhdl_heap.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_heap.adb
  "synth-vhdl_aggr.ali" being checked ...
  -> "synth-vhdl_aggr.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/synth-vhdl_aggr.adb
  "synth-vhdl_oper.ali" being checked ...
  -> "synth-vhdl_oper.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/synth-vhdl_oper.adb
  "synth-vhdl_stmts.ali" being checked ...
  -> "synth-vhdl_stmts.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/synth-vhdl_stmts.adb
  "dyn_interning.ali" being checked ...
  "netlists-butils.ali" being checked ...
  -> "netlists-butils.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/netlists-butils.adb
  "netlists-concats.ali" being checked ...
  -> "netlists-concats.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/netlists-concats.adb
  "interning.ali" being checked ...
  "synth-source.ali" being checked ...
  -> "synth-source.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/synth-source.adb
  "synth-vhdl_decls.ali" being checked ...
  -> "synth-vhdl_decls.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/synth-vhdl_decls.adb
  "grt-table.ali" being checked ...
  -> "grt-table.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-table.adb
  "synth-static_oper.ali" being checked ...
  -> "synth-static_oper.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/synth-static_oper.adb
  "synth-environment.ali" being checked ...
  -> "synth-environment.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/synth-environment.adb
  "synth-environment-debug.ali" being checked ...
  -> "synth-environment-debug.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/synth-environment-debug.adb
  "synth-ieee.ali" being checked ...
  -> "synth-ieee.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/synth-ieee.ads
  "synth-ieee-numeric_std.ali" being checked ...
  -> "synth-ieee-numeric_std.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/synth-ieee-numeric_std.adb
  "synth-ieee-std_logic_1164.ali" being checked ...
  -> "synth-ieee-std_logic_1164.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/synth-ieee-std_logic_1164.adb
  "synth-vhdl_static_proc.ali" being checked ...
  -> "synth-vhdl_static_proc.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/synth-vhdl_static_proc.adb
End of compilation
arm-linux-gnueabihf-gnatbind-12 -aI../../src -aI../../src/vhdl -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/ghdldrv -aI../../src/ortho -aI../../src/ortho/llvm6 -aI../../src/synth -aI../../src/ghdldrv -E -x ghdl_llvm.ali
arm-linux-gnueabihf-gnatlink-12 ghdl_llvm.ali -g -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -Wl,-z,relro -Wl,-z,now grt-cstdio.o -R
gcc-12 -c  -g -Wall -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -o jumps.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/config/jumps.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/config/jumps.c:132:1: warning: 'grt_overflow_handler' defined but not used [-Wunused-function]
  132 | grt_overflow_handler (int signo, siginfo_t *info, void *ptr)
      | ^~~~~~~~~~~~~~~~~~~~
gcc-12 -c  -g -Wall -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -o times.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/config/times.c
gcc-12 -c  -g -Wall -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -o grt-cgnatrts.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt-cgnatrts.c
gcc-12 -c  -g -Wall -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -o grt-cvpi.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt-cvpi.c
gcc-12 -c  -g -Wall -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -o grt-cvhpi.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt-cvhpi.c
In file included from /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt-cvhpi.c:33:
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:2:1: warning: "/*" within comment [-Wcomment]
    2 | /*
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:3:1: warning: "/*" within comment [-Wcomment]
    3 | /* Copyright 2019 IEEE P1076 WG Authors
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:4:1: warning: "/*" within comment [-Wcomment]
    4 | /*
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:5:1: warning: "/*" within comment [-Wcomment]
    5 | /* See the LICENSE file distributed with this work for copyright and
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:6:1: warning: "/*" within comment [-Wcomment]
    6 | /* licensing information and the AUTHORS file.
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:7:1: warning: "/*" within comment [-Wcomment]
    7 | /*
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:8:1: warning: "/*" within comment [-Wcomment]
    8 | /* This file to you under the Apache License, Version 2.0 (the "License").
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:9:1: warning: "/*" within comment [-Wcomment]
    9 | /* You may obtain a copy of the License at
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:10:1: warning: "/*" within comment [-Wcomment]
   10 | /*
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:11:1: warning: "/*" within comment [-Wcomment]
   11 | /*     http://www.apache.org/licenses/LICENSE-2.0
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:12:1: warning: "/*" within comment [-Wcomment]
   12 | /*
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:13:1: warning: "/*" within comment [-Wcomment]
   13 | /* Unless required by applicable law or agreed to in writing, software
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:14:1: warning: "/*" within comment [-Wcomment]
   14 | /* distributed under the License is distributed on an "AS IS" BASIS,
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:15:1: warning: "/*" within comment [-Wcomment]
   15 | /* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:16:1: warning: "/*" within comment [-Wcomment]
   16 | /* implied.  See the License for the specific language governing
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:17:1: warning: "/*" within comment [-Wcomment]
   17 | /* permissions and limitations under the License.
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:18:1: warning: "/*" within comment [-Wcomment]
   18 | /*
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:19:1: warning: "/*" within comment [-Wcomment]
   19 | /*
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:20:1: warning: "/*" within comment [-Wcomment]
   20 | /*   Title     :  vhpi_user.h
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:21:1: warning: "/*" within comment [-Wcomment]
   21 | /*             :
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:22:1: warning: "/*" within comment [-Wcomment]
   22 | /*   Developers:  IEEE P1076 Working Group, VHPI Task Force
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:23:1: warning: "/*" within comment [-Wcomment]
   23 | /*             :
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:24:1: warning: "/*" within comment [-Wcomment]
   24 | /*   Purpose   :  This header file describes the procedural interface
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:25:1: warning: "/*" within comment [-Wcomment]
   25 | /*             :  to access VHDL compiled, instantiated and run-time
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:26:1: warning: "/*" within comment [-Wcomment]
   26 | /*             :  data.It is derived from the UML model. For conformance
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:27:1: warning: "/*" within comment [-Wcomment]
   27 | /*             :  with the VHPI standard, a VHPI application or program
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:28:1: warning: "/*" within comment [-Wcomment]
   28 | /*             :  shall reference this header file.
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:29:1: warning: "/*" within comment [-Wcomment]
   29 | /*             :
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:30:1: warning: "/*" within comment [-Wcomment]
   30 | /*   Note      :  The contents of this file may be modified in an
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:31:1: warning: "/*" within comment [-Wcomment]
   31 | /*             :  implementation to provide implementation-defined
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:32:1: warning: "/*" within comment [-Wcomment]
   32 | /*             :  functionality, as described in B.3.
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:33:1: warning: "/*" within comment [-Wcomment]
   33 | /*             :
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:34:1: warning: "/*" within comment [-Wcomment]
   34 | /* --------------------------------------------------------------------
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:35:1: warning: "/*" within comment [-Wcomment]
   35 | /* modification history :
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:36:1: warning: "/*" within comment [-Wcomment]
   36 | /* --------------------------------------------------------------------
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:37:1: warning: "/*" within comment [-Wcomment]
   37 | /* $Revision: 1315 $
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:38:1: warning: "/*" within comment [-Wcomment]
   38 | /* $Date: 2008-07-13 10:11:53 +0930 (Sun, 13 Jul 2008) $
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:39:1: warning: "/*" within comment [-Wcomment]
   39 | /* --------------------------------------------------------------------
      |  
gcc-12 -c  -g -Wall -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -o grt-cdynload.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt-cdynload.c
gcc-12 -c  -g -Wall -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -o fstapi.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/fst/fstapi.c -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/fst
gcc-12 -c  -g -Wall -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -o lz4.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/fst/lz4.c
gcc-12 -c  -g -Wall -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -o fastlz.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/fst/fastlz.c
mkdir grt
echo "with Grt.Backtraces.Jit;" > grt-backtraces-impl.ads
echo "package Grt.Backtraces.Impl renames Grt.Backtraces.Jit;" >> grt-backtraces-impl.ads
cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j4 -R -eS  -c -aI/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -aI.. \
  -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY ghdl_main -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -cargs 

GNATMAKE 12.2.0
Copyright (C) 1992-2022, Free Software Foundation, Inc.
  "ghdl_main.ali" being checked ...
  -> "ghdl_main.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/ghdl_main.adb
ghdl_main.adb:42:04: warning: possible aliasing problem for type "Argv_Type" [enabled by default]
ghdl_main.adb:42:04: warning: use -fno-strict-aliasing switch for references [enabled by default]
ghdl_main.adb:42:04: warning: or use "pragma No_Strict_Aliasing (Argv_Type);" [enabled by default]
  "grt.ali" being checked ...
  -> "grt.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt.ads
  "grt-errors.ali" being checked ...
  -> "grt-errors.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-errors.adb
  "grt-main.ali" being checked ...
  -> "grt-main.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-main.adb
  "grt-options.ali" being checked ...
  -> "grt-options.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-options.adb
  "grt-rtis_binding.ali" being checked ...
  -> "grt-rtis_binding.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-rtis_binding.ads
  "grt-std_logic_1164.ali" being checked ...
  -> "grt-std_logic_1164.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-std_logic_1164.adb
  "grt-types.ali" being checked ...
  -> "grt-types.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-types.ads
  "grt-rtis.ali" being checked ...
  -> "grt-rtis.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-rtis.adb
  "grt-change_generics.ali" being checked ...
  -> "grt-change_generics.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-change_generics.adb
grt-rtis.ads:164:04: warning: alignment of "Ghdl_Rtin_Block" (4) is stricter than alignment of "Ghdl_Rti_Common" (1) [-gnatwz]
grt-rtis.ads:164:04: warning: resulting access value may have invalid alignment [-gnatwz]
grt-rtis.ads:187:04: warning: alignment of "Ghdl_Rtin_Generate" (4) is stricter than alignment of "Ghdl_Rti_Common" (1) [-gnatwz]
grt-rtis.ads:187:04: warning: resulting access value may have invalid alignment [-gnatwz]
grt-rtis.ads:198:04: warning: alignment of "Ghdl_Rtin_Block_Filename" (4) is stricter than alignment of "Ghdl_Rti_Common" (1) [-gnatwz]
grt-rtis.ads:198:04: warning: resulting access value may have invalid alignment [-gnatwz]
grt-rtis.ads:216:04: warning: alignment of "Ghdl_Rtin_Object" (4) is stricter than alignment of "Ghdl_Rti_Common" (1) [-gnatwz]
grt-rtis.ads:216:04: warning: resulting access value may have invalid alignment [-gnatwz]
grt-rtis.ads:232:04: warning: alignment of "Ghdl_Rtin_Psl_Directive" (4) is stricter than alignment of "Ghdl_Rti_Common" (1) [-gnatwz]
grt-rtis.ads:232:04: warning: resulting access value may have invalid alignment [-gnatwz]
grt-rtis.ads:247:04: warning: alignment of "Ghdl_Rtin_Instance" (4) is stricter than alignment of "Ghdl_Rti_Common" (1) [-gnatwz]
grt-rtis.ads:247:04: warning: resulting access value may have invalid alignment [-gnatwz]
grt-rtis.ads:275:04: warning: alignment of "Ghdl_Rtin_Component" (4) is stricter than alignment of "Ghdl_Rti_Common" (1) [-gnatwz]
grt-rtis.ads:275:04: warning: resulting access value may have invalid alignment [-gnatwz]
grt-rtis.ads:288:04: warning: alignment of "Ghdl_Rtin_Type_Enum" (4) is stricter than alignment of "Ghdl_Rti_Common" (1) [-gnatwz]
grt-rtis.ads:288:04: warning: resulting access value may have invalid alignment [-gnatwz]
grt-rtis.ads:297:04: warning: alignment of "Ghdl_Rtin_Type_Scalar" (4) is stricter than alignment of "Ghdl_Rti_Common" (1) [-gnatwz]
grt-rtis.ads:297:04: warning: resulting access value may have invalid alignment [-gnatwz]
grt-rtis.ads:311:04: warning: alignment of "Ghdl_Rtin_Subtype_Scalar" (4) is stricter than alignment of "Ghdl_Rti_Common" (1) [-gnatwz]
grt-rtis.ads:311:04: warning: resulting access value may have invalid alignment [-gnatwz]
grt-rtis.ads:340:04: warning: alignment of "Ghdl_Rtin_Type_Array" (4) is stricter than alignment of "Ghdl_Rti_Common" (1) [-gnatwz]
grt-rtis.ads:340:04: warning: resulting access value may have invalid alignment [-gnatwz]
grt-rtis.ads:358:04: warning: alignment of "Ghdl_Rtin_Subtype_Composite" (4) is stricter than alignment of "Ghdl_Rti_Common" (1) [-gnatwz]
grt-rtis.ads:358:04: warning: resulting access value may have invalid alignment [-gnatwz]
grt-rtis.ads:370:04: warning: alignment of "Ghdl_Rtin_Type_Fileacc" (4) is stricter than alignment of "Ghdl_Rti_Common" (1) [-gnatwz]
grt-rtis.ads:370:04: warning: resulting access value may have invalid alignment [-gnatwz]
grt-rtis.ads:415:04: warning: alignment of "Ghdl_Rtin_Element" (4) is stricter than alignment of "Ghdl_Rti_Common" (1) [-gnatwz]
grt-rtis.ads:415:04: warning: resulting access value may have invalid alignment [-gnatwz]
grt-rtis.ads:431:04: warning: alignment of "Ghdl_Rtin_Type_Record" (4) is stricter than alignment of "Ghdl_Rti_Common" (1) [-gnatwz]
grt-rtis.ads:431:04: warning: resulting access value may have invalid alignment [-gnatwz]
grt-rtis.ads:441:04: warning: alignment of "Ghdl_Rtin_Unit64" (8) is stricter than alignment of "Ghdl_Rti_Common" (1) [-gnatwz]
grt-rtis.ads:441:04: warning: resulting access value may have invalid alignment [-gnatwz]
grt-rtis.ads:451:04: warning: alignment of "Ghdl_Rtin_Unitptr" (4) is stricter than alignment of "Ghdl_Rti_Common" (1) [-gnatwz]
grt-rtis.ads:451:04: warning: resulting access value may have invalid alignment [-gnatwz]
grt-rtis.ads:464:04: warning: alignment of "Ghdl_Rtin_Type_Physical" (4) is stricter than alignment of "Ghdl_Rti_Common" (1) [-gnatwz]
grt-rtis.ads:464:04: warning: resulting access value may have invalid alignment [-gnatwz]
  "grt-files.ali" being checked ...
  -> "grt-files.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-files.adb
grt-change_generics.adb:56:37: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Enum" (4) [enabled by default]
grt-change_generics.adb:98:56: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Scalar" (4) [enabled by default]
grt-change_generics.adb:106:58: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Scalar" (4) [enabled by default]
grt-change_generics.adb:119:56: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Scalar" (4) [enabled by default]
grt-change_generics.adb:159:49: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Scalar" (4) [enabled by default]
grt-change_generics.adb:215:58: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Scalar" (4) [enabled by default]
grt-change_generics.adb:253:34: warning: source alignment (1) < alignment of "Ghdl_Rtin_Object" (4) [enabled by default]
grt-change_generics.adb:265:54: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4) [enabled by default]
grt-change_generics.adb:267:49: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Array" (4) [enabled by default]
grt-change_generics.adb:282:51: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Scalar" (4) [enabled by default]
grt-change_generics.adb:373:40: warning: source alignment (1) < alignment of "Ghdl_Rtin_Object" (4) [enabled by default]
  "grt-hooks.ali" being checked ...
  -> "grt-hooks.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-hooks.adb
  "grt-images.ali" being checked ...
  -> "grt-images.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-images.adb
  "grt-lib.ali" being checked ...
  -> "grt-lib.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-lib.adb
  "grt-modules.ali" being checked ...
  -> "grt-modules.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-modules.adb
grt-images.adb:63:37: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Enum" (4) [enabled by default]
grt-images.adb:115:44: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Physical" (4) [enabled by default]
grt-images.adb:139:44: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Physical" (4) [enabled by default]
grt-images.adb:255:47: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Enum" (4) [enabled by default]
grt-images.adb:335:44: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Physical" (4) [enabled by default]
grt-images.adb:368:37: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Enum" (4) [enabled by default]
grt-images.adb:385:37: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Enum" (4) [enabled by default]
grt-images.adb:402:37: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Enum" (4) [enabled by default]
  "grt-names.ali" being checked ...
  -> "grt-names.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-names.adb
  "grt-processes.ali" being checked ...
  -> "grt-processes.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-processes.adb
  "grt-shadow_ieee.ali" being checked ...
  -> "grt-shadow_ieee.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-shadow_ieee.adb
  "grt-signals.ali" being checked ...
  -> "grt-signals.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-signals.adb
  "grt-stats.ali" being checked ...
  -> "grt-stats.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-stats.adb
  "grt-stdio.ali" being checked ...
  -> "grt-stdio.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-stdio.ads
  "grt-values.ali" being checked ...
  -> "grt-values.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-values.adb
grt-values.adb:87:37: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Enum" (4) [enabled by default]
grt-values.adb:287:41: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Physical" (4) [enabled by default]
grt-signals.adb:133:75: warning: source alignment (1) < alignment of "Ghdl_Rtin_Object" (4) [enabled by default]
grt-signals.adb:287:73: warning: source alignment (1) < alignment of "Ghdl_Rtin_Object" (4) [enabled by default]
  "grt-astdio.ali" being checked ...
  -> "grt-astdio.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-astdio.adb
  "grt-astdio-vhdl.ali" being checked ...
  -> "grt-astdio-vhdl.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-astdio-vhdl.adb
  "grt-severity.ali" being checked ...
  -> "grt-severity.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-severity.ads
  "grt-callbacks.ali" being checked ...
  -> "grt-callbacks.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-callbacks.adb
  "grt-avhpi.ali" being checked ...
  -> "grt-avhpi.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-avhpi.adb
  "grt-avhpi_utils.ali" being checked ...
  -> "grt-avhpi_utils.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-avhpi_utils.adb
  "grt-rtis_addr.ali" being checked ...
  -> "grt-rtis_addr.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-rtis_addr.adb
grt-rtis_addr.adb:55:37: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4) [enabled by default]
grt-rtis_addr.adb:91:54: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4) [enabled by default]
grt-rtis_addr.adb:100:57: warning: source alignment (1) < alignment of "Ghdl_Rtin_Generate" (4) [enabled by default]
grt-rtis_addr.adb:131:44: warning: source alignment (1) < alignment of "Ghdl_Rtin_Instance" (4) [enabled by default]
grt-rtis_addr.adb:142:69: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4) [enabled by default]
grt-rtis_addr.adb:208:36: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4) [enabled by default]
grt-rtis_addr.adb:213:38: warning: source alignment (1) < alignment of "Ghdl_Rtin_Object" (4) [enabled by default]
grt-rtis_addr.adb:213:52: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Scalar" (4) [enabled by default]
grt-rtis_addr.adb:343:56: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Scalar" (4) [enabled by default]
grt-rtis_addr.adb:352:59: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4) [enabled by default]
grt-rtis_addr.adb:368:52: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Array" (4) [enabled by default]
grt-rtis_addr.adb:371:59: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4) [enabled by default]
  "grt-strings.ali" being checked ...
  -> "grt-strings.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-strings.adb
grt-avhpi.adb:150:63: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4) [enabled by default]
grt-avhpi.adb:152:55: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Array" (4) [enabled by default]
grt-avhpi.adb:215:58: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4) [enabled by default]
grt-avhpi.adb:241:10: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Array" (4) [enabled by default]
grt-avhpi.adb:266:51: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4) [enabled by default]
grt-avhpi.adb:280:42: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4) [enabled by default]
grt-avhpi.adb:294:48: warning: source alignment (1) < alignment of "Ghdl_Rtin_Generate" (4) [enabled by default]
grt-avhpi.adb:336:48: warning: source alignment (1) < alignment of "Ghdl_Rtin_Generate" (4) [enabled by default]
grt-avhpi.adb:355:59: warning: source alignment (1) < alignment of "Ghdl_Rtin_Instance" (4) [enabled by default]
grt-avhpi.adb:387:53: warning: source alignment (1) < alignment of "Ghdl_Rtin_Object" (4) [enabled by default]
grt-avhpi.adb:391:53: warning: source alignment (1) < alignment of "Ghdl_Rtin_Object" (4) [enabled by default]
grt-avhpi.adb:395:53: warning: source alignment (1) < alignment of "Ghdl_Rtin_Object" (4) [enabled by default]
grt-avhpi.adb:399:53: warning: source alignment (1) < alignment of "Ghdl_Rtin_Object" (4) [enabled by default]
grt-avhpi.adb:403:54: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4) [enabled by default]
grt-avhpi.adb:405:52: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Array" (4) [enabled by default]
grt-avhpi.adb:454:51: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4) [enabled by default]
grt-avhpi.adb:467:50: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4) [enabled by default]
grt-avhpi.adb:470:50: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4) [enabled by default]
grt-avhpi.adb:512:60: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4) [enabled by default]
grt-avhpi.adb:527:51: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4) [enabled by default]
grt-avhpi.adb:578:51: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Enum" (4) [enabled by default]
grt-avhpi.adb:584:52: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4) [enabled by default]
grt-avhpi.adb:590:50: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4) [enabled by default]
grt-avhpi.adb:593:53: warning: source alignment (1) < alignment of "Ghdl_Rtin_Generate" (4) [enabled by default]
grt-avhpi.adb:600:55: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4) [enabled by default]
grt-avhpi.adb:602:50: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4) [enabled by default]
grt-avhpi.adb:613:56: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Scalar" (4) [enabled by default]
grt-avhpi.adb:638:60: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block_Filename" (4) [enabled by default]
grt-avhpi.adb:643:51: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4) [enabled by default]
grt-avhpi.adb:701:55: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Enum" (4) [enabled by default]
grt-avhpi.adb:703:57: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Scalar" (4) [enabled by default]
grt-avhpi.adb:705:60: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Scalar" (4) [enabled by default]
grt-avhpi.adb:707:56: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Array" (4) [enabled by default]
grt-avhpi.adb:713:56: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4) [enabled by default]
grt-avhpi.adb:718:61: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4) [enabled by default]
grt-avhpi.adb:719:56: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4) [enabled by default]
grt-avhpi.adb:732:38: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4) [enabled by default]
grt-avhpi.adb:732:45: warning: source alignment (1) < alignment of "Ghdl_Rtin_Generate" (4) [enabled by default]
grt-avhpi.adb:736:56: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4) [enabled by default]
grt-avhpi.adb:738:52: warning: source alignment (1) < alignment of "Ghdl_Rtin_Object" (4) [enabled by default]
grt-avhpi.adb:747:57: warning: source alignment (1) < alignment of "Ghdl_Rtin_Generate" (4) [enabled by default]
grt-avhpi.adb:752:28: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Scalar" (4) [enabled by default]
grt-avhpi.adb:783:66: warning: source alignment (1) < alignment of "Ghdl_Rtin_Component" (4) [enabled by default]
grt-avhpi.adb:800:61: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4) [enabled by default]
grt-avhpi.adb:802:59: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4) [enabled by default]
grt-avhpi.adb:804:62: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Scalar" (4) [enabled by default]
grt-avhpi.adb:890:61: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4) [enabled by default]
grt-avhpi.adb:891:53: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4) [enabled by default]
grt-avhpi.adb:906:56: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4) [enabled by default]
grt-avhpi.adb:909:58: warning: source alignment (1) < alignment of "Ghdl_Rtin_Object" (4) [enabled by default]
grt-avhpi.adb:972:61: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4) [enabled by default]
grt-avhpi.adb:979:58: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Scalar" (4) [enabled by default]
grt-avhpi.adb:999:63: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4) [enabled by default]
grt-avhpi.adb:1005:60: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Array" (4) [enabled by default]
grt-avhpi.adb:1042:63: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4) [enabled by default]
grt-avhpi.adb:1044:61: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Array" (4) [enabled by default]
grt-avhpi.adb:1267:56: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4) [enabled by default]
  "grt-wave_opt.ali" being checked ...
  -> "grt-wave_opt.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-wave_opt.adb
  "grt-wave_opt-file.ali" being checked ...
  -> "grt-wave_opt-file.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-wave_opt-file.adb
  "grt-backtraces.ali" being checked ...
  -> "grt-backtraces.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-backtraces.adb
  "grt-disp_rti.ali" being checked ...
  -> "grt-disp_rti.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-disp_rti.adb
  "grt-disp_tree.ali" being checked ...
  -> "grt-disp_tree.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-disp_tree.adb
grt-disp_rti.adb:48:37: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Enum" (4) [enabled by default]
grt-disp_rti.adb:113:53: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Physical" (4) [enabled by default]
grt-disp_rti.adb:119:53: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Physical" (4) [enabled by default]
grt-disp_rti.adb:132:37: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Enum" (4) [enabled by default]
grt-disp_rti.adb:257:45: warning: source alignment (1) < alignment of "Ghdl_Rtin_Element" (4) [enabled by default]
grt-disp_rti.adb:282:57: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Scalar" (4) [enabled by default]
grt-disp_rti.adb:296:54: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4) [enabled by default]
grt-disp_rti.adb:298:49: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Array" (4) [enabled by default]
grt-disp_rti.adb:305:54: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4) [enabled by default]
grt-disp_rti.adb:307:49: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Array" (4) [enabled by default]
grt-disp_rti.adb:328:48: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Record" (4) [enabled by default]
grt-disp_rti.adb:341:48: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Record" (4) [enabled by default]
grt-disp_rti.adb:348:54: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4) [enabled by default]
grt-disp_rti.adb:350:50: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Record" (4) [enabled by default]
grt-disp_rti.adb:357:54: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4) [enabled by default]
grt-disp_rti.adb:359:50: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Record" (4) [enabled by default]
grt-disp_rti.adb:533:46: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Enum" (4) [enabled by default]
grt-disp_rti.adb:542:46: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Enum" (4) [enabled by default]
grt-disp_rti.adb:560:56: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Scalar" (4) [enabled by default]
grt-disp_rti.adb:570:52: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Enum" (4) [enabled by default]
grt-disp_rti.adb:573:54: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Scalar" (4) [enabled by default]
grt-disp_rti.adb:622:45: warning: source alignment (1) < alignment of "Ghdl_Rtin_Element" (4) [enabled by default]
grt-disp_rti.adb:704:56: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Scalar" (4) [enabled by default]
grt-disp_rti.adb:719:52: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Enum" (4) [enabled by default]
grt-disp_rti.adb:722:54: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Scalar" (4) [enabled by default]
grt-disp_rti.adb:725:55: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Fileacc" (4) [enabled by default]
grt-disp_rti.adb:728:54: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Record" (4) [enabled by default]
grt-disp_rti.adb:732:54: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4) [enabled by default]
grt-disp_rti.adb:757:54: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4) [enabled by default]
grt-disp_rti.adb:772:54: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4) [enabled by default]
grt-disp_rti.adb:781:54: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Scalar" (4) [enabled by default]
grt-disp_rti.adb:1036:56: warning: source alignment (1) < alignment of "Ghdl_Rtin_Component" (4) [enabled by default]
grt-disp_rti.adb:1105:54: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Scalar" (4) [enabled by default]
grt-disp_rti.adb:1119:56: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Physical" (4) [enabled by default]
grt-disp_rti.adb:1138:62: warning: source alignment (1) < alignment of "Ghdl_Rtin_Unit64" (8) [enabled by default]
grt-disp_rti.adb:1144:63: warning: source alignment (1) < alignment of "Ghdl_Rtin_Unitptr" (4) [enabled by default]
grt-disp_rti.adb:1148:63: warning: source alignment (1) < alignment of "Ghdl_Rtin_Unitptr" (4) [enabled by default]
grt-disp_rti.adb:1194:41: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Array" (4) [enabled by default]
grt-disp_rti.adb:1218:41: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Array" (4) [enabled by default]
grt-disp_rti.adb:1264:45: warning: source alignment (1) < alignment of "Ghdl_Rtin_Element" (4) [enabled by default]
grt-disp_rti.adb:1280:42: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Record" (4) [enabled by default]
grt-disp_rti.adb:1332:47: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4) [enabled by default]
grt-disp_tree.adb:94:42: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4) [enabled by default]
grt-disp_tree.adb:104:47: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4) [enabled by default]
grt-disp_tree.adb:106:53: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4) [enabled by default]
grt-disp_tree.adb:108:56: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Scalar" (4) [enabled by default]
grt-disp_tree.adb:116:45: warning: source alignment (1) < alignment of "Ghdl_Rtin_Generate" (4) [enabled by default]
grt-disp_tree.adb:118:45: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4) [enabled by default]
grt-disp_tree.adb:120:46: warning: source alignment (1) < alignment of "Ghdl_Rtin_Object" (4) [enabled by default]
grt-disp_tree.adb:134:49: warning: source alignment (1) < alignment of "Ghdl_Rtin_Object" (4) [enabled by default]
grt-disp_tree.adb:136:51: warning: source alignment (1) < alignment of "Ghdl_Rtin_Instance" (4) [enabled by default]
grt-disp_tree.adb:250:45: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4) [enabled by default]
grt-disp_tree.adb:261:48: warning: source alignment (1) < alignment of "Ghdl_Rtin_Generate" (4) [enabled by default]
grt-disp_tree.adb:309:54: warning: source alignment (1) < alignment of "Ghdl_Rtin_Instance" (4) [enabled by default]
grt-disp_tree.adb:311:62: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4) [enabled by default]
grt-disp_tree.adb:316:62: warning: source alignment (1) < alignment of "Ghdl_Rtin_Component" (4) [enabled by default]
grt-disp_tree.adb:407:45: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4) [enabled by default]
  "grt-fst.ali" being checked ...
  -> "grt-fst.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-fst.adb
grt-fst.adb:570:37: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Enum" (4) [enabled by default]
  "grt-psl.ali" being checked ...
  -> "grt-psl.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-psl.adb
grt-psl.adb:107:50: warning: source alignment (1) < alignment of "Ghdl_Rtin_Psl_Directive" (4) [enabled by default]
grt-psl.adb:166:04: warning: in instantiation at grt-rtis_utils.adb:48 [enabled by default]
grt-psl.adb:166:04: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4) [enabled by default]
grt-psl.adb:166:04: warning: in instantiation at grt-rtis_utils.adb:59 [enabled by default]
grt-psl.adb:166:04: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4) [enabled by default]
grt-psl.adb:166:04: warning: in instantiation at grt-rtis_utils.adb:67 [enabled by default]
grt-psl.adb:166:04: warning: source alignment (1) < alignment of "Ghdl_Rtin_Generate" (4) [enabled by default]
grt-psl.adb:166:04: warning: in instantiation at grt-rtis_utils.adb:91 [enabled by default]
grt-psl.adb:166:04: warning: source alignment (1) < alignment of "Ghdl_Rtin_Instance" (4) [enabled by default]
grt-psl.adb:166:04: warning: in instantiation at grt-rtis_utils.adb:120 [enabled by default]
grt-psl.adb:166:04: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4) [enabled by default]
  "grt-vcd.ali" being checked ...
  -> "grt-vcd.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-vcd.adb
  "grt-vcdz.ali" being checked ...
  -> "grt-vcdz.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-vcdz.adb
  "grt-vhpi.ali" being checked ...
  -> "grt-vhpi.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-vhpi.adb
grt-vcd.adb:282:49: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Scalar" (4) [enabled by default]
grt-vcd.adb:323:43: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Scalar" (4) [enabled by default]
grt-vcd.adb:410:54: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4) [enabled by default]
grt-vcd.adb:412:58: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Array" (4) [enabled by default]
grt-vcd.adb:420:53: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Array" (4) [enabled by default]
  "grt-vital_annotate.ali" being checked ...
  -> "grt-vital_annotate.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-vital_annotate.adb
  "grt-vpi.ali" being checked ...
  -> "grt-vpi.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-vpi.adb
  "grt-waves.ali" being checked ...
  -> "grt-waves.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-waves.adb
  "grt-c.ali" being checked ...
  -> "grt-c.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-c.ads
  "grt-errors_exec.ali" being checked ...
  -> "grt-errors_exec.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-errors_exec.adb
  "grt-table.ali" being checked ...
  -> "grt-table.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-table.adb
  "grt-rtis_utils.ali" being checked ...
  -> "grt-rtis_utils.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-rtis_utils.adb
  "grt-vstrings.ali" being checked ...
  -> "grt-vstrings.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-vstrings.adb
grt-waves.adb:617:46: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Enum" (4) [enabled by default]
grt-waves.adb:629:48: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Scalar" (4) [enabled by default]
grt-waves.adb:637:50: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Physical" (4) [enabled by default]
grt-waves.adb:650:51: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Scalar" (4) [enabled by default]
grt-waves.adb:658:47: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Array" (4) [enabled by default]
grt-waves.adb:670:48: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Record" (4) [enabled by default]
grt-waves.adb:675:54: warning: source alignment (1) < alignment of "Ghdl_Rtin_Element" (4) [enabled by default]
grt-waves.adb:686:54: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4) [enabled by default]
grt-waves.adb:867:04: warning: in instantiation at grt-rtis_utils.adb:404 [enabled by default]
grt-waves.adb:867:04: warning: source alignment (1) < alignment of "Ghdl_Rtin_Element" (4) [enabled by default]
grt-waves.adb:867:04: warning: in instantiation at grt-rtis_utils.adb:425 [enabled by default]
grt-waves.adb:867:04: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Scalar" (4) [enabled by default]
grt-waves.adb:867:04: warning: in instantiation at grt-rtis_utils.adb:436 [enabled by default]
grt-waves.adb:867:04: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4) [enabled by default]
grt-waves.adb:867:04: warning: in instantiation at grt-rtis_utils.adb:438 [enabled by default]
grt-waves.adb:867:04: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Array" (4) [enabled by default]
grt-waves.adb:867:04: warning: in instantiation at grt-rtis_utils.adb:445 [enabled by default]
grt-waves.adb:867:04: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4) [enabled by default]
grt-waves.adb:867:04: warning: in instantiation at grt-rtis_utils.adb:447 [enabled by default]
grt-waves.adb:867:04: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Array" (4) [enabled by default]
grt-waves.adb:867:04: warning: in instantiation at grt-rtis_utils.adb:469 [enabled by default]
grt-waves.adb:867:04: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Record" (4) [enabled by default]
grt-waves.adb:867:04: warning: in instantiation at grt-rtis_utils.adb:482 [enabled by default]
grt-waves.adb:867:04: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4) [enabled by default]
grt-waves.adb:867:04: warning: in instantiation at grt-rtis_utils.adb:484 [enabled by default]
grt-waves.adb:867:04: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Record" (4) [enabled by default]
grt-waves.adb:867:04: warning: in instantiation at grt-rtis_utils.adb:491 [enabled by default]
grt-waves.adb:867:04: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4) [enabled by default]
grt-waves.adb:867:04: warning: in instantiation at grt-rtis_utils.adb:493 [enabled by default]
grt-waves.adb:867:04: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Record" (4) [enabled by default]
grt-waves.adb:877:39: warning: source alignment (1) < alignment of "Ghdl_Rtin_Object" (4) [enabled by default]
grt-waves.adb:1249:51: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Scalar" (4) [enabled by default]
grt-waves.adb:1298:47: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Array" (4) [enabled by default]
grt-waves.adb:1324:48: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Record" (4) [enabled by default]
grt-waves.adb:1330:54: warning: source alignment (1) < alignment of "Ghdl_Rtin_Element" (4) [enabled by default]
grt-waves.adb:1371:43: warning: source alignment (1) < alignment of "Ghdl_Rtin_Object" (4) [enabled by default]
grt-waves.adb:1390:35: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4) [enabled by default]
grt-waves.adb:1417:35: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4) [enabled by default]
grt-waves.adb:1442:52: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Enum" (4) [enabled by default]
grt-waves.adb:1455:54: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Scalar" (4) [enabled by default]
grt-waves.adb:1463:56: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Physical" (4) [enabled by default]
grt-waves.adb:1475:59: warning: source alignment (1) < alignment of "Ghdl_Rtin_Unit64" (8) [enabled by default]
grt-waves.adb:1480:66: warning: source alignment (1) < alignment of "Ghdl_Rtin_Unitptr" (4) [enabled by default]
grt-waves.adb:1484:66: warning: source alignment (1) < alignment of "Ghdl_Rtin_Unitptr" (4) [enabled by default]
grt-waves.adb:1499:57: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Scalar" (4) [enabled by default]
grt-waves.adb:1512:53: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Array" (4) [enabled by default]
grt-waves.adb:1524:60: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4) [enabled by default]
grt-waves.adb:1537:54: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Record" (4) [enabled by default]
grt-waves.adb:1543:60: warning: source alignment (1) < alignment of "Ghdl_Rtin_Element" (4) [enabled by default]
grt-waves.adb:1551:60: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4) [enabled by default]
grt-waves.adb:1568:60: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4) [enabled by default]
grt-rtis_utils.adb:150:47: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Enum" (4) [enabled by default]
grt-rtis_utils.adb:560:54: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Physical" (4) [enabled by default]
grt-rtis_utils.adb:571:54: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Physical" (4) [enabled by default]
grt-rtis_utils.adb:595:45: warning: source alignment (1) < alignment of "Ghdl_Rtin_Unit64" (8) [enabled by default]
grt-rtis_utils.adb:597:46: warning: source alignment (1) < alignment of "Ghdl_Rtin_Unitptr" (4) [enabled by default]
grt-rtis_utils.adb:609:45: warning: source alignment (1) < alignment of "Ghdl_Rtin_Unit64" (8) [enabled by default]
grt-rtis_utils.adb:613:52: warning: source alignment (1) < alignment of "Ghdl_Rtin_Unitptr" (4) [enabled by default]
grt-rtis_utils.adb:616:48: warning: source alignment (1) < alignment of "Ghdl_Rtin_Unitptr" (4) [enabled by default]
grt-rtis_utils.adb:630:47: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Enum" (4) [enabled by default]
grt-rtis_utils.adb:672:45: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4) [enabled by default]
grt-rtis_utils.adb:714:66: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4) [enabled by default]
grt-rtis_utils.adb:727:56: warning: source alignment (1) < alignment of "Ghdl_Rtin_Object" (4) [enabled by default]
grt-rtis_utils.adb:739:51: warning: source alignment (1) < alignment of "Ghdl_Rtin_Generate" (4) [enabled by default]
grt-rtis_utils.adb:745:58: warning: source alignment (1) < alignment of "Ghdl_Rtin_Object" (4) [enabled by default]
grt-rtis_utils.adb:757:57: warning: source alignment (1) < alignment of "Ghdl_Rtin_Psl_Directive" (4) [enabled by default]
grt-rtis_utils.adb:802:55: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block_Filename" (4) [enabled by default]
  "grt-fcvt.ali" being checked ...
  -> "grt-fcvt.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-fcvt.adb
  "grt-to_strings.ali" being checked ...
  -> "grt-to_strings.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-to_strings.adb
  "grt-disp.ali" being checked ...
  -> "grt-disp.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-disp.adb
  "grt-disp_signals.ali" being checked ...
  -> "grt-disp_signals.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-disp_signals.adb
grt-disp_signals.adb:57:07: warning: in instantiation at grt-rtis_utils.adb:404 [enabled by default]
grt-disp_signals.adb:57:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Element" (4) [enabled by default]
grt-disp_signals.adb:57:07: warning: in instantiation at grt-rtis_utils.adb:425 [enabled by default]
grt-disp_signals.adb:57:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Scalar" (4) [enabled by default]
grt-disp_signals.adb:57:07: warning: in instantiation at grt-rtis_utils.adb:436 [enabled by default]
grt-disp_signals.adb:57:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4) [enabled by default]
grt-disp_signals.adb:57:07: warning: in instantiation at grt-rtis_utils.adb:438 [enabled by default]
grt-disp_signals.adb:57:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Array" (4) [enabled by default]
grt-disp_signals.adb:57:07: warning: in instantiation at grt-rtis_utils.adb:445 [enabled by default]
grt-disp_signals.adb:57:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4) [enabled by default]
grt-disp_signals.adb:57:07: warning: in instantiation at grt-rtis_utils.adb:447 [enabled by default]
grt-disp_signals.adb:57:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Array" (4) [enabled by default]
grt-disp_signals.adb:57:07: warning: in instantiation at grt-rtis_utils.adb:469 [enabled by default]
grt-disp_signals.adb:57:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Record" (4) [enabled by default]
grt-disp_signals.adb:57:07: warning: in instantiation at grt-rtis_utils.adb:482 [enabled by default]
grt-disp_signals.adb:57:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4) [enabled by default]
grt-disp_signals.adb:57:07: warning: in instantiation at grt-rtis_utils.adb:484 [enabled by default]
grt-disp_signals.adb:57:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Record" (4) [enabled by default]
grt-disp_signals.adb:57:07: warning: in instantiation at grt-rtis_utils.adb:491 [enabled by default]
grt-disp_signals.adb:57:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4) [enabled by default]
grt-disp_signals.adb:57:07: warning: in instantiation at grt-rtis_utils.adb:493 [enabled by default]
grt-disp_signals.adb:57:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Record" (4) [enabled by default]
grt-disp_signals.adb:74:48: warning: source alignment (1) < alignment of "Ghdl_Rtin_Object" (4) [enabled by default]
grt-disp_signals.adb:85:07: warning: in instantiation at grt-rtis_utils.adb:48 [enabled by default]
grt-disp_signals.adb:85:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4) [enabled by default]
grt-disp_signals.adb:85:07: warning: in instantiation at grt-rtis_utils.adb:59 [enabled by default]
grt-disp_signals.adb:85:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4) [enabled by default]
grt-disp_signals.adb:85:07: warning: in instantiation at grt-rtis_utils.adb:67 [enabled by default]
grt-disp_signals.adb:85:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Generate" (4) [enabled by default]
grt-disp_signals.adb:85:07: warning: in instantiation at grt-rtis_utils.adb:91 [enabled by default]
grt-disp_signals.adb:85:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Instance" (4) [enabled by default]
grt-disp_signals.adb:85:07: warning: in instantiation at grt-rtis_utils.adb:120 [enabled by default]
grt-disp_signals.adb:85:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4) [enabled by default]
grt-disp_signals.adb:105:42: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4) [enabled by default]
grt-disp_signals.adb:520:07: warning: in instantiation at grt-rtis_utils.adb:404 [enabled by default]
grt-disp_signals.adb:520:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Element" (4) [enabled by default]
grt-disp_signals.adb:520:07: warning: in instantiation at grt-rtis_utils.adb:425 [enabled by default]
grt-disp_signals.adb:520:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Scalar" (4) [enabled by default]
grt-disp_signals.adb:520:07: warning: in instantiation at grt-rtis_utils.adb:436 [enabled by default]
grt-disp_signals.adb:520:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4) [enabled by default]
grt-disp_signals.adb:520:07: warning: in instantiation at grt-rtis_utils.adb:438 [enabled by default]
grt-disp_signals.adb:520:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Array" (4) [enabled by default]
grt-disp_signals.adb:520:07: warning: in instantiation at grt-rtis_utils.adb:445 [enabled by default]
grt-disp_signals.adb:520:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4) [enabled by default]
grt-disp_signals.adb:520:07: warning: in instantiation at grt-rtis_utils.adb:447 [enabled by default]
grt-disp_signals.adb:520:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Array" (4) [enabled by default]
grt-disp_signals.adb:520:07: warning: in instantiation at grt-rtis_utils.adb:469 [enabled by default]
grt-disp_signals.adb:520:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Record" (4) [enabled by default]
grt-disp_signals.adb:520:07: warning: in instantiation at grt-rtis_utils.adb:482 [enabled by default]
grt-disp_signals.adb:520:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4) [enabled by default]
grt-disp_signals.adb:520:07: warning: in instantiation at grt-rtis_utils.adb:484 [enabled by default]
grt-disp_signals.adb:520:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Record" (4) [enabled by default]
grt-disp_signals.adb:520:07: warning: in instantiation at grt-rtis_utils.adb:491 [enabled by default]
grt-disp_signals.adb:520:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4) [enabled by default]
grt-disp_signals.adb:520:07: warning: in instantiation at grt-rtis_utils.adb:493 [enabled by default]
grt-disp_signals.adb:520:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Record" (4) [enabled by default]
grt-disp_signals.adb:534:52: warning: source alignment (1) < alignment of "Ghdl_Rtin_Object" (4) [enabled by default]
grt-disp_signals.adb:548:07: warning: in instantiation at grt-rtis_utils.adb:48 [enabled by default]
grt-disp_signals.adb:548:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4) [enabled by default]
grt-disp_signals.adb:548:07: warning: in instantiation at grt-rtis_utils.adb:59 [enabled by default]
grt-disp_signals.adb:548:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4) [enabled by default]
grt-disp_signals.adb:548:07: warning: in instantiation at grt-rtis_utils.adb:67 [enabled by default]
grt-disp_signals.adb:548:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Generate" (4) [enabled by default]
grt-disp_signals.adb:548:07: warning: in instantiation at grt-rtis_utils.adb:91 [enabled by default]
grt-disp_signals.adb:548:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Instance" (4) [enabled by default]
grt-disp_signals.adb:548:07: warning: in instantiation at grt-rtis_utils.adb:120 [enabled by default]
grt-disp_signals.adb:548:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4) [enabled by default]
  "grt-threads.ali" being checked ...
  -> "grt-threads.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-threads.ads
  "grt-stack2.ali" being checked ...
  -> "grt-stack2.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-stack2.adb
  "grt-backtraces-impl.ali" being checked ...
  -> "grt-backtraces-impl.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/grt-backtraces-impl.ads
  "grt-fst_api.ali" being checked ...
  -> "grt-fst_api.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-fst_api.ads
  "grt-rtis_types.ali" being checked ...
  -> "grt-rtis_types.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-rtis_types.adb
  "grt-wave_opt-design.ali" being checked ...
  -> "grt-wave_opt-design.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-wave_opt-design.adb
  "grt-zlib.ali" being checked ...
  -> "grt-zlib.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-zlib.ads
  "grt-sdf.ali" being checked ...
  -> "grt-sdf.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-sdf.adb
  "grt-vstrings_io.ali" being checked ...
  -> "grt-vstrings_io.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-vstrings_io.adb
  "grt-unithread.ali" being checked ...
  -> "grt-unithread.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-unithread.adb
  "grt-algos.ali" being checked ...
  -> "grt-algos.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-algos.adb
  "grt-avls.ali" being checked ...
  -> "grt-avls.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-avls.adb
  "grt-ghw.ali" being checked ...
  -> "grt-ghw.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-ghw.ads
  "grt-backtraces-jit.ali" being checked ...
  -> "grt-backtraces-jit.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-backtraces-jit.adb
  "version.ali" being checked ...
  -> "version.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/version.ads
End of compilation
sed -e '/^P /s/P /P NR /' < grt/ghdl_main.ali > grt/ghdl_main-tmp.ali
mv grt/ghdl_main-tmp.ali grt/ghdl_main.ali
cd grt && gnatmake -v -j4 -R -eS  -b ghdl_main.ali -bargs  -Lgrt_ -o run-bind.adb -n

GNATMAKE 12.2.0
Copyright (C) 1992-2022, Free Software Foundation, Inc.
arm-linux-gnueabihf-gnatbind-12 -Lgrt_ -o run-bind.adb -n -x ghdl_main.ali
cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j4 -R -eS  -u -c  -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -o run-bind.o run-bind.adb

GNATMAKE 12.2.0
Copyright (C) 1992-2022, Free Software Foundation, Inc.
  -> "run-bind.o" final executable
  "run-bind.ali" being checked ...
  -> "run-bind.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY run-bind.adb
End of compilation
cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j4 -R -eS  -u -c  -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -o main.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/main.adb -Igrt

GNATMAKE 12.2.0
Copyright (C) 1992-2022, Free Software Foundation, Inc.
  -> "main.o" final executable
  "main.ali" being checked ...
  -> "main.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/ -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -Igrt -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/main.adb
End of compilation
sed -e "1,/-- *BEGIN/d" -e "/-- *END/,\$d" \
  -e "s/   --   //" < grt/run-bind.adb | tr -d '\r' > grt/grt-files
rm -f -f lib/ghdl/llvm/libgrt.a
ar rcv lib/ghdl/llvm/libgrt.a `sed -e "/^-/d" -e "s!^!grt/!" < grt/grt-files` \
 jumps.o times.o grt-cstdio.o grt-cgnatrts.o grt-cvpi.o grt-cvhpi.o grt-cdynload.o fstapi.o lz4.o fastlz.o grt/run-bind.o grt/main.o
a - grt/./grt.o
a - grt/./grt-c.o
a - grt/./grt-fcvt.o
a - grt/./grt-severity.o
a - grt/./grt-stdio.o
a - grt/./grt-strings.o
a - grt/./grt-types.o
a - grt/./grt-astdio.o
a - grt/./grt-callbacks.o
a - grt/./grt-hooks.o
a - grt/./grt-wave_opt.o
a - grt/./grt-wave_opt-file.o
a - grt/./grt-astdio-vhdl.o
a - grt/./grt-errors.o
a - grt/./grt-options.o
a - grt/./grt-rtis.o
a - grt/./grt-rtis_binding.o
a - grt/./grt-shadow_ieee.o
a - grt/./grt-vstrings.o
a - grt/./grt-wave_opt-design.o
a - grt/./grt-algos.o
a - grt/./grt-avls.o
a - grt/./grt-fst_api.o
a - grt/./grt-ghw.o
a - grt/./grt-rtis_addr.o
a - grt/./grt-stack2.o
a - grt/./grt-table.o
a - grt/./grt-to_strings.o
a - grt/./grt-vstrings_io.o
a - grt/./grt-rtis_utils.o
a - grt/./grt-avhpi.o
a - grt/./grt-avhpi_utils.o
a - grt/./grt-backtraces-jit.o
a - grt/./grt-backtraces-impl.o
a - grt/./grt-backtraces.o
a - grt/./grt-disp.o
a - grt/./grt-disp_signals.o
a - grt/./grt-stats.o
a - grt/./grt-unithread.o
a - grt/./grt-threads.o
a - grt/./grt-errors_exec.o
a - grt/./grt-processes.o
a - grt/./grt-signals.o
a - grt/./grt-disp_rti.o
a - grt/./grt-disp_tree.o
a - grt/./grt-files.o
a - grt/./grt-images.o
a - grt/./grt-lib.o
a - grt/./grt-names.o
a - grt/./grt-psl.o
a - grt/./grt-rtis_types.o
a - grt/./grt-vital_annotate.o
a - grt/./grt-sdf.o
a - grt/./grt-std_logic_1164.o
a - grt/./grt-values.o
a - grt/./grt-change_generics.o
a - grt/./grt-vcd.o
a - grt/./grt-fst.o
a - grt/./grt-vhpi.o
a - grt/./grt-waves.o
a - grt/./grt-zlib.o
a - grt/./grt-vcdz.o
a - grt/./version.o
a - grt/./grt-vpi.o
a - grt/./grt-modules.o
a - grt/./grt-main.o
a - grt/./ghdl_main.o
a - jumps.o
a - times.o
a - grt-cstdio.o
a - grt-cgnatrts.o
a - grt-cvpi.o
a - grt-cvhpi.o
a - grt-cdynload.o
a - fstapi.o
a - lz4.o
a - fastlz.o
a - grt/run-bind.o
a - grt/main.o
ranlib lib/ghdl/llvm/libgrt.a
sed -e "\!^.[/\\]!d" -e "/-shared/d" -e "/-static/d" -e "/-lgnat/d" \
  -e "\X-L/Xd" < grt/grt-files > grt/grt-files.in
echo "@/libgrt.a" > lib/ghdl/llvm/grt.lst
for i in -ldl -lm; do echo $i >> lib/ghdl/llvm/grt.lst; done
cat grt/grt-files.in >> lib/ghdl/llvm/grt.lst
echo "# link options for executables" > lib/ghdl/llvm/grt-exec.lst
for i in -Wl,--version-script=@/grt.ver -Wl,--export-dynamic; do echo $i >> lib/ghdl/llvm/grt-exec.lst; done
echo "# link options for shared libraries" > lib/ghdl/llvm/grt-shared.lst
for i in -Wl,-u,ghdl_main; do echo $i >> lib/ghdl/llvm/grt-shared.lst; done
cp /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.ver lib/ghdl/llvm/grt.ver
make -f ../../libraries/Makefile.inc LIBSRC_DIR="../../libraries" LIBDST_DIR="lib/ghdl/llvm" enable_gplcompat="true" LN="ln -s" CP="cp" MKDIR="mkdir" GHDL=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm GHDL_FLAGS="--GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm " vhdl.libs.all libs.vhdl.standard
make[3]: Entering directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm'
sed -e '/--!V87/s/^/  --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/std/textio.vhdl > lib/ghdl/llvm/src/std/v87/textio.vhdl
sed -e '/--!V87/s/^/  --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/std/textio-body.vhdl > lib/ghdl/llvm/src/std/v87/textio-body.vhdl
rm -f -f lib/ghdl/llvm/std/v87/std-obj87.cf
cd lib/ghdl/llvm/std/v87; \
for i in std/v87/textio.vhdl std/v87/textio-body.vhdl; do \
  cmd="/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm  --std=87 --bootstrap --work=std ../../src/$i"; \
  echo $cmd; eval $cmd || exit 1; \
done
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=87 --bootstrap --work=std ../../src/std/v87/textio.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=87 --bootstrap --work=std ../../src/std/v87/textio-body.vhdl
../../src/std/v87/textio-body.vhdl:457:35:warning: declaration of "left" hides enumeration literal left [-Whide]
  procedure trim (l : inout line; left : natural)
                                  ^
../../src/std/v87/textio-body.vhdl:488:40:warning: declaration of "left" hides enumeration literal left [-Whide]
  procedure trim_next (l : inout line; left : natural)
                                       ^
../../src/std/v87/textio-body.vhdl:844:14:warning: declaration of "left" hides enumeration literal left [-Whide]
    variable left : positive;
             ^
../../src/std/v87/textio-body.vhdl:846:26:warning: declaration of "right" hides enumeration literal right [-Whide]
    procedure set_value (right : positive; off : natural) is
                         ^
sed -e '/--!V87/s/^/  --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/std_logic_1164.vhdl > lib/ghdl/llvm/src/ieee/v87/std_logic_1164.vhdl
sed -e '/--!V87/s/^/  --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/std_logic_1164-body.vhdl > lib/ghdl/llvm/src/ieee/v87/std_logic_1164-body.vhdl
sed -e '/--!V87/s/^/  --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_bit.vhdl > lib/ghdl/llvm/src/ieee/v87/numeric_bit.vhdl
sed -e '/--!V87/s/^/  --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_bit-body.vhdl > lib/ghdl/llvm/src/ieee/v87/numeric_bit-body.vhdl
sed -e '/--!V87/s/^/  --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_std.vhdl > lib/ghdl/llvm/src/ieee/v87/numeric_std.vhdl
sed -e '/--!V87/s/^/  --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_std-body.vhdl > lib/ghdl/llvm/src/ieee/v87/numeric_std-body.vhdl
cp ../../libraries/upf/upf.vhdl lib/ghdl/llvm/src/upf/upf.vhdl
cp ../../libraries/upf/upf-body.vhdl lib/ghdl/llvm/src/upf/upf-body.vhdl
cp ../../libraries/synopsys/std_logic_arith.vhdl lib/ghdl/llvm/src/synopsys/std_logic_arith.vhdl
cp ../../libraries/synopsys/std_logic_unsigned.vhdl lib/ghdl/llvm/src/synopsys/std_logic_unsigned.vhdl
cp ../../libraries/synopsys/std_logic_signed.vhdl lib/ghdl/llvm/src/synopsys/std_logic_signed.vhdl
cp ../../libraries/synopsys/std_logic_misc.vhdl lib/ghdl/llvm/src/synopsys/std_logic_misc.vhdl
cp ../../libraries/synopsys/std_logic_misc-body.vhdl lib/ghdl/llvm/src/synopsys/std_logic_misc-body.vhdl
cp ../../libraries/synopsys/std_logic_textio.vhdl lib/ghdl/llvm/src/synopsys/std_logic_textio.vhdl
echo dep: lib/ghdl/llvm/src/synopsys/std_logic_arith.vhdl lib/ghdl/llvm/src/synopsys/std_logic_unsigned.vhdl lib/ghdl/llvm/src/synopsys/std_logic_signed.vhdl lib/ghdl/llvm/src/synopsys/std_logic_misc.vhdl lib/ghdl/llvm/src/synopsys/std_logic_misc-body.vhdl lib/ghdl/llvm/src/synopsys/std_logic_textio.vhdl
dep: lib/ghdl/llvm/src/synopsys/std_logic_arith.vhdl lib/ghdl/llvm/src/synopsys/std_logic_unsigned.vhdl lib/ghdl/llvm/src/synopsys/std_logic_signed.vhdl lib/ghdl/llvm/src/synopsys/std_logic_misc.vhdl lib/ghdl/llvm/src/synopsys/std_logic_misc-body.vhdl lib/ghdl/llvm/src/synopsys/std_logic_textio.vhdl
rm -f -f lib/ghdl/llvm/ieee/v87/ieee-obj87.cf
cd lib/ghdl/llvm/ieee/v87; \
for i in ieee/v87/std_logic_1164.vhdl ieee/v87/std_logic_1164-body.vhdl ieee/v87/numeric_bit.vhdl ieee/v87/numeric_bit-body.vhdl ieee/v87/numeric_std.vhdl ieee/v87/numeric_std-body.vhdl upf/upf.vhdl upf/upf-body.vhdl ; do \
          cmd="/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm  --std=87 -P../.. --work=ieee ../../src/$i";\
  echo $cmd; eval $cmd || exit 1; \
done; \
for i in synopsys/std_logic_arith.vhdl synopsys/std_logic_unsigned.vhdl synopsys/std_logic_signed.vhdl synopsys/std_logic_misc.vhdl synopsys/std_logic_misc-body.vhdl synopsys/std_logic_textio.vhdl; do \
          cmd="/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm  --std=87 -P../.. --work=ieee -fsynopsys ../../src/$i";\
  echo $cmd; eval $cmd || exit 1; \
done
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee ../../src/ieee/v87/std_logic_1164.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v87/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee ../../src/ieee/v87/std_logic_1164-body.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v87/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee ../../src/ieee/v87/numeric_bit.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v87/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee ../../src/ieee/v87/numeric_bit-body.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v87/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee ../../src/ieee/v87/numeric_std.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v87/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee ../../src/ieee/v87/numeric_std-body.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v87/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee ../../src/upf/upf.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v87/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee ../../src/upf/upf-body.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v87/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_arith.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v87/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_unsigned.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v87/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_signed.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v87/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_misc.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v87/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_misc-body.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v87/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_textio.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v87/' not found
sed -e '/--V87/s/^/  --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/std/textio.vhdl > lib/ghdl/llvm/src/std/v93/textio.vhdl
sed -e '/--V87/s/^/  --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/std/textio-body.vhdl > lib/ghdl/llvm/src/std/v93/textio-body.vhdl
rm -f -rf lib/ghdl/llvm/std/v93/std-obj93.cf
cd lib/ghdl/llvm/std/v93; \
for i in std/v93/textio.vhdl std/v93/textio-body.vhdl; do \
  cmd="/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm  --std=93 --bootstrap --work=std ../../src/$i"; \
  echo $cmd; eval $cmd || exit 1; \
done
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=93 --bootstrap --work=std ../../src/std/v93/textio.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=93 --bootstrap --work=std ../../src/std/v93/textio-body.vhdl
../../src/std/v93/textio-body.vhdl:457:35:warning: declaration of "left" hides enumeration literal left [-Whide]
  procedure trim (l : inout line; left : natural)
                                  ^
../../src/std/v93/textio-body.vhdl:488:40:warning: declaration of "left" hides enumeration literal left [-Whide]
  procedure trim_next (l : inout line; left : natural)
                                       ^
../../src/std/v93/textio-body.vhdl:844:14:warning: declaration of "left" hides enumeration literal left [-Whide]
    variable left : positive;
             ^
../../src/std/v93/textio-body.vhdl:846:26:warning: declaration of "right" hides enumeration literal right [-Whide]
    procedure set_value (right : positive; off : natural) is
                         ^
sed -e '/--V87/s/^/  --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/std_logic_1164.vhdl > lib/ghdl/llvm/src/ieee/v93/std_logic_1164.vhdl
sed -e '/--V87/s/^/  --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/std_logic_1164-body.vhdl > lib/ghdl/llvm/src/ieee/v93/std_logic_1164-body.vhdl
sed -e '/--V87/s/^/  --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_bit.vhdl > lib/ghdl/llvm/src/ieee/v93/numeric_bit.vhdl
sed -e '/--V87/s/^/  --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_bit-body.vhdl > lib/ghdl/llvm/src/ieee/v93/numeric_bit-body.vhdl
sed -e '/--V87/s/^/  --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_std.vhdl > lib/ghdl/llvm/src/ieee/v93/numeric_std.vhdl
sed -e '/--V87/s/^/  --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_std-body.vhdl > lib/ghdl/llvm/src/ieee/v93/numeric_std-body.vhdl
cp ../../libraries/ieee/math_real.vhdl lib/ghdl/llvm/src/ieee/math_real.vhdl
cp ../../libraries/ieee/math_real-body.vhdl lib/ghdl/llvm/src/ieee/math_real-body.vhdl
cp ../../libraries/ieee/math_complex.vhdl lib/ghdl/llvm/src/ieee/math_complex.vhdl
cp ../../libraries/ieee/math_complex-body.vhdl lib/ghdl/llvm/src/ieee/math_complex-body.vhdl
rm -f -f lib/ghdl/llvm/ieee/v93/ieee-obj93.cf
cd lib/ghdl/llvm/ieee/v93; \
for i in ieee/v93/std_logic_1164.vhdl ieee/v93/std_logic_1164-body.vhdl ieee/v93/numeric_bit.vhdl ieee/v93/numeric_bit-body.vhdl ieee/v93/numeric_std.vhdl ieee/v93/numeric_std-body.vhdl ieee/math_real.vhdl ieee/math_real-body.vhdl ieee/math_complex.vhdl ieee/math_complex-body.vhdl upf/upf.vhdl upf/upf-body.vhdl ; do \
          cmd="/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm  --std=93 -P../.. --work=ieee ../../src/$i"; \
  echo $cmd; eval $cmd || exit 1; \
done; \
for i in synopsys/std_logic_arith.vhdl synopsys/std_logic_unsigned.vhdl synopsys/std_logic_signed.vhdl synopsys/std_logic_misc.vhdl synopsys/std_logic_misc-body.vhdl synopsys/std_logic_textio.vhdl; do \
          cmd="/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm  --std=93 -P../.. --work=ieee -fsynopsys ../../src/$i"; \
  echo $cmd; eval $cmd || exit 1; \
done
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee ../../src/ieee/v93/std_logic_1164.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v93/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee ../../src/ieee/v93/std_logic_1164-body.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v93/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee ../../src/ieee/v93/numeric_bit.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v93/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee ../../src/ieee/v93/numeric_bit-body.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v93/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee ../../src/ieee/v93/numeric_std.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v93/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee ../../src/ieee/v93/numeric_std-body.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v93/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee ../../src/ieee/math_real.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v93/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee ../../src/ieee/math_real-body.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v93/' not found
../../src/ieee/math_real-body.vhdl:830:14:warning: declaration of "real_vector" hides type "real_vector" [-Whide]
        type REAL_VECTOR is array (NATURAL range <>) of REAL;
             ^
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee ../../src/ieee/math_complex.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v93/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee ../../src/ieee/math_complex-body.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v93/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee ../../src/upf/upf.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v93/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee ../../src/upf/upf-body.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v93/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_arith.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v93/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_unsigned.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v93/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_signed.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v93/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_misc.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v93/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_misc-body.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v93/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_textio.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v93/' not found
sed -e '/--V87/s/^/  --/' -e '/--!V08/s/^/  --/' -e '/--START-!V08/,/--END-!V08/s/^/--/' < ../../libraries/std/textio.vhdl > lib/ghdl/llvm/src/std/v08/textio.vhdl
sed -e '/--V87/s/^/  --/' -e '/--!V08/s/^/  --/' -e '/--START-!V08/,/--END-!V08/s/^/--/' < ../../libraries/std/textio-body.vhdl > lib/ghdl/llvm/src/std/v08/textio-body.vhdl
cp ../../libraries/std/env.vhdl lib/ghdl/llvm/src/std/env.vhdl
cp ../../libraries/std/env-body.vhdl lib/ghdl/llvm/src/std/env-body.vhdl
rm -f -f lib/ghdl/llvm/std/v08/std-obj08.cf
cd lib/ghdl/llvm/std/v08; \
for i in std/v08/textio.vhdl std/v08/textio-body.vhdl std/env.vhdl std/env-body.vhdl; do \
  cmd="/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm  --std=08 --bootstrap --work=std ../../src/$i"; \
  echo $cmd; eval $cmd || exit 1; \
done
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 --bootstrap --work=std ../../src/std/v08/textio.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 --bootstrap --work=std ../../src/std/v08/textio-body.vhdl
../../src/std/v08/textio-body.vhdl:457:35:warning: declaration of "left" hides enumeration literal left [-Whide]
  procedure trim (l : inout line; left : natural)
                                  ^
../../src/std/v08/textio-body.vhdl:488:40:warning: declaration of "left" hides enumeration literal left [-Whide]
  procedure trim_next (l : inout line; left : natural)
                                       ^
../../src/std/v08/textio-body.vhdl:844:14:warning: declaration of "left" hides enumeration literal left [-Whide]
    variable left : positive;
             ^
../../src/std/v08/textio-body.vhdl:846:26:warning: declaration of "right" hides enumeration literal right [-Whide]
    procedure set_value (right : positive; off : natural) is
                         ^
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 --bootstrap --work=std ../../src/std/env.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 --bootstrap --work=std ../../src/std/env-body.vhdl
cp ../../libraries/ieee2008/std_logic_1164.vhdl lib/ghdl/llvm/src/ieee2008/std_logic_1164.vhdl
cp ../../libraries/ieee2008/std_logic_1164-body.vhdl lib/ghdl/llvm/src/ieee2008/std_logic_1164-body.vhdl
cp ../../libraries/ieee2008/std_logic_textio.vhdl lib/ghdl/llvm/src/ieee2008/std_logic_textio.vhdl
cp ../../libraries/ieee2008/math_real.vhdl lib/ghdl/llvm/src/ieee2008/math_real.vhdl
cp ../../libraries/ieee2008/math_real-body.vhdl lib/ghdl/llvm/src/ieee2008/math_real-body.vhdl
cp ../../libraries/ieee2008/math_complex.vhdl lib/ghdl/llvm/src/ieee2008/math_complex.vhdl
cp ../../libraries/ieee2008/math_complex-body.vhdl lib/ghdl/llvm/src/ieee2008/math_complex-body.vhdl
cp ../../libraries/ieee2008/numeric_bit.vhdl lib/ghdl/llvm/src/ieee2008/numeric_bit.vhdl
cp ../../libraries/ieee2008/numeric_bit-body.vhdl lib/ghdl/llvm/src/ieee2008/numeric_bit-body.vhdl
cp ../../libraries/ieee2008/numeric_bit_unsigned.vhdl lib/ghdl/llvm/src/ieee2008/numeric_bit_unsigned.vhdl
cp ../../libraries/ieee2008/numeric_bit_unsigned-body.vhdl lib/ghdl/llvm/src/ieee2008/numeric_bit_unsigned-body.vhdl
cp ../../libraries/ieee2008/numeric_std.vhdl lib/ghdl/llvm/src/ieee2008/numeric_std.vhdl
cp ../../libraries/ieee2008/numeric_std-body.vhdl lib/ghdl/llvm/src/ieee2008/numeric_std-body.vhdl
cp ../../libraries/ieee2008/numeric_std_unsigned.vhdl lib/ghdl/llvm/src/ieee2008/numeric_std_unsigned.vhdl
cp ../../libraries/ieee2008/numeric_std_unsigned-body.vhdl lib/ghdl/llvm/src/ieee2008/numeric_std_unsigned-body.vhdl
cp ../../libraries/ieee2008/fixed_float_types.vhdl lib/ghdl/llvm/src/ieee2008/fixed_float_types.vhdl
cp ../../libraries/ieee2008/fixed_generic_pkg.vhdl lib/ghdl/llvm/src/ieee2008/fixed_generic_pkg.vhdl
cp ../../libraries/ieee2008/fixed_generic_pkg-body.vhdl lib/ghdl/llvm/src/ieee2008/fixed_generic_pkg-body.vhdl
cp ../../libraries/ieee2008/fixed_pkg.vhdl lib/ghdl/llvm/src/ieee2008/fixed_pkg.vhdl
cp ../../libraries/ieee2008/float_generic_pkg.vhdl lib/ghdl/llvm/src/ieee2008/float_generic_pkg.vhdl
cp ../../libraries/ieee2008/float_generic_pkg-body.vhdl lib/ghdl/llvm/src/ieee2008/float_generic_pkg-body.vhdl
cp ../../libraries/ieee2008/float_pkg.vhdl lib/ghdl/llvm/src/ieee2008/float_pkg.vhdl
cp ../../libraries/ieee2008/ieee_bit_context.vhdl lib/ghdl/llvm/src/ieee2008/ieee_bit_context.vhdl
cp ../../libraries/ieee2008/ieee_std_context.vhdl lib/ghdl/llvm/src/ieee2008/ieee_std_context.vhdl
sed -e '/--V87/s/^/  --/' -e '/--!V08/s/^/  --/' -e '/--START-!V08/,/--END-!V08/s/^/--/' < ../../libraries/synopsys/std_logic_misc.vhdl > lib/ghdl/llvm/src/synopsys/v08/std_logic_misc.vhdl
sed -e '/--V87/s/^/  --/' -e '/--!V08/s/^/  --/' -e '/--START-!V08/,/--END-!V08/s/^/--/' < ../../libraries/synopsys/std_logic_misc-body.vhdl > lib/ghdl/llvm/src/synopsys/v08/std_logic_misc-body.vhdl
rm -f -f lib/ghdl/llvm/ieee/v08/ieee-obj08.cf
cd lib/ghdl/llvm/ieee/v08; \
for i in ieee2008/std_logic_1164.vhdl ieee2008/std_logic_1164-body.vhdl ieee2008/std_logic_textio.vhdl ieee2008/math_real.vhdl ieee2008/math_real-body.vhdl ieee2008/math_complex.vhdl ieee2008/math_complex-body.vhdl ieee2008/numeric_bit.vhdl ieee2008/numeric_bit-body.vhdl ieee2008/numeric_bit_unsigned.vhdl ieee2008/numeric_bit_unsigned-body.vhdl ieee2008/numeric_std.vhdl ieee2008/numeric_std-body.vhdl ieee2008/numeric_std_unsigned.vhdl ieee2008/numeric_std_unsigned-body.vhdl ieee2008/fixed_float_types.vhdl ieee2008/fixed_generic_pkg.vhdl ieee2008/fixed_generic_pkg-body.vhdl ieee2008/fixed_pkg.vhdl ieee2008/float_generic_pkg.vhdl ieee2008/float_generic_pkg-body.vhdl ieee2008/float_pkg.vhdl ieee2008/ieee_bit_context.vhdl ieee2008/ieee_std_context.vhdl upf/upf.vhdl upf/upf-body.vhdl; do \
          cmd="/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm  --std=08 -P../.. --work=ieee ../../src/$i"; \
  echo $cmd; eval $cmd || exit 1; \
done; \
for i in ; do \
          cmd="/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm  --std=08 -P../.. --work=ieee -frelaxed-rules ../../src/$i"; \
  echo $cmd; eval $cmd || exit 1; \
done; \
for i in synopsys/std_logic_arith.vhdl synopsys/std_logic_unsigned.vhdl synopsys/std_logic_signed.vhdl synopsys/v08/std_logic_misc.vhdl synopsys/v08/std_logic_misc-body.vhdl; do \
          cmd="/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm  --std=08 -P../.. --work=ieee -fsynopsys ../../src/$i"; \
  echo $cmd; eval $cmd || exit 1; \
done
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/std_logic_1164.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/std_logic_1164-body.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/std_logic_textio.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/math_real.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/math_real-body.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found
../../src/ieee2008/math_real-body.vhdl:830:14:warning: declaration of "real_vector" hides type "real_vector" [-Whide]
        type REAL_VECTOR is array (NATURAL range <>) of REAL;
             ^
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/math_complex.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/math_complex-body.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_bit.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_bit-body.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_bit_unsigned.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_bit_unsigned-body.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_std.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_std-body.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_std_unsigned.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_std_unsigned-body.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/fixed_float_types.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/fixed_generic_pkg.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/fixed_generic_pkg-body.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found
../../src/ieee2008/fixed_generic_pkg-body.vhdl:192:25:warning: declaration of "remainder" hides function "remainder" [-Whide]
                        remainder      : UNRESOLVED_ufixed;
                        ^
../../src/ieee2008/fixed_generic_pkg-body.vhdl:225:25:warning: declaration of "remainder" hides function "remainder" [-Whide]
                        remainder      : UNRESOLVED_sfixed;
                        ^
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/fixed_pkg.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/float_generic_pkg.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/float_generic_pkg-body.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found
../../src/ieee2008/float_generic_pkg-body.vhdl:182:5:warning: declaration of "remainder" hides function "remainder" [-Whide]
    remainder            : UNSIGNED;    -- remainder to round from
    ^
../../src/ieee2008/float_generic_pkg-body.vhdl:302:14:warning: declaration of "remainder" hides function "remainder" [-Whide]
    variable remainder      : UNSIGNED (2 downto 0);
             ^
../../src/ieee2008/float_generic_pkg-body.vhdl:1026:7:warning: declaration of "arg" hides constant interface "arg" [-Whide]
      arg : UNSIGNED)
      ^
../../src/ieee2008/float_generic_pkg-body.vhdl:5299:14:warning: declaration of "ne" hides function "ne" [-Whide]
    constant ne         : INTEGER := ((VALUE'length+2)/3) * 3;   -- pad
             ^
../../src/ieee2008/float_generic_pkg-body.vhdl:5378:14:warning: declaration of "ne" hides function "ne" [-Whide]
    constant ne         : INTEGER := ((VALUE'length+2)/3) * 3;   -- pad
             ^
../../src/ieee2008/float_generic_pkg-body.vhdl:5448:14:warning: declaration of "ne" hides function "ne" [-Whide]
    constant ne         : INTEGER := ((VALUE'length+3)/4) * 4;   -- pad
             ^
../../src/ieee2008/float_generic_pkg-body.vhdl:5527:14:warning: declaration of "ne" hides function "ne" [-Whide]
    constant ne         : INTEGER := ((VALUE'length+3)/4) * 4;   -- pad
             ^
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/float_pkg.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/ieee_bit_context.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/ieee_std_context.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/upf/upf.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/upf/upf-body.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_arith.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_unsigned.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_signed.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee -fsynopsys ../../src/synopsys/v08/std_logic_misc.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee -fsynopsys ../../src/synopsys/v08/std_logic_misc-body.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found
cp ../../libraries/ieee2008/LICENSE lib/ghdl/llvm/src/ieee2008/LICENSE
cd lib/ghdl/llvm/std/v87; /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm --bootstrap-standard --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm  --std=87
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v87/' not found
cd lib/ghdl/llvm/std/v93; /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm --bootstrap-standard --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm  --std=93
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v93/' not found
cd lib/ghdl/llvm/std/v08; /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm --bootstrap-standard --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm  --std=08
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found
make[3]: Leaving directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm'
gcc-12 -c -g -o vpi_thunk.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vpi_thunk.c -fPIC -g -Wall -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong
gcc-12 -c -g -o vhpi_thunk.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_thunk.c -fPIC -g -Wall -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong
In file included from /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_thunk.c:30:
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:2:1: warning: "/*" within comment [-Wcomment]
    2 | /*
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:3:1: warning: "/*" within comment [-Wcomment]
    3 | /* Copyright 2019 IEEE P1076 WG Authors
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:4:1: warning: "/*" within comment [-Wcomment]
    4 | /*
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:5:1: warning: "/*" within comment [-Wcomment]
    5 | /* See the LICENSE file distributed with this work for copyright and
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:6:1: warning: "/*" within comment [-Wcomment]
    6 | /* licensing information and the AUTHORS file.
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:7:1: warning: "/*" within comment [-Wcomment]
    7 | /*
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:8:1: warning: "/*" within comment [-Wcomment]
    8 | /* This file to you under the Apache License, Version 2.0 (the "License").
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:9:1: warning: "/*" within comment [-Wcomment]
    9 | /* You may obtain a copy of the License at
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:10:1: warning: "/*" within comment [-Wcomment]
   10 | /*
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:11:1: warning: "/*" within comment [-Wcomment]
   11 | /*     http://www.apache.org/licenses/LICENSE-2.0
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:12:1: warning: "/*" within comment [-Wcomment]
   12 | /*
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:13:1: warning: "/*" within comment [-Wcomment]
   13 | /* Unless required by applicable law or agreed to in writing, software
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:14:1: warning: "/*" within comment [-Wcomment]
   14 | /* distributed under the License is distributed on an "AS IS" BASIS,
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:15:1: warning: "/*" within comment [-Wcomment]
   15 | /* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:16:1: warning: "/*" within comment [-Wcomment]
   16 | /* implied.  See the License for the specific language governing
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:17:1: warning: "/*" within comment [-Wcomment]
   17 | /* permissions and limitations under the License.
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:18:1: warning: "/*" within comment [-Wcomment]
   18 | /*
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:19:1: warning: "/*" within comment [-Wcomment]
   19 | /*
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:20:1: warning: "/*" within comment [-Wcomment]
   20 | /*   Title     :  vhpi_user.h
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:21:1: warning: "/*" within comment [-Wcomment]
   21 | /*             :
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:22:1: warning: "/*" within comment [-Wcomment]
   22 | /*   Developers:  IEEE P1076 Working Group, VHPI Task Force
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:23:1: warning: "/*" within comment [-Wcomment]
   23 | /*             :
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:24:1: warning: "/*" within comment [-Wcomment]
   24 | /*   Purpose   :  This header file describes the procedural interface
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:25:1: warning: "/*" within comment [-Wcomment]
   25 | /*             :  to access VHDL compiled, instantiated and run-time
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:26:1: warning: "/*" within comment [-Wcomment]
   26 | /*             :  data.It is derived from the UML model. For conformance
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:27:1: warning: "/*" within comment [-Wcomment]
   27 | /*             :  with the VHPI standard, a VHPI application or program
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:28:1: warning: "/*" within comment [-Wcomment]
   28 | /*             :  shall reference this header file.
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:29:1: warning: "/*" within comment [-Wcomment]
   29 | /*             :
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:30:1: warning: "/*" within comment [-Wcomment]
   30 | /*   Note      :  The contents of this file may be modified in an
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:31:1: warning: "/*" within comment [-Wcomment]
   31 | /*             :  implementation to provide implementation-defined
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:32:1: warning: "/*" within comment [-Wcomment]
   32 | /*             :  functionality, as described in B.3.
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:33:1: warning: "/*" within comment [-Wcomment]
   33 | /*             :
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:34:1: warning: "/*" within comment [-Wcomment]
   34 | /* --------------------------------------------------------------------
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:35:1: warning: "/*" within comment [-Wcomment]
   35 | /* modification history :
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:36:1: warning: "/*" within comment [-Wcomment]
   36 | /* --------------------------------------------------------------------
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:37:1: warning: "/*" within comment [-Wcomment]
   37 | /* $Revision: 1315 $
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:38:1: warning: "/*" within comment [-Wcomment]
   38 | /* $Date: 2008-07-13 10:11:53 +0930 (Sun, 13 Jul 2008) $
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:39:1: warning: "/*" within comment [-Wcomment]
   39 | /* --------------------------------------------------------------------
      |  
gcc-12 -g -Wl,-z,relro -Wl,-z,now -Wl,-soname,libghdlvpi.so -Wl,-z,relro -Wl,-z,now -shared -o lib/libghdlvpi.so vpi_thunk.o vhpi_thunk.o
gcc-12 -c  -fPIC -g -Wall -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -o pic/grt-cstdio.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt-cstdio.c
gnatmake -v -j4 -R -eS  -I- -aI. -D pic -z libghdl -o lib/libghdl-2_0_0.so -gnat12 -gnat12 -gnaty3befhkmr -g  -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -aI../../src -aI../../src/vhdl -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/synth -aI../../src/vhdl/libghdl -aI../../src/ghdldrv -bargs -shared -Llibghdl_ -largs -g -shared -Wl,-z,relro -Wl,-z,now -Wl,-soname,libghdl-2_0_0.so -Wl,-z,relro -Wl,-z,now pic/grt-cstdio.o

GNATMAKE 12.2.0
Copyright (C) 1992-2022, Free Software Foundation, Inc.
  -> "lib/libghdl-2_0_0.so" final executable
  "libghdl.ali" being checked ...
  -> "libghdl.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/libghdl.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/libghdl/libghdl.adb
  "errorout.ali" being checked ...
  -> "errorout.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/errorout.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/errorout.adb
  "errorout-memory.ali" being checked ...
  -> "errorout-memory.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/errorout-memory.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/errorout-memory.adb
  "files_map.ali" being checked ...
  -> "files_map.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/files_map.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/files_map.adb
  "files_map-editor.ali" being checked ...
  -> "files_map-editor.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/files_map-editor.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/files_map-editor.adb
  "ghdlcomp.ali" being checked ...
  -> "ghdlcomp.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/ghdlcomp.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/ghdldrv/ghdlcomp.adb
  "ghdllocal.ali" being checked ...
  -> "ghdllocal.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/ghdllocal.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/ghdldrv/ghdllocal.adb
  "options.ali" being checked ...
  -> "options.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/options.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/options.adb
  "vhdl.ali" being checked ...
  -> "vhdl.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl.ads
  "vhdl-formatters.ali" being checked ...
  -> "vhdl-formatters.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-formatters.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-formatters.adb
  "ghdlsynth_maybe.ali" being checked ...
  -> "ghdlsynth_maybe.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/ghdlsynth_maybe.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/ghdlsynth_maybe.ads
  "types.ali" being checked ...
  -> "types.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/types.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/types.ads
  "vhdl-nodes.ali" being checked ...
  -> "vhdl-nodes.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-nodes.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-nodes.adb
  "dyn_tables.ali" being checked ...
  -> "dyn_tables.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/dyn_tables.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/dyn_tables.adb
  "tables.ali" being checked ...
  -> "tables.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/tables.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/tables.adb
  "name_table.ali" being checked ...
  -> "name_table.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/name_table.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/name_table.adb
  "str_table.ali" being checked ...
  -> "str_table.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/str_table.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/str_table.adb
  "logging.ali" being checked ...
  -> "logging.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/logging.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/logging.adb
  "flags.ali" being checked ...
  -> "flags.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/flags.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/flags.adb
  "libraries.ali" being checked ...
  -> "libraries.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/libraries.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/libraries.adb
  "psl.ali" being checked ...
  -> "psl.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/psl.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/psl/psl.ads
  "psl-dump_tree.ali" being checked ...
  -> "psl-dump_tree.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/psl-dump_tree.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/psl/psl-dump_tree.adb
  "psl-nodes.ali" being checked ...
  -> "psl-nodes.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/psl-nodes.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/psl/psl-nodes.adb
  "simple_io.ali" being checked ...
  -> "simple_io.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/simple_io.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/simple_io.adb
  "std_names.ali" being checked ...
  -> "std_names.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/std_names.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/std_names.adb
  "vhdl-back_end.ali" being checked ...
  -> "vhdl-back_end.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-back_end.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-back_end.ads
  "vhdl-disp_tree.ali" being checked ...
  -> "vhdl-disp_tree.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-disp_tree.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-disp_tree.adb
  "vhdl-errors.ali" being checked ...
  -> "vhdl-errors.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-errors.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-errors.adb
  "vhdl-lists.ali" being checked ...
  -> "vhdl-lists.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-lists.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-lists.ads
  "vhdl-parse.ali" being checked ...
  -> "vhdl-parse.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-parse.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-parse.adb
  "vhdl-scanner.ali" being checked ...
  -> "vhdl-scanner.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-scanner.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-scanner.adb
  "ghdlmain.ali" being checked ...
  -> "ghdlmain.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/ghdlmain.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/ghdldrv/ghdlmain.adb
  "vhdl-configuration.ali" being checked ...
  -> "vhdl-configuration.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-configuration.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-configuration.adb
  "vhdl-sem.ali" being checked ...
  -> "vhdl-sem.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-sem.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem.adb
  "vhdl-sem_lib.ali" being checked ...
  -> "vhdl-sem_lib.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-sem_lib.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_lib.adb
  "vhdl-std_package.ali" being checked ...
  -> "vhdl-std_package.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-std_package.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-std_package.adb
  "vhdl-utils.ali" being checked ...
  -> "vhdl-utils.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-utils.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-utils.adb
  "ghdlsynth.ali" being checked ...
  -> "ghdlsynth.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/ghdlsynth.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/ghdldrv/ghdlsynth.adb
  "vhdl-types.ali" being checked ...
  -> "vhdl-types.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-types.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-types.ads
  "utils_io.ali" being checked ...
  -> "utils_io.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/utils_io.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/utils_io.adb
  "vhdl-prints.ali" being checked ...
  -> "vhdl-prints.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-prints.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-prints.adb
  "vhdl-tokens.ali" being checked ...
  -> "vhdl-tokens.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-tokens.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-tokens.adb
  "grt.ali" being checked ...
  -> "grt.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/grt.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt.ads
  "grt-types.ali" being checked ...
  -> "grt-types.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/grt-types.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-types.ads
  "grt-vstrings.ali" being checked ...
  -> "grt-vstrings.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/grt-vstrings.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-vstrings.adb
  "psl-errors.ali" being checked ...
  -> "psl-errors.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/psl-errors.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/psl/psl-errors.adb
  "psl-nodes_meta.ali" being checked ...
  -> "psl-nodes_meta.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/psl-nodes_meta.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/psl/psl-nodes_meta.adb
  "psl-types.ali" being checked ...
  -> "psl-types.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/psl-types.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/psl/psl-types.ads
  "default_paths.ali" being checked ...
  -> "default_paths.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/default_paths.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/default_paths.ads
  "version.ali" being checked ...
  -> "version.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/version.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/version.ads
  "psl-hash.ali" being checked ...
  -> "psl-hash.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/psl-hash.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/psl/psl-hash.adb
  "vhdl-nodes_meta.ali" being checked ...
  -> "vhdl-nodes_meta.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-nodes_meta.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-nodes_meta.adb
  "lists.ali" being checked ...
  -> "lists.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/lists.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/lists.adb
  "bug.ali" being checked ...
  -> "bug.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/bug.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/bug.adb
  "errorout-console.ali" being checked ...
  -> "errorout-console.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/errorout-console.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/errorout-console.adb
  "grt-fcvt.ali" being checked ...
  -> "grt-fcvt.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/grt-fcvt.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-fcvt.adb
  "vhdl-canon.ali" being checked ...
  -> "vhdl-canon.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-canon.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-canon.adb
  "vhdl-evaluation.ali" being checked ...
  -> "vhdl-evaluation.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-evaluation.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-evaluation.adb
  "vhdl-nodes_walk.ali" being checked ...
  -> "vhdl-nodes_walk.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-nodes_walk.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-nodes_walk.adb
  "vhdl-sem_scopes.ali" being checked ...
  -> "vhdl-sem_scopes.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-sem_scopes.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_scopes.adb
  "vhdl-nodes_gc.ali" being checked ...
  -> "vhdl-nodes_gc.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-nodes_gc.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-nodes_gc.adb
  "vhdl-post_sems.ali" being checked ...
  -> "vhdl-post_sems.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-post_sems.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-post_sems.adb
  "vhdl-elocations.ali" being checked ...
  -> "vhdl-elocations.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-elocations.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-elocations.adb
  "vhdl-ieee.ali" being checked ...
  -> "vhdl-ieee.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-ieee.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-ieee.adb
  "vhdl-ieee-std_logic_1164.ali" being checked ...
  -> "vhdl-ieee-std_logic_1164.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-ieee-std_logic_1164.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-ieee-std_logic_1164.adb
  "vhdl-nodes_utils.ali" being checked ...
  -> "vhdl-nodes_utils.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-nodes_utils.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-nodes_utils.adb
  "vhdl-sem_assocs.ali" being checked ...
  -> "vhdl-sem_assocs.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-sem_assocs.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_assocs.adb
  "vhdl-sem_decls.ali" being checked ...
  -> "vhdl-sem_decls.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-sem_decls.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_decls.adb
  "vhdl-sem_expr.ali" being checked ...
  -> "vhdl-sem_expr.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-sem_expr.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_expr.adb
  "vhdl-sem_inst.ali" being checked ...
  -> "vhdl-sem_inst.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-sem_inst.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_inst.adb
  "vhdl-sem_names.ali" being checked ...
  -> "vhdl-sem_names.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-sem_names.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_names.adb
  "vhdl-sem_psl.ali" being checked ...
  -> "vhdl-sem_psl.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-sem_psl.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_psl.adb
  "vhdl-sem_specs.ali" being checked ...
  -> "vhdl-sem_specs.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-sem_specs.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_specs.adb
  "vhdl-sem_stmts.ali" being checked ...
  -> "vhdl-sem_stmts.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-sem_stmts.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_stmts.adb
  "vhdl-sem_utils.ali" being checked ...
  -> "vhdl-sem_utils.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-sem_utils.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_utils.adb
  "vhdl-xrefs.ali" being checked ...
  -> "vhdl-xrefs.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-xrefs.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-xrefs.adb
  "vhdl-parse_psl.ali" being checked ...
  -> "vhdl-parse_psl.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-parse_psl.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-parse_psl.adb
  "vhdl-nodes_priv.ali" being checked ...
  -> "vhdl-nodes_priv.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-nodes_priv.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-nodes_priv.ads
  "elab.ali" being checked ...
  -> "elab.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/elab.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/elab.ads
  "elab-vhdl_context.ali" being checked ...
  -> "elab-vhdl_context.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/elab-vhdl_context.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_context.adb
  "elab-vhdl_insts.ali" being checked ...
  -> "elab-vhdl_insts.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/elab-vhdl_insts.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_insts.adb
  "elab-vhdl_objtypes.ali" being checked ...
  -> "elab-vhdl_objtypes.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/elab-vhdl_objtypes.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_objtypes.adb
  "netlists.ali" being checked ...
  -> "netlists.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/netlists.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/netlists.adb
  "netlists-disp_dot.ali" being checked ...
  -> "netlists-disp_dot.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/netlists-disp_dot.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/netlists-disp_dot.adb
netlists-disp_dot.ads:19:06: warning: unnecessary with of ancestor [-gnatwr]
  "netlists-disp_verilog.ali" being checked ...
  -> "netlists-disp_verilog.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/netlists-disp_verilog.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/netlists-disp_verilog.adb
  "netlists-disp_vhdl.ali" being checked ...
  -> "netlists-disp_vhdl.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/netlists-disp_vhdl.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/netlists-disp_vhdl.adb
  "netlists-dump.ali" being checked ...
  -> "netlists-dump.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/netlists-dump.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/netlists-dump.adb
  "netlists-errors.ali" being checked ...
  -> "netlists-errors.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/netlists-errors.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/netlists-errors.adb
  "netlists-inference.ali" being checked ...
  -> "netlists-inference.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/netlists-inference.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/netlists-inference.adb
netlists-inference.ads:19:06: warning: unnecessary with of ancestor [-gnatwr]
  "synth.ali" being checked ...
  -> "synth.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/synth.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/synth.ads
  "synth-disp_vhdl.ali" being checked ...
  -> "synth-disp_vhdl.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/synth-disp_vhdl.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/synth-disp_vhdl.adb
  "synth-flags.ali" being checked ...
  -> "synth-flags.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/synth-flags.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/synth-flags.ads
  "synth-vhdl_context.ali" being checked ...
  -> "synth-vhdl_context.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/synth-vhdl_context.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/synth-vhdl_context.adb
  "synthesis.ali" being checked ...
  -> "synthesis.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/synthesis.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/synthesis.adb
  "vhdl-annotations.ali" being checked ...
  -> "vhdl-annotations.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-annotations.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-annotations.adb
  "vhdl-flists.ali" being checked ...
  -> "vhdl-flists.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-flists.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-flists.ads
  "psl-nodes_priv.ali" being checked ...
  -> "psl-nodes_priv.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/psl-nodes_priv.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/psl/psl-nodes_priv.ads
  "grt-c.ali" being checked ...
  -> "grt-c.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/grt-c.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-c.ads
  "psl-build.ali" being checked ...
  -> "psl-build.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/psl-build.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/psl/psl-build.adb
  "psl-nfas.ali" being checked ...
  -> "psl-nfas.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/psl-nfas.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/psl/psl-nfas.adb
  "psl-nfas-utils.ali" being checked ...
  -> "psl-nfas-utils.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/psl-nfas-utils.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/psl/psl-nfas-utils.adb
  "psl-rewrites.ali" being checked ...
  -> "psl-rewrites.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/psl-rewrites.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/psl/psl-rewrites.adb
  "vhdl-canon_psl.ali" being checked ...
  -> "vhdl-canon_psl.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-canon_psl.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-canon_psl.adb
  "psl-prints.ali" being checked ...
  -> "psl-prints.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/psl-prints.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/psl/psl-prints.adb
  "psl-priorities.ali" being checked ...
  -> "psl-priorities.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/psl-priorities.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/psl/psl-priorities.ads
  "vhdl-ieee-math_real.ali" being checked ...
  -> "vhdl-ieee-math_real.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-ieee-math_real.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-ieee-math_real.adb
  "vhdl-ieee-numeric.ali" being checked ...
  -> "vhdl-ieee-numeric.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-ieee-numeric.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-ieee-numeric.adb
  "vhdl-ieee-numeric_std_unsigned.ali" being checked ...
  -> "vhdl-ieee-numeric_std_unsigned.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-ieee-numeric_std_unsigned.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-ieee-numeric_std_unsigned.adb
  "vhdl-ieee-std_logic_arith.ali" being checked ...
  -> "vhdl-ieee-std_logic_arith.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-ieee-std_logic_arith.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-ieee-std_logic_arith.adb
  "vhdl-ieee-std_logic_misc.ali" being checked ...
  -> "vhdl-ieee-std_logic_misc.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-ieee-std_logic_misc.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-ieee-std_logic_misc.adb
  "vhdl-ieee-std_logic_unsigned.ali" being checked ...
  -> "vhdl-ieee-std_logic_unsigned.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-ieee-std_logic_unsigned.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-ieee-std_logic_unsigned.adb
  "vhdl-ieee-vital_timing.ali" being checked ...
  -> "vhdl-ieee-vital_timing.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-ieee-vital_timing.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-ieee-vital_timing.adb
  "vhdl-elocations_meta.ali" being checked ...
  -> "vhdl-elocations_meta.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-elocations_meta.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-elocations_meta.adb
  "grt-to_strings.ali" being checked ...
  -> "grt-to_strings.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/grt-to_strings.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-to_strings.adb
  "vhdl-sem_types.ali" being checked ...
  -> "vhdl-sem_types.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-sem_types.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_types.adb
  "psl-subsets.ali" being checked ...
  -> "psl-subsets.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/psl-subsets.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/psl/psl-subsets.adb
  "grt-algos.ali" being checked ...
  -> "grt-algos.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/grt-algos.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-algos.adb
  "elab-vhdl_decls.ali" being checked ...
  -> "elab-vhdl_decls.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/elab-vhdl_decls.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_decls.adb
  "elab-vhdl_errors.ali" being checked ...
  -> "elab-vhdl_errors.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/elab-vhdl_errors.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_errors.adb
  "elab-vhdl_expr.ali" being checked ...
  -> "elab-vhdl_expr.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/elab-vhdl_expr.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_expr.adb
  "elab-vhdl_files.ali" being checked ...
  -> "elab-vhdl_files.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/elab-vhdl_files.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_files.adb
  "elab-vhdl_stmts.ali" being checked ...
  -> "elab-vhdl_stmts.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/elab-vhdl_stmts.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_stmts.adb
  "elab-vhdl_types.ali" being checked ...
  -> "elab-vhdl_types.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/elab-vhdl_types.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_types.adb
  "elab-vhdl_values.ali" being checked ...
  -> "elab-vhdl_values.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/elab-vhdl_values.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_values.adb
  "netlists-iterators.ali" being checked ...
  -> "netlists-iterators.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/netlists-iterators.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/netlists-iterators.adb
  "netlists-utils.ali" being checked ...
  -> "netlists-utils.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/netlists-utils.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/netlists-utils.adb
  "mutils.ali" being checked ...
  -> "mutils.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/mutils.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/mutils.adb
  "areapools.ali" being checked ...
  -> "areapools.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/areapools.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/areapools.adb
  "elab-memtype.ali" being checked ...
  -> "elab-memtype.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/elab-memtype.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/elab-memtype.adb
  "netlists-gates.ali" being checked ...
  -> "netlists-gates.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/netlists-gates.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/netlists-gates.ads
  "netlists-locations.ali" being checked ...
  -> "netlists-locations.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/netlists-locations.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/netlists-locations.adb
  "types_utils.ali" being checked ...
  -> "types_utils.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/types_utils.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/types_utils.adb
  "grt-severity.ali" being checked ...
  -> "grt-severity.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/grt-severity.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-severity.ads
  "netlists-folds.ali" being checked ...
  -> "netlists-folds.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/netlists-folds.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/netlists-folds.adb
  "netlists-gates_ports.ali" being checked ...
  -> "netlists-gates_ports.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/netlists-gates_ports.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/netlists-gates_ports.adb
  "netlists-internings.ali" being checked ...
  -> "netlists-internings.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/netlists-internings.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/netlists-internings.adb
  "netlists-memories.ali" being checked ...
  -> "netlists-memories.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/netlists-memories.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/netlists-memories.adb
  "synth-errors.ali" being checked ...
  -> "synth-errors.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/synth-errors.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/synth-errors.adb
  "netlists-builders.ali" being checked ...
  -> "netlists-builders.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/netlists-builders.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/netlists-builders.adb
  "elab-vhdl_values-debug.ali" being checked ...
  -> "elab-vhdl_values-debug.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/elab-vhdl_values-debug.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_values-debug.adb
  "netlists-cleanup.ali" being checked ...
  -> "netlists-cleanup.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/netlists-cleanup.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/netlists-cleanup.adb
  "netlists-expands.ali" being checked ...
  -> "netlists-expands.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/netlists-expands.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/netlists-expands.adb
  "synth-vhdl_insts.ali" being checked ...
  -> "synth-vhdl_insts.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/synth-vhdl_insts.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/synth-vhdl_insts.adb
  "synth-context.ali" being checked ...
  -> "synth-context.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/synth-context.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/synth-context.ads
  "synth-vhdl_expr.ali" being checked ...
  -> "synth-vhdl_expr.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/synth-vhdl_expr.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/synth-vhdl_expr.adb
  "synth-vhdl_environment.ali" being checked ...
  -> "synth-vhdl_environment.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/synth-vhdl_environment.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/synth-vhdl_environment.adb
  "flists.ali" being checked ...
  -> "flists.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/flists.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/flists.adb
  "dyn_maps.ali" being checked ...
  -> "dyn_maps.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/dyn_maps.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/dyn_maps.adb
  "hash.ali" being checked ...
  -> "hash.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/hash.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/hash.adb
  "psl-cse.ali" being checked ...
  -> "psl-cse.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/psl-cse.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/psl/psl-cse.adb
  "psl-disp_nfas.ali" being checked ...
  -> "psl-disp_nfas.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/psl-disp_nfas.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/psl/psl-disp_nfas.adb
  "psl-optimize.ali" being checked ...
  -> "psl-optimize.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/psl-optimize.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/psl/psl-optimize.adb
  "psl-qm.ali" being checked ...
  -> "psl-qm.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/psl-qm.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/psl/psl-qm.adb
  "grt-files_operations.ali" being checked ...
  -> "grt-files_operations.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/grt-files_operations.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-files_operations.adb
  "grt-stdio.ali" being checked ...
  -> "grt-stdio.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/grt-stdio.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-stdio.ads
  "elab-debugger.ali" being checked ...
  -> "elab-debugger.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/elab-debugger.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/elab-debugger.adb
  "elab-vhdl_heap.ali" being checked ...
  -> "elab-vhdl_heap.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/elab-vhdl_heap.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_heap.adb
  "synth-vhdl_aggr.ali" being checked ...
  -> "synth-vhdl_aggr.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/synth-vhdl_aggr.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/synth-vhdl_aggr.adb
  "synth-vhdl_oper.ali" being checked ...
  -> "synth-vhdl_oper.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/synth-vhdl_oper.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/synth-vhdl_oper.adb
  "synth-vhdl_stmts.ali" being checked ...
  -> "synth-vhdl_stmts.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/synth-vhdl_stmts.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/synth-vhdl_stmts.adb
  "dyn_interning.ali" being checked ...
  -> "dyn_interning.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/dyn_interning.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/dyn_interning.adb
  "netlists-butils.ali" being checked ...
  -> "netlists-butils.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/netlists-butils.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/netlists-butils.adb
  "netlists-concats.ali" being checked ...
  -> "netlists-concats.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/netlists-concats.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/netlists-concats.adb
  "interning.ali" being checked ...
  -> "interning.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/interning.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/interning.adb
  "synth-source.ali" being checked ...
  -> "synth-source.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/synth-source.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/synth-source.adb
  "synth-vhdl_decls.ali" being checked ...
  -> "synth-vhdl_decls.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/synth-vhdl_decls.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/synth-vhdl_decls.adb
  "grt-table.ali" being checked ...
  -> "grt-table.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/grt-table.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-table.adb
  "synth-environment.ali" being checked ...
  -> "synth-environment.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/synth-environment.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/synth-environment.adb
  "synth-environment-debug.ali" being checked ...
  -> "synth-environment-debug.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/synth-environment-debug.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/synth-environment-debug.adb
  "synth-static_oper.ali" being checked ...
  -> "synth-static_oper.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/synth-static_oper.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/synth-static_oper.adb
  "synth-ieee.ali" being checked ...
  -> "synth-ieee.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/synth-ieee.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/synth-ieee.ads
  "synth-ieee-numeric_std.ali" being checked ...
  -> "synth-ieee-numeric_std.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/synth-ieee-numeric_std.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/synth-ieee-numeric_std.adb
  "synth-ieee-std_logic_1164.ali" being checked ...
  -> "synth-ieee-std_logic_1164.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/synth-ieee-std_logic_1164.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/synth-ieee-std_logic_1164.adb
  "synth-vhdl_static_proc.ali" being checked ...
  -> "synth-vhdl_static_proc.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/synth-vhdl_static_proc.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/synth-vhdl_static_proc.adb
End of compilation
arm-linux-gnueabihf-gnatbind-12 -aI. -aO/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic -aI../../src -aI../../src/vhdl -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/synth -aI../../src/vhdl/libghdl -aI../../src/ghdldrv -shared -Llibghdl_ -z -x /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/libghdl.ali
arm-linux-gnueabihf-gnatlink-12 /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/pic/libghdl.ali -shared-libgcc -o lib/libghdl-2_0_0.so -g -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -g -shared -Wl,-z,relro -Wl,-z,now -Wl,-soname,libghdl-2_0_0.so -Wl,-z,relro -Wl,-z,now pic/grt-cstdio.o -R
sed -e '1,/--  BEGIN/d' -e '/--  END/,$d' -e 's/^   --   //' < b~libghdl.adb > libghdl.bind
rm -f -f libghdl.a
ar rc libghdl.a b~libghdl.o pic/grt-cstdio.o `sed -e /^-/d < libghdl.bind`
grep adalib libghdl.bind | sed -e 's/^-L//' -e 's@adalib/@adalib/libgnat.a@' > libghdl.link
gcc-12 -c -o ghwdump.o ../../ghw/ghwdump.c  -g -Wall -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong
gcc-12 -fPIC -c -o libghw.o ../../ghw/libghw.c  -g -Wall -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong
gcc-12 -Wl,-z,relro -Wl,-z,now -o ghwdump ghwdump.o libghw.o
gcc-12 -fPIC -Wl,-z,relro -Wl,-z,now -shared -o lib/libghw.so libghw.o
make[2]: Leaving directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm'
touch /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/stamps/build-llvm
dh_testdir

------------------------------------------------------------
Building with gcc backend
------------------------------------------------------------
/usr/bin/make -j4 -C /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build
make[2]: Entering directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build'
make[3]: Entering directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build'
mkdir -p -- ./fixincludes
mkdir -p -- ./libiberty
mkdir -p -- ./lto-plugin
mkdir -p -- ./intl
Configuring in ./lto-plugin
Configuring in ./libiberty
Configuring in ./fixincludes
Configuring in ./intl
configure: creating cache ./config.cache
checking whether to enable maintainer-specific portions of Makefiles... no
checking for makeinfo... makeinfo --split-size=5000000
configure: creating cache ./config.cache
configure: creating cache ./config.cache
checking for arm-linux-gnueabihf-gcc... arm-linux-gnueabihf-gcc-12
checking build system type... configure: creating cache ./config.cache
arm-unknown-linux-gnueabihf
checking host system type... checking build system type... arm-unknown-linux-gnueabihf
checking target system type... arm-unknown-linux-gnueabihf
checking host system type... arm-unknown-linux-gnueabihf
checking for arm-linux-gnueabihf-gcc... arm-linux-gnueabihf-gcc-12
arm-unknown-linux-gnueabihf
checking target system type... checking whether the C compiler works... arm-unknown-linux-gnueabihf
checking for a BSD-compatible install... /usr/bin/install -c
checking whether build environment is sane... yes
checking whether the C compiler works... checking for a thread-safe mkdir -p... /bin/mkdir -p
checking for gawk... gawk
checking whether /usr/bin/make sets $(MAKE)... yes
checking whether /usr/bin/make supports nested variables... yes
checking whether to enable maintainer-specific portions of Makefiles... no
yes
checking for C compiler default output file name... a.out
checking for style of include used by /usr/bin/make... checking for suffix of executables... GNU
checking for arm-linux-gnueabihf-gcc... arm-linux-gnueabihf-gcc-12
yes
checking for C compiler default output file name... a.out
checking for suffix of executables... checking whether the C compiler works... 
checking whether we are cross compiling... checking for perl... perl
checking build system type... 
checking whether we are cross compiling... arm-unknown-linux-gnueabihf
checking host system type... arm-unknown-linux-gnueabihf
checking for arm-linux-gnueabihf-ar... arm-linux-gnueabihf-ar
checking for arm-linux-gnueabihf-ranlib... arm-linux-gnueabihf-ranlib
checking whether to install libiberty headers and static library... no
configure: target_header_dir = 
checking for arm-linux-gnueabihf-gcc... arm-linux-gnueabihf-gcc-12
yes
checking for C compiler default output file name... a.out
checking for suffix of executables... no
checking for suffix of object files... no
checking for suffix of object files... 
checking whether we are cross compiling... o
checking whether we are using the GNU C compiler... o
checking whether we are using the GNU C compiler... yes
checking whether arm-linux-gnueabihf-gcc-12 accepts -g... checking whether the C compiler works... yes
checking whether arm-linux-gnueabihf-gcc-12 accepts -g... no
yes
checking for arm-linux-gnueabihf-gcc-12 option to accept ISO C89... checking for suffix of object files... yes
checking for C compiler default output file name... a.out
checking for suffix of executables... yes
checking for arm-linux-gnueabihf-gcc-12 option to accept ISO C89... o
checking whether we are using the GNU C compiler... none needed
checking how to run the C preprocessor... none needed
checking how to run the C preprocessor... 
yes
checking whether arm-linux-gnueabihf-gcc-12 accepts -g... checking whether we are cross compiling... arm-linux-gnueabihf-gcc-12 -E
arm-linux-gnueabihf-gcc-12 -E
yes
checking for arm-linux-gnueabihf-gcc-12 option to accept ISO C89... checking for grep that handles long lines and -e... checking for grep that handles long lines and -e... no
checking for suffix of object files... /bin/grep
checking for egrep... /bin/grep
checking for egrep... /bin/grep -E
checking for ANSI C header files... /bin/grep -E
checking for ANSI C header files... none needed
checking whether arm-linux-gnueabihf-gcc-12 understands -c and -o together... o
checking whether we are using the GNU C compiler... yes
checking whether arm-linux-gnueabihf-gcc-12 accepts -g... yes
checking dependency style of arm-linux-gnueabihf-gcc-12... yes
checking for arm-linux-gnueabihf-gcc-12 option to accept ISO C89... gcc3
checking how to run the C preprocessor... none needed
checking how to run the C preprocessor... yes
checking for sys/types.h... arm-linux-gnueabihf-gcc-12 -E
yes
checking for sys/types.h... arm-linux-gnueabihf-gcc-12 -E
yes
checking for grep that handles long lines and -e... checking for sys/stat.h... /bin/grep
checking for egrep... /bin/grep -E
checking for ANSI C header files... checking for grep that handles long lines and -e... /bin/grep
checking for egrep... /bin/grep -E
checking for ANSI C header files... yes
checking for sys/stat.h... yes
checking for stdlib.h... yes
checking for stdlib.h... yes
checking for string.h... yes
checking for string.h... yes
yes
checking for sys/types.h... checking for sys/types.h... yes
checking for memory.h... yes
yes
checking for memory.h... yes
checking for sys/stat.h... checking for sys/stat.h... yes
checking for strings.h... yes
yes
checking for stdlib.h... yes
checking for strings.h... checking for stdlib.h... yes
yes
checking for inttypes.h... checking for string.h... yes
yes
checking for inttypes.h... checking for string.h... yes
checking for stdint.h... yes
yes
yes
checking for memory.h... checking for memory.h... checking for stdint.h... yes
yes
checking for unistd.h... checking for strings.h... yes
yes
checking for unistd.h... checking for strings.h... yes
yes
checking minix/config.h usability... yes
checking for inttypes.h... yes
checking for inttypes.h... checking minix/config.h usability... yes
yes
checking for stdint.h... no
checking minix/config.h presence... checking for stdint.h... no
checking minix/config.h presence... no
checking for minix/config.h... no
checking whether it is safe to define __EXTENSIONS__... no
checking for minix/config.h... no
checking whether it is safe to define __EXTENSIONS__... yes
checking for unistd.h... yes
checking for unistd.h... yes
checking whether /usr/bin/make sets $(MAKE)... yes
checking for a BSD-compatible install... /usr/bin/install -c
checking whether NLS is requested... yes
checking for msgfmt... yes
checking for a sed that does not truncate output... /bin/sed
checking whether arm-linux-gnueabihf-gcc-12 supports -W... /usr/bin/msgfmt
checking for gmsgfmt... /usr/bin/msgfmt
checking for xgettext... yes
checking minix/config.h usability... yes
checking minix/config.h usability... /usr/bin/xgettext
yes
checking whether arm-linux-gnueabihf-gcc-12 supports -Wall... checking for msgmerge... /usr/bin/msgmerge
yes
checking whether arm-linux-gnueabihf-gcc-12 supports -Wwrite-strings... checking build system type... arm-unknown-linux-gnueabihf
checking host system type... arm-unknown-linux-gnueabihf
checking for arm-linux-gnueabihf-ranlib... arm-linux-gnueabihf-ranlib
checking for library containing strerror... no
checking minix/config.h presence... no
checking minix/config.h presence... yes
checking whether arm-linux-gnueabihf-gcc-12 supports -Wstrict-prototypes... no
checking for minix/config.h... no
checking whether it is safe to define __EXTENSIONS__... no
checking for minix/config.h... no
checking whether it is safe to define __EXTENSIONS__... yes
checking whether arm-linux-gnueabihf-gcc-12 supports -Wmissing-prototypes... none required
checking for an ANSI C-conforming const... yes
checking whether arm-linux-gnueabihf-gcc-12 supports -Wold-style-definition... yes
checking for inline... yes
checking for arm-linux-gnueabihf-gcc... (cached) arm-linux-gnueabihf-gcc-12
yes
checking for special C compiler options needed for large files... no
checking for _FILE_OFFSET_BITS value needed for large files... yes
checking whether we are using the GNU C compiler... (cached) yes
checking whether arm-linux-gnueabihf-gcc-12 accepts -g... (cached) yes
checking for arm-linux-gnueabihf-gcc-12 option to accept ISO C89... (cached) none needed
checking whether arm-linux-gnueabihf-gcc-12 understands -c and -o together... (cached) yes
checking dependency style of arm-linux-gnueabihf-gcc-12... (cached) gcc3
checking for special C compiler options needed for large files... checking whether arm-linux-gnueabihf-gcc-12 supports -Wmissing-format-attribute... no
checking for _FILE_OFFSET_BITS value needed for large files... inline
checking for off_t... yes
checking whether arm-linux-gnueabihf-gcc-12 supports -Woverlength-strings... 64
checking whether arm-linux-gnueabihf-gcc-12 supports -W... yes
checking whether arm-linux-gnueabihf-gcc-12 supports -pedantic -Wlong-long... 64
checking whether arm-linux-gnueabihf-gcc-12 supports -Wall... yes
checking whether arm-linux-gnueabihf-gcc-12 supports -Wall... yes
checking for ANSI C header files... (cached) yes
checking stddef.h usability... yes
checking for -static-libgcc... yes
checking whether arm-linux-gnueabihf-gcc-12 supports -Wwrite-strings... yes
checking whether arm-linux-gnueabihf-gcc-12 supports -Wc++-compat... yes
checking for CET support... no
checking whether symbol versioning is supported... yes
checking whether arm-linux-gnueabihf-gcc-12 supports -Wstrict-prototypes... yes
checking stddef.h presence... yes
checking for size_t... yes
checking for stddef.h... yes
checking for stdlib.h... (cached) yes
checking for strings.h... (cached) yes
yes
checking whether arm-linux-gnueabihf-gcc-12 supports -Wshadow=local... checking for unistd.h... (cached) yes
gnu
checking how to print strings... printf
checking for a sed that does not truncate output... checking fcntl.h usability... /bin/sed
checking for fgrep... /bin/grep -F
checking for ld used by arm-linux-gnueabihf-gcc-12... arm-linux-gnueabihf-ld
checking if the linker (arm-linux-gnueabihf-ld) is GNU ld... yes
checking for BSD- or MS-compatible name lister (nm)... arm-linux-gnueabihf-nm
checking the name lister (arm-linux-gnueabihf-nm) interface... yes
checking whether arm-linux-gnueabihf-gcc-12 supports -pedantic ... yes
checking whether arm-linux-gnueabihf-gcc-12 and cc understand -c and -o together... BSD nm
checking whether ln -s works... yes
checking the maximum length of command line arguments... 1572864
checking whether the shell understands some XSI constructs... yes
checking whether the shell understands "+="... yes
checking for arm-linux-gnueabihf-ld option to reload object files... -r
checking for arm-linux-gnueabihf-objdump... arm-linux-gnueabihf-objdump
checking how to recognize dependent libraries... yes
checking fcntl.h presence... pass_all
checking for arm-linux-gnueabihf-ar... arm-linux-gnueabihf-ar
checking for arm-linux-gnueabihf-strip... arm-linux-gnueabihf-strip
checking for arm-linux-gnueabihf-ranlib... arm-linux-gnueabihf-ranlib
checking command to parse arm-linux-gnueabihf-nm output from arm-linux-gnueabihf-gcc-12 object... yes
checking for fcntl.h... yes
checking sys/file.h usability... yes
checking for working alloca.h... yes
checking for an ANSI C-conforming const... yes
checking sys/file.h presence... yes
checking for alloca... yes
checking for sys/file.h... yes
checking for inline... yes
checking for sys/stat.h... (cached) yes
ok
checking for dlfcn.h... checking for clearerr_unlocked... inline
checking whether byte ordering is bigendian... yes
checking for stdlib.h... (cached) yes
checking for unistd.h... (cached) yes
checking for sys/param.h... yes
checking for feof_unlocked... yes
checking for objdir... .libs
yes
yes
checking for ferror_unlocked... checking for getpagesize... no
checking for a BSD-compatible install... /usr/bin/install -c
checking for CET support... no
checking for sys/file.h... checking if arm-linux-gnueabihf-gcc-12 supports -fno-rtti -fno-exceptions... yes
yes
yes
checking for working mmap... checking for sys/param.h... checking for fflush_unlocked... no
checking for arm-linux-gnueabihf-gcc-12 option to produce PIC... -fPIC -DPIC
checking if arm-linux-gnueabihf-gcc-12 PIC flag -fPIC -DPIC works... yes
checking for limits.h... yes
checking if arm-linux-gnueabihf-gcc-12 static flag -static works... yes
checking for stdlib.h... (cached) yes
yes
checking for malloc.h... checking for fgetc_unlocked... yes
checking for string.h... (cached) yes
checking for unistd.h... (cached) yes
checking for strings.h... (cached) yes
checking for sys/time.h... yes
checking for fgets_unlocked... yes
yes
checking if arm-linux-gnueabihf-gcc-12 supports -c -o file.o... checking for time.h... yes
checking whether we are using the GNU C Library 2.1 or newer... yes
yes
checking whether integer division by zero raises SIGFPE... checking for sys/resource.h... yes
checking if arm-linux-gnueabihf-gcc-12 supports -c -o file.o... (cached) yes
checking whether the arm-linux-gnueabihf-gcc-12 linker (arm-linux-gnueabihf-ld) supports shared libraries... yes
yes
yes
checking whether -lc should be explicitly linked in... checking for sys/stat.h... (cached) yes
checking for fileno_unlocked... checking for sys/mman.h... yes
checking for fcntl.h... no
checking dynamic linker characteristics... yes
checking for alloca.h... yes
checking for fprintf_unlocked... yes
yes
checking for inttypes.h... checking for sys/pstat.h... no
checking for sys/sysmp.h... yes
checking for stdint.h... GNU/Linux ld.so
checking how to hardcode library paths into programs... immediate
checking whether stripping libraries is possible... no
yes
checking if libtool supports shared libraries... yes
checking whether to build shared libraries... yes
checking whether to build static libraries... yes
checking for sys/sysinfo.h... checking for int64_t... no
checking for fputc_unlocked... yes
checking for unsigned long long... yes
checking for machine/hal_sysinfo.h... no
checking for sys/table.h... yes
no
checking for sys/sysctl.h... checking for fputs_unlocked... yes
checking for inttypes.h... no
checking for sys/systemcfg.h... yes
checking whether the inttypes.h PRIxNN macros are broken... no
checking for stdint.h... (cached) yes
checking for stdio_ext.h... no
checking for ld used by GCC... arm-linux-gnueabihf-ld
checking if the linker (arm-linux-gnueabihf-ld) is GNU ld... yes
yes
checking for shared library run path origin... yes
checking for fread_unlocked... checking for process.h... yes
checking for uint64_t... done
no
checking for sys/prctl.h... checking argz.h usability... yes
checking for sys/wait.h that is POSIX.1 compatible... yes
checking for fwrite_unlocked... yes
checking for sys/wait.h that is POSIX.1 compatible... yes
checking argz.h presence... yes
checking whether time.h and sys/time.h may both be included... yes
checking for argz.h... yes
checking limits.h usability... yes
yes
checking for getchar_unlocked... yes
checking whether errno must be declared... configure: updating cache ./config.cache
no
checking size of int... checking that generated files are newer than configure... done
configure: creating ./config.status
yes
yes
checking limits.h presence... checking for getc_unlocked... yes
checking for limits.h... yes
checking locale.h usability... yes
checking for putchar_unlocked... 4
checking size of long... yes
checking locale.h presence... yes
checking for locale.h... yes
yes
checking nl_types.h usability... checking for putc_unlocked... yes
4
checking size of size_t... yes
checking nl_types.h presence... checking whether abort is declared... yes
checking for nl_types.h... yes
checking malloc.h usability... yes
checking whether asprintf is declared... yes
checking malloc.h presence... yes
checking for malloc.h... yes
4
checking stddef.h usability... checking for long long... yes
checking whether basename is declared... yes
checking stddef.h presence... yes
checking for stddef.h... yes
checking for stdlib.h... (cached) yes
checking for string.h... (cached) yes
checking for unistd.h... (cached) yes
checking for sys/param.h... (cached) yes
checking for feof_unlocked... yes
checking whether errno is declared... yes
checking size of long long... config.status: creating Makefile
config.status: creating config.h
yes
config.status: executing depfiles commands
checking for fgets_unlocked... config.status: executing libtool commands
no
checking whether vasprintf is declared... yes
checking for getc_unlocked... 8
checking for a 64-bit type... mkdir -p -- build-arm-linux-gnueabihf/libiberty
Configuring in build-arm-linux-gnueabihf/libiberty
yes
uint64_t
checking for intptr_t... checking whether clearerr_unlocked is declared... yes
checking for getcwd... yes
checking whether feof_unlocked is declared... yes
checking for getegid... yes
checking for uintptr_t... yes
checking for geteuid... yes
checking whether ferror_unlocked is declared... yes
checking for getgid... configure: creating cache ./config.cache
checking whether to enable maintainer-specific portions of Makefiles... no
checking for makeinfo... makeinfo --split-size=5000000
yes
checking whether fflush_unlocked is declared... yes
checking for getuid... yes
checking for ssize_t... yes
checking whether fgetc_unlocked is declared... yes
checking for mempcpy... yes
checking whether fgets_unlocked is declared... checking for perl... perl
yes
checking build system type... checking for munmap... arm-unknown-linux-gnueabihf
checking host system type... arm-unknown-linux-gnueabihf
checking for arm-linux-gnueabihf-ar... arm-linux-gnueabihf-ar
checking for arm-linux-gnueabihf-ranlib... arm-linux-gnueabihf-ranlib
checking whether to install libiberty headers and static library... no
configure: target_header_dir = 
checking for arm-linux-gnueabihf-gcc... arm-linux-gnueabihf-gcc-12
yes
checking for pid_t... yes
yes
checking whether fileno_unlocked is declared... checking for putenv... checking whether the C compiler works... yes
checking for setenv... yes
checking whether fprintf_unlocked is declared... yes
checking for C compiler default output file name... a.out
checking for suffix of executables... yes
yes
checking for setlocale... checking for library containing strerror... no

checking whether we are cross compiling... checking whether fputc_unlocked is declared... yes
none required
checking for asprintf... checking for stpcpy... yes
no
yes
checking for suffix of object files... yes
checking whether fputs_unlocked is declared... checking for strcasecmp... checking for atexit... o
checking whether we are using the GNU C compiler... yes
checking whether arm-linux-gnueabihf-gcc-12 accepts -g... yes
yes
checking for basename... checking for strdup... yes
yes
checking for arm-linux-gnueabihf-gcc-12 option to accept ISO C89... checking whether fread_unlocked is declared... yes
yes
checking for bcmp... checking for strtoul... none needed
checking how to run the C preprocessor... arm-linux-gnueabihf-gcc-12 -E
yes
checking whether fwrite_unlocked is declared... yes
yes
checking for tsearch... checking for bcopy... checking for grep that handles long lines and -e... /bin/grep
checking for egrep... /bin/grep -E
checking for ANSI C header files... yes
yes
checking for __argz_count... checking whether getchar_unlocked is declared... yes
checking for bsearch... yes
checking for __argz_stringify... yes
checking for bzero... yes
checking whether getc_unlocked is declared... yes
yes
checking for __argz_next... checking for sys/types.h... yes
checking for calloc... yes
yes
checking whether putchar_unlocked is declared... checking for sys/stat.h... yes
checking for __fsetlocking... yes
yes
checking for clock... checking for stdlib.h... yes
checking for iconv... yes
yes
checking whether putc_unlocked is declared... checking for string.h... yes
checking for ffs... yes
checking for memory.h... yes
checking for iconv declaration... yes
checking for an ANSI C-conforming const... yes
checking for getcwd... yes
checking for strings.h... yes
checking sys/mman.h usability... 
         extern size_t iconv (iconv_t cd, char * *inbuf, size_t *inbytesleft, char * *outbuf, size_t *outbytesleft);
checking for nl_langinfo and CODESET... yes
yes
checking for inttypes.h... checking for getpagesize... yes
checking sys/mman.h presence... yes
checking for sys/mman.h... yes
checking for mmap... yes
yes
checking for LC_MESSAGES... checking for stdint.h... yes
checking for gettimeofday... yes
yes
checking for bison... no
checking whether NLS is requested... yes
yes
checking whether read-only mmap of a plain file works... yes
checking whether mmap from /dev/zero works... yes
checking for MAP_ANON(YMOUS)... checking whether included gettext is requested... no
checking for GNU gettext in libc... checking for unistd.h... yes
checking for index... yes
checking minix/config.h usability... yes
checking whether mmap with MAP_ANON(YMOUS) works... yes
checking whether to enable maintainer-specific portions of Makefiles... no
yes
checking whether to use NLS... yes
checking where the gettext function comes from... libc
checking for aclocal... aclocal
checking for autoconf... autoconf
checking for autoheader... autoheader
configure: updating cache ./config.cache
yes
configure: creating ./config.status
checking for insque... no
checking minix/config.h presence... configure: updating cache ./config.cache
configure: creating ./config.status
no
checking for minix/config.h... no
checking whether it is safe to define __EXTENSIONS__... yes
checking for memchr... config.status: creating Makefile
yes
checking for special C compiler options needed for large files... no
checking for _FILE_OFFSET_BITS value needed for large files... config.status: creating mkheaders.almost
config.status: creating Makefile
config.status: creating config.h
config.status: creating config.intl
yes
config.status: creating config.h
checking for memcmp... config.status: executing default-1 commands
64
checking whether arm-linux-gnueabihf-gcc-12 supports -W... mkdir -p -- build-arm-linux-gnueabihf/fixincludes
Configuring in build-arm-linux-gnueabihf/fixincludes
yes
checking whether arm-linux-gnueabihf-gcc-12 supports -Wall... mkdir -p -- build-arm-linux-gnueabihf/libcpp
Configuring in build-arm-linux-gnueabihf/libcpp
yes
checking for memcpy... yes
checking whether arm-linux-gnueabihf-gcc-12 supports -Wwrite-strings... yes
checking whether arm-linux-gnueabihf-gcc-12 supports -Wc++-compat... yes
checking for memmem... yes
checking whether arm-linux-gnueabihf-gcc-12 supports -Wstrict-prototypes... yes
checking whether arm-linux-gnueabihf-gcc-12 supports -Wshadow=local... yes
checking for memmove... yes
checking whether arm-linux-gnueabihf-gcc-12 supports -pedantic ... yes
checking whether arm-linux-gnueabihf-gcc-12 and cc understand -c and -o together... yes
checking for mempcpy... configure: creating cache ./config.cache
checking build system type... arm-unknown-linux-gnueabihf
checking host system type... arm-unknown-linux-gnueabihf
checking target system type... configure: creating cache ./config.cache
arm-unknown-linux-gnueabihf
checking for arm-linux-gnueabihf-gcc... arm-linux-gnueabihf-gcc-12
checking build system type... yes
checking for memset... arm-unknown-linux-gnueabihf
checking host system type... arm-unknown-linux-gnueabihf
checking target system type... checking whether the C compiler works... arm-unknown-linux-gnueabihf
checking whether /usr/bin/make sets $(MAKE)... yes
checking for a BSD-compatible install... /usr/bin/install -c
checking for arm-linux-gnueabihf-gcc... arm-linux-gnueabihf-gcc-12
yes
checking for an ANSI C-conforming const... checking whether the C compiler works... yes
checking for inline... yes
checking for C compiler default output file name... a.out
checking for suffix of executables... yes
checking for mkstemps... inline
checking whether byte ordering is bigendian... yes
checking for C compiler default output file name... a.out
checking for suffix of executables... 
checking whether we are cross compiling... yes
checking for putenv... 
checking whether we are cross compiling... no
yes
checking for suffix of object files... checking for random... no
checking for a BSD-compatible install... /usr/bin/install -c
checking for CET support... no
checking for sys/file.h... o
checking whether we are using the GNU C compiler... yes
checking for sys/param.h... yes
checking whether arm-linux-gnueabihf-gcc-12 accepts -g... yes
no
checking for suffix of object files... checking for rename... yes
checking for limits.h... yes
checking for arm-linux-gnueabihf-gcc-12 option to accept ISO C89... o
checking whether we are using the GNU C compiler... yes
checking for stdlib.h... (cached) yes
checking for malloc.h... yes
checking whether arm-linux-gnueabihf-gcc-12 accepts -g... yes
yes
none needed
checking how to run the C preprocessor... checking for rindex... checking for string.h... (cached) yes
yes
checking for arm-linux-gnueabihf-gcc-12 option to accept ISO C89... checking for unistd.h... (cached) yes
checking for strings.h... (cached) yes
checking for sys/time.h... arm-linux-gnueabihf-gcc-12 -E
yes
checking for time.h... none needed
yes
yes
checking for grep that handles long lines and -e... checking for setenv... /bin/grep
checking for egrep... /bin/grep -E
checking for ANSI C header files... checking for sys/resource.h... checking whether we are using the GNU C++ compiler... yes
checking for sys/stat.h... (cached) yes
checking for sys/mman.h... yes
checking for fcntl.h... yes
yes
checking whether arm-linux-gnueabihf-g++-12 accepts -g... checking for snprintf... yes
checking for alloca.h... yes
checking for arm-linux-gnueabihf-ranlib... arm-linux-gnueabihf-ranlib
checking for arm-linux-gnueabihf-ar... arm-linux-gnueabihf-ar
checking how to run the C preprocessor... yes
checking for sys/pstat.h... no
checking for sys/sysmp.h... arm-linux-gnueabihf-gcc-12 -E
yes
checking for sigsetmask... no
checking for sys/sysinfo.h... yes
checking for grep that handles long lines and -e... /bin/grep
checking for egrep... /bin/grep -E
checking for ANSI C header files... checking for machine/hal_sysinfo.h... yes
checking for sys/types.h... no
checking for sys/table.h... yes
checking for stpcpy... no
checking for sys/sysctl.h... yes
no
checking for sys/stat.h... checking for sys/systemcfg.h... no
checking for stdint.h... (cached) yes
yes
checking for stdio_ext.h... checking for stpncpy... yes
yes
checking for stdlib.h... checking for process.h... no
checking for sys/prctl.h... yes
checking for sys/wait.h that is POSIX.1 compatible... yes
yes
checking for string.h... checking for strcasecmp... yes
checking for sys/types.h... yes
checking whether time.h and sys/time.h may both be included... yes
yes
checking for memory.h... checking for sys/stat.h... yes
checking for strchr... yes
checking whether errno must be declared... yes
yes
checking for strings.h... checking for stdlib.h... no
checking size of int... yes
checking for strdup... yes
yes
checking for inttypes.h... checking for string.h... yes
yes
checking for stdint.h... checking for memory.h... yes
checking for strncasecmp... 4
checking size of long... yes
yes
checking for strings.h... checking for unistd.h... yes
checking for strndup... yes
checking for inttypes.h... yes
checking minix/config.h usability... 4
checking size of size_t... yes
yes
checking for strnlen... checking for stdint.h... no
checking minix/config.h presence... no
checking for minix/config.h... no
checking whether it is safe to define __EXTENSIONS__... yes
yes
checking for unistd.h... 4
checking for strrchr... checking for long long... yes
checking for a sed that does not truncate output... /bin/sed
checking whether arm-linux-gnueabihf-gcc-12 supports -W... yes
yes
checking minix/config.h usability... checking whether arm-linux-gnueabihf-gcc-12 supports -Wall... yes
checking for strstr... yes
checking whether arm-linux-gnueabihf-gcc-12 supports -Wwrite-strings... no
checking minix/config.h presence... yes
checking size of long long... no
checking for minix/config.h... no
checking whether it is safe to define __EXTENSIONS__... yes
checking whether arm-linux-gnueabihf-gcc-12 supports -Wstrict-prototypes... yes
checking for strtod... yes
checking whether arm-linux-gnueabihf-gcc-12 supports -Wmissing-prototypes... yes
checking for special C compiler options needed for large files... no
checking for _FILE_OFFSET_BITS value needed for large files... yes
yes
checking whether arm-linux-gnueabihf-gcc-12 supports -Wold-style-definition... checking for strtol... 8
checking for a 64-bit type... yes
64
checking for aclocal... aclocal
checking for autoconf... autoconf
checking whether arm-linux-gnueabihf-gcc-12 supports -Wmissing-format-attribute... checking for autoheader... autoheader
checking whether arm-linux-gnueabihf-gcc-12 supports -W... yes
uint64_t
checking for intptr_t... checking for strtoul... yes
yes
checking whether arm-linux-gnueabihf-gcc-12 supports -Wall... checking whether arm-linux-gnueabihf-gcc-12 supports -Woverlength-strings... yes
checking whether arm-linux-gnueabihf-gcc-12 supports -Wnarrowing... yes
checking whether arm-linux-gnueabihf-gcc-12 supports -pedantic -Wlong-long... yes
yes
yes
checking for ANSI C header files... (cached) yes
checking whether arm-linux-gnueabihf-gcc-12 supports -Wwrite-strings... checking stddef.h usability... checking for strtoll... yes
checking whether arm-linux-gnueabihf-gcc-12 supports -Wmissing-format-attribute... yes
checking for uintptr_t... yes
checking whether arm-linux-gnueabihf-gcc-12 supports -Wstrict-prototypes... yes
yes
checking stddef.h presence... checking for strtoull... yes
checking for stddef.h... yes
checking for stdlib.h... (cached) yes
yes
checking whether arm-linux-gnueabihf-gcc-12 supports -Wmissing-prototypes... checking for strings.h... (cached) yes
checking for unistd.h... (cached) yes
checking fcntl.h usability... yes
yes
checking whether arm-linux-gnueabihf-gcc-12 supports -Wold-style-definition... checking for strverscmp... yes
yes
checking for ssize_t... checking whether arm-linux-gnueabihf-gcc-12 supports -Wc++-compat... yes
checking fcntl.h presence... yes
checking for fcntl.h... yes
yes
checking sys/file.h usability... yes
checking whether arm-linux-gnueabihf-gcc-12 supports -pedantic -Wlong-long... checking for tmpnam... yes
checking whether arm-linux-gnueabihf-gcc-12 supports -fno-exceptions... yes
yes
checking sys/file.h presence... checking whether arm-linux-gnueabihf-gcc-12 supports -fno-rtti... yes
checking for vasprintf... yes
checking for sys/file.h... yes
checking for sys/stat.h... (cached) yes
yes
checking for clearerr_unlocked... yes
checking for pid_t... checking dependency style of arm-linux-gnueabihf-g++-12... yes
checking for vfprintf... yes
checking for feof_unlocked... gcc3
checking whether time.h and sys/time.h may both be included... yes
checking for vprintf... yes
checking for library containing strerror... yes
checking whether string.h and strings.h may both be included... yes
checking for ferror_unlocked... yes
checking locale.h usability... yes
none required
checking for vsnprintf... checking for asprintf... yes
checking for fflush_unlocked... yes
checking locale.h presence... yes
checking for locale.h... yes
checking fcntl.h usability... yes
yes
checking for atexit... checking for vsprintf... yes
checking for fgetc_unlocked... yes
checking fcntl.h presence... yes
checking for fcntl.h... yes
checking limits.h usability... yes
yes
checking for waitpid... checking for basename... yes
checking for fgets_unlocked... yes
checking limits.h presence... yes
checking for setproctitle... yes
checking for limits.h... yes
checking stddef.h usability... yes
checking for bcmp... yes
checking for fileno_unlocked... no
checking whether alloca needs Cray hooks... yes
checking stddef.h presence... no
checking stack direction for C alloca... yes
checking for stddef.h... yes
checking for stdlib.h... (cached) yes
yes
checking for strings.h... (cached) yes
checking for bcopy... checking for string.h... (cached) yes
yes
checking sys/file.h usability... checking for fprintf_unlocked... 1
checking for vfork.h... no
yes
checking sys/file.h presence... checking for fork... yes
checking for bsearch... yes
checking for sys/file.h... yes
no
checking for fputc_unlocked... checking for unistd.h... (cached) yes
checking whether byte ordering is bigendian... yes
checking for vfork... yes
yes
checking for bzero... checking for fputs_unlocked... yes
checking for working fork... no
checking for an ANSI C-conforming const... yes
yes
checking for calloc... yes
checking for inline... checking for fread_unlocked... inline
checking for obstacks... yes
checking for working vfork... (cached) yes
checking for _doprnt... yes
checking for clock... yes
checking for fwrite_unlocked... no
checking for sys_errlist... yes
checking for off_t... yes
checking for ffs... yes
checking for getchar_unlocked... no
checking for sys_nerr... yes
yes
checking for getcwd... checking for getc_unlocked... no
checking for sys_siglist... yes
checking for size_t... yes
yes
checking for getpagesize... checking for putchar_unlocked... no
checking for external symbol _system_configuration... no
checking for __fsetlocking... yes
checking for gettimeofday... yes
checking for ssize_t... yes
checking for putc_unlocked... yes
checking for canonicalize_file_name... yes
checking for index... yes
yes
checking for dup3... checking whether abort is declared... yes
checking for uintptr_t... yes
checking for insque... yes
checking for getrlimit... yes
checking whether asprintf is declared... yes
yes
checking for memchr... yes
checking for getrusage... yes
checking for ptrdiff_t... checking whether basename is declared... yes
yes
yes
checking for memcmp... checking for getsysinfo... checking whether errno is declared... yes
checking for uint64_t... no
no
checking whether vasprintf is declared... checking for gettimeofday... (cached) yes
yes
checking for on_exit... checking for memcpy... yes
checking whether struct tm is in sys/time.h or time.h... yes
checking whether clearerr_unlocked is declared... yes
checking for pipe2... yes
time.h
checking size of int... checking for memmem... yes
checking whether feof_unlocked is declared... yes
checking for psignal... yes
checking for memmove... yes
4
checking size of long... checking whether ferror_unlocked is declared... yes
checking for pstat_getdynamic... yes
checking for mempcpy... yes
checking whether fflush_unlocked is declared... no
checking for pstat_getstatic... 4
checking for clearerr_unlocked... yes
checking whether fgetc_unlocked is declared... yes
checking for memset... no
checking for realpath... yes
checking for feof_unlocked... yes
checking whether fgets_unlocked is declared... yes
yes
checking for setrlimit... checking for mkstemps... yes
checking for ferror_unlocked... yes
yes
checking whether fileno_unlocked is declared... checking for spawnve... yes
checking for putenv... yes
checking for fflush_unlocked... yes
no
checking whether fprintf_unlocked is declared... checking for spawnvpe... yes
checking for random... no
checking whether fputc_unlocked is declared... no
checking for strerror... yes
yes
checking for rename... checking for fgetc_unlocked... yes
checking whether fputs_unlocked is declared... yes
checking for strsignal... yes
yes
checking for fgets_unlocked... checking for rindex... yes
yes
checking for sysconf... checking whether fread_unlocked is declared... yes
checking for fileno_unlocked... yes
checking for setenv... yes
checking for sysctl... yes
checking whether fwrite_unlocked is declared... yes
checking for fprintf_unlocked... yes
checking for snprintf... no
yes
checking for sysmp... checking whether getchar_unlocked is declared... yes
no
checking for fputc_unlocked... checking for sigsetmask... no
yes
checking for table... checking whether getc_unlocked is declared... yes
yes
checking for stpcpy... checking for fputs_unlocked... yes
checking whether putchar_unlocked is declared... no
checking for times... yes
yes
checking for stpncpy... checking for fread_unlocked... yes
yes
checking whether putc_unlocked is declared... checking for wait3... yes
yes
checking for fwrite_unlocked... checking for strcasecmp... yes
checking for wait4... yes
checking for an ANSI C-conforming const... yes
checking sys/mman.h usability... yes
yes
checking for getchar_unlocked... checking for strchr... yes
checking for sbrk... yes
checking sys/mman.h presence... yes
checking for sys/mman.h... yes
checking for mmap... yes
yes
checking for getc_unlocked... checking for strdup... yes
checking whether basename is declared... yes
checking whether read-only mmap of a plain file works... yes
checking whether mmap from /dev/zero works... yes
checking for MAP_ANON(YMOUS)... yes
yes
checking for putchar_unlocked... checking for strncasecmp... yes
yes
checking whether mmap with MAP_ANON(YMOUS) works... yes
checking whether to enable maintainer-specific portions of Makefiles... no
checking whether ffs is declared... configure: updating cache ./config.cache
yes
configure: creating ./config.status
yes
checking for putc_unlocked... checking for strndup... yes
checking whether asprintf is declared... yes
yes
checking whether abort is declared... checking for strnlen... config.status: creating Makefile
config.status: creating mkheaders.almost
config.status: creating config.h
yes
checking whether vasprintf is declared... yes
yes
checking for strrchr... checking whether asprintf is declared... mkdir -p -- ./libbacktrace
Configuring in ./libbacktrace
yes
yes
yes
checking whether snprintf is declared... checking for strstr... checking whether basename is declared... yes
checking whether errno is declared... yes
checking for strtod... yes
checking whether vsnprintf is declared... no
checking whether getopt is declared... yes
checking for strtol... yes
checking whether calloc is declared... yes
checking whether vasprintf is declared... configure: creating cache ./config.cache
yes
checking for strtoul... checking build system type... arm-unknown-linux-gnueabihf
checking host system type... arm-unknown-linux-gnueabihf
checking target system type... arm-unknown-linux-gnueabihf
checking for arm-linux-gnueabihf-gcc... arm-linux-gnueabihf-gcc-12
yes
checking whether getenv is declared... yes
checking whether the C compiler works... checking whether clearerr_unlocked is declared... yes
checking for strtoll... yes
checking for C compiler default output file name... a.out
checking for suffix of executables... yes
yes
checking whether feof_unlocked is declared... checking whether getopt is declared... yes
checking for strtoull... 
checking whether we are cross compiling... yes
checking whether ferror_unlocked is declared... yes
checking whether malloc is declared... yes
checking for strverscmp... no
checking for suffix of object files... yes
checking whether fflush_unlocked is declared... o
checking whether we are using the GNU C compiler... yes
yes
checking for tmpnam... checking whether realloc is declared... yes
checking whether arm-linux-gnueabihf-gcc-12 accepts -g... yes
checking for arm-linux-gnueabihf-gcc-12 option to accept ISO C89... yes
checking whether fgetc_unlocked is declared... yes
checking for vasprintf... yes
checking whether sbrk is declared... none needed
checking whether arm-linux-gnueabihf-gcc-12 understands -c and -o together... yes
checking whether fgets_unlocked is declared... yes
yes
checking how to run the C preprocessor... checking for vfprintf... yes
yes
checking whether fileno_unlocked is declared... checking whether strtol is declared... arm-linux-gnueabihf-gcc-12 -E
checking for grep that handles long lines and -e... /bin/grep
checking for egrep... /bin/grep -E
checking for ANSI C header files... yes
checking for vprintf... yes
checking whether fprintf_unlocked is declared... yes
checking whether strtoul is declared... yes
checking for vsnprintf... no
checking whether fputc_unlocked is declared... yes
checking whether strtoll is declared... yes
yes
checking whether fputs_unlocked is declared... yes
checking for vsprintf... checking for sys/types.h... yes
yes
checking for sys/stat.h... checking whether strtoull is declared... yes
checking whether fread_unlocked is declared... yes
checking for waitpid... yes
checking for stdlib.h... yes
checking whether fwrite_unlocked is declared... yes
checking whether strverscmp is declared... yes
checking for setproctitle... yes
checking for string.h... yes
checking whether getchar_unlocked is declared... yes
checking whether strnlen is declared... no
yes
checking whether alloca needs Cray hooks... checking for memory.h... no
checking stack direction for C alloca... yes
checking whether getc_unlocked is declared... yes
yes
checking whether canonicalize_file_name must be declared... checking for strings.h... yes
1
checking for vfork.h... checking whether putchar_unlocked is declared... no
checking for fork... yes
checking for inttypes.h... no
checking for stdlib.h... (cached) yes
checking for unistd.h... (cached) yes
yes
checking for sys/param.h... (cached) yes
checking whether putc_unlocked is declared... checking for getpagesize... (cached) yes
checking for working mmap... yes
checking for vfork... yes
checking for stdint.h... yes
checking for working alloca.h... yes
yes
checking for working fork... checking for unistd.h... yes
checking for alloca... yes
checking minix/config.h usability... yes
checking for working strncmp... yes
checking for ANSI C header files... (cached) yes
checking for nl_langinfo and CODESET... yes
checking for working vfork... (cached) yes
checking for _doprnt... no
checking minix/config.h presence... yes
checking whether NLS is requested... no
checking for uchar... yes
no
checking for minix/config.h... no
checking whether it is safe to define __EXTENSIONS__... no
checking for sys_errlist... 
checking size of ino_t... configure: updating cache ./config.cache
configure: creating ./config.status
yes
checking for a BSD-compatible install... /usr/bin/install -c
checking whether build environment is sane... yes
no
checking for sys_nerr... checking for a thread-safe mkdir -p... /bin/mkdir -p
checking for gawk... gawk
checking whether /usr/bin/make sets $(MAKE)... yes
checking whether /usr/bin/make supports nested variables... yes
checking whether to enable maintainer-specific portions of Makefiles... no
checking for arm-linux-gnueabihf-gcc... (cached) arm-linux-gnueabihf-gcc-12
checking whether we are using the GNU C compiler... (cached) yes
checking whether arm-linux-gnueabihf-gcc-12 accepts -g... (cached) yes
checking for arm-linux-gnueabihf-gcc-12 option to accept ISO C89... (cached) none needed
checking whether arm-linux-gnueabihf-gcc-12 understands -c and -o together... (cached) yes
checking for arm-linux-gnueabihf-ranlib... arm-linux-gnueabihf-ranlib
checking for gawk... (cached) gawk
checking for dwz... 8
dwz
checking size of dev_t... checking how to print strings... printf
checking for a sed that does not truncate output... /bin/sed
checking for fgrep... /bin/grep -F
checking for ld used by arm-linux-gnueabihf-gcc-12... no
checking for sys_siglist... config.status: creating Makefile
arm-linux-gnueabihf-ld
checking if the linker (arm-linux-gnueabihf-ld) is GNU ld... yes
checking for BSD- or MS-compatible name lister (nm)... arm-linux-gnueabihf-nm
checking the name lister (arm-linux-gnueabihf-nm) interface... config.status: creating testsuite/Makefile
config.status: creating config.h
config.status: executing default commands
BSD nm
checking whether ln -s works... yes
checking the maximum length of command line arguments... 1572864
checking whether the shell understands some XSI constructs... yes
checking whether the shell understands "+="... yes
checking for arm-linux-gnueabihf-ld option to reload object files... -r
checking for arm-linux-gnueabihf-objdump... arm-linux-gnueabihf-objdump
checking how to recognize dependent libraries... pass_all
checking for arm-linux-gnueabihf-ar... arm-linux-gnueabihf-ar
checking for arm-linux-gnueabihf-strip... arm-linux-gnueabihf-strip
no
checking for external symbol _system_configuration... checking for arm-linux-gnueabihf-ranlib... (cached) arm-linux-gnueabihf-ranlib
checking command to parse arm-linux-gnueabihf-nm output from arm-linux-gnueabihf-gcc-12 object... no
8
checking for __fsetlocking... checking for ld used by GCC... arm-linux-gnueabihf-ld
checking if the linker (arm-linux-gnueabihf-ld) is GNU ld... yes
checking for shared library run path origin... mkdir -p -- ./libcody
Configuring in ./libcody
done
checking for iconv... (cached) no
checking for CET support... no
yes
configure: updating cache ./config.cache
checking for canonicalize_file_name... configure: creating ./config.status
ok
checking for dlfcn.h... yes
checking for dup3... config.status: creating Makefile
config.status: creating config.h
yes
checking for objdir... .libs
config.status: executing depdir commands
mkdir -p -- .deps
yes
checking for getrlimit... mkdir -p -- ./libdecnumber
Configuring in ./libdecnumber
checking if arm-linux-gnueabihf-gcc-12 supports -fno-rtti -fno-exceptions... configure: creating cache ./config.cache
yes
checking build system type... checking for getrusage... arm-unknown-linux-gnueabihf
checking host system type... arm-unknown-linux-gnueabihf
checking maintainer-mode... 
no
checking for arm-linux-gnueabihf-gcc-12 option to produce PIC... -fPIC -DPIC
checking if arm-linux-gnueabihf-gcc-12 PIC flag -fPIC -DPIC works... checking whether the C++ compiler works... yes
checking if arm-linux-gnueabihf-gcc-12 static flag -static works... yes
checking for getsysinfo... yes
checking for C++ compiler default output file name... a.out
checking for suffix of executables... yes
checking if arm-linux-gnueabihf-gcc-12 supports -c -o file.o... no
checking for gettimeofday... (cached) yes
checking for on_exit... 
checking whether we are cross compiling... yes
checking if arm-linux-gnueabihf-gcc-12 supports -c -o file.o... (cached) yes
checking whether the arm-linux-gnueabihf-gcc-12 linker (arm-linux-gnueabihf-ld) supports shared libraries... yes
checking whether -lc should be explicitly linked in... yes
no
checking dynamic linker characteristics... configure: creating cache ./config.cache
checking for pipe2... checking whether /usr/bin/make sets $(MAKE)... yes
checking for arm-linux-gnueabihf-gcc... arm-linux-gnueabihf-gcc-12
checking whether the C compiler works... no
checking for suffix of object files... yes
o
checking whether we are using the GNU C++ compiler... GNU/Linux ld.so
checking how to hardcode library paths into programs... immediate
checking for psignal... checking whether stripping libraries is possible... yes
checking if libtool supports shared libraries... yes
checking whether to build shared libraries... yes
checking whether to build static libraries... yes
checking for special C compiler options needed for large files... no
checking for _FILE_OFFSET_BITS value needed for large files... yes
checking for C compiler default output file name... a.out
checking for suffix of executables... yes
checking whether arm-linux-gnueabihf-g++-12 accepts -g... yes

checking whether we are cross compiling... yes
checking whether arm-linux-gnueabihf-g++-12 is for C++11... 64
checking for pstat_getdynamic... checking unwind.h usability... adding -std=c++11
checking adding -Wl,--no-undefined to linker... no
checking for suffix of object files... no
checking for pstat_getstatic... yes
checking unwind.h presence... yes
checking for unwind.h... yes
checking for _Unwind_Backtrace... o
checking whether we are using the GNU C compiler... yes
checking whether arm-linux-gnueabihf-gcc-12 accepts -g... ok
checking exceptions... no
checking for arm-linux-gnueabihf-ranlib... arm-linux-gnueabihf-ranlib
checking for arm-linux-gnueabihf-ar... arm-linux-gnueabihf-ar
no
checking for realpath... yes
checking for -funwind-tables option... yes
checking for arm-linux-gnueabihf-gcc-12 option to accept ISO C89... configure: updating cache ./config.cache
configure: creating ./config.status
yes
checking for -frandom-seed=string option... yes
checking whether arm-linux-gnueabihf-gcc-12 supports -W... yes
none needed
checking for arm-linux-gnueabihf-ranlib... arm-linux-gnueabihf-ranlib
checking for arm-linux-gnueabihf-ar... arm-linux-gnueabihf-ar
checking for aclocal... aclocal
checking for autoconf... autoconf
checking for autoheader... autoheader
checking for setrlimit... checking whether arm-linux-gnueabihf-gcc-12 supports -W... yes
checking whether arm-linux-gnueabihf-gcc-12 supports -Wall... yes
checking whether arm-linux-gnueabihf-gcc-12 supports -Wall... config.status: creating Makefile
config.status: creating config.h
yes
checking whether arm-linux-gnueabihf-gcc-12 supports -Wwrite-strings... yes
checking whether arm-linux-gnueabihf-gcc-12 supports -Wwrite-strings... yes
checking for spawnve... yes
checking whether arm-linux-gnueabihf-gcc-12 supports -Wstrict-prototypes... yes
checking whether arm-linux-gnueabihf-gcc-12 supports -Wstrict-prototypes... mkdir -p -- ./c++tools
Configuring in ./c++tools
yes
checking whether arm-linux-gnueabihf-gcc-12 supports -Wmissing-prototypes... yes
checking whether arm-linux-gnueabihf-gcc-12 supports -Wmissing-prototypes... no
checking for spawnvpe... yes
checking whether arm-linux-gnueabihf-gcc-12 supports -Wold-style-definition... yes
checking whether arm-linux-gnueabihf-gcc-12 supports -Wold-style-definition... yes
checking whether arm-linux-gnueabihf-gcc-12 supports -Wmissing-format-attribute... yes
checking whether arm-linux-gnueabihf-gcc-12 supports -Wmissing-format-attribute... no
checking for strerror... yes
yes
checking whether arm-linux-gnueabihf-gcc-12 supports -Wcast-qual... checking whether arm-linux-gnueabihf-gcc-12 supports -Wcast-qual... yes
checking for _Unwind_GetIPInfo... yes
checking whether arm-linux-gnueabihf-gcc-12 supports -pedantic -Wlong-long... yes
yes
checking whether arm-linux-gnueabihf-gcc-12 supports -fno-lto... checking for strsignal... yes
checking how to run the C preprocessor... yes
checking for CET support... no
checking __sync extensions... arm-linux-gnueabihf-gcc-12 -E
yes
configure: creating cache ./config.cache
checking for sysconf... checking build system type... arm-unknown-linux-gnueabihf
checking host system type... arm-unknown-linux-gnueabihf
checking target system type... yes
checking __atomic extensions... arm-unknown-linux-gnueabihf
checking for a BSD-compatible install... /usr/bin/install -c
checking for grep that handles long lines and -e... /bin/grep
checking for egrep... /bin/grep -E
checking for ANSI C header files... checking whether the C++ compiler works... yes
yes
checking output filetype... checking for sysctl... yes
checking for C++ compiler default output file name... a.out
checking for suffix of executables... elf32
looking for a compliant stdint.h in stdint.h, checking for uintmax_t... no
checking for sysmp... 
checking whether we are cross compiling... yes
checking for uintptr_t... yes
checking for sys/types.h... no
checking for table... yes
checking for int_least32_t... yes
no
checking for suffix of object files... checking for sys/stat.h... o
checking whether we are using the GNU C++ compiler... no
checking for times... yes
checking for stdlib.h... yes
checking for int_fast32_t... yes
checking whether arm-linux-gnueabihf-g++-12 accepts -g... yes
checking for autoconf... autoconf
checking for autoheader... autoheader
checking whether to build C++ tools... yes
checking maintainer-mode... no
checking for O_CLOEXEC... yes
yes
checking for string.h... checking for wait3... yes
checking for uint64_t... yes
checking how to run the C++ preprocessor... yes
checking for memory.h... yes
checking for wait4... arm-linux-gnueabihf-g++-12 -E
yes
checking what to include in gstdint.h... stdint.h (already complete)
checking sys/mman.h usability... checking for grep that handles long lines and -e... /bin/grep
checking for egrep... /bin/grep -E
checking for ANSI C header files... yes
checking for strings.h... yes
checking for sbrk... yes
checking sys/mman.h presence... yes
yes
checking for sys/mman.h... yes
checking for mmap... checking for inttypes.h... yes
checking whether basename is declared... yes
yes
checking for stdint.h... checking link.h usability... yes
checking whether ffs is declared... yes
checking for unistd.h... yes
yes
checking link.h presence... checking whether asprintf is declared... yes
yes
checking for link.h... yes
checking for sys/types.h... checking for dl_iterate_phdr... yes
checking ctype.h usability... yes
checking whether vasprintf is declared... yes
checking mach-o/dyld.h usability... yes
yes
checking ctype.h presence... checking for sys/stat.h... yes
checking for ctype.h... yes
checking stddef.h usability... yes
checking whether snprintf is declared... no
checking mach-o/dyld.h presence... yes
no
checking for mach-o/dyld.h... no
checking sys/ldr.h usability... checking for stdlib.h... yes
checking stddef.h presence... yes
checking whether vsnprintf is declared... yes
checking for stddef.h... yes
checking for string.h... (cached) yes
checking stdio.h usability... no
checking sys/ldr.h presence... yes
yes
checking whether calloc is declared... no
checking for sys/ldr.h... no
checking for fcntl... checking for string.h... yes
checking stdio.h presence... yes
checking for stdio.h... yes
looking for a compliant stdint.h in stdint.h, checking for uintmax_t... yes
yes
checking whether strnlen is declared... checking whether getenv is declared... yes
checking for memory.h... yes
checking for uintptr_t... yes
checking whether getopt is declared... yes
checking whether getpagesize is declared... yes
checking for int_least32_t... yes
yes
checking whether malloc is declared... checking for strings.h... yes
checking for lstat... yes
checking for int_fast32_t... yes
checking whether realloc is declared... yes
yes
checking for inttypes.h... checking for readlink... yes
checking for uint64_t... yes
checking whether sbrk is declared... yes
checking for getexecname... yes
checking what to include in gstdint.h... stdint.h (already complete)
checking for an ANSI C-conforming const... yes
yes
checking for stdint.h... checking whether strtol is declared... yes
checking for off_t... no
checking for KERN_PROC... no
checking for KERN_PROG_ARGS... yes
checking whether strtoul is declared... yes
no
checking for clock_gettime... checking for unistd.h... yes
checking whether strtoll is declared... yes
checking whether -pthread is supported... yes
checking size of int... yes
checking whether -gdwarf-5 is supported... yes
checking sys/mman.h usability... yes
checking whether strtoull is declared... yes
checking for compress in -lz... 4
checking size of long... yes
checking whether strverscmp is declared... yes
checking whether --compress-debug-sections is supported... yes
checking whether strnlen is declared... yes
checking sys/mman.h presence... yes
checking for objcopy... objcopy
checking for readelf... arm-linux-gnueabihf-readelf
checking whether objcopy supports debuglink... yes
checking for dsymutil... dsymutil
checking for nm... arm-linux-gnueabihf-nm
checking for xz... xz
checking for comm... comm
checking for lzma_auto_decoder in -llzma... 4
checking for ANSI C header files... (cached) yes
yes
checking for sys/mman.h... yes
checking build system type... checking for AF_UNIX... arm-unknown-linux-gnueabihf
checking host system type... arm-unknown-linux-gnueabihf
checking target system type... arm-unknown-linux-gnueabihf
checking for decimal floating point... configure: WARNING: decimal float is not supported for this target, ignored
dpd
checking whether byte ordering is bigendian... no
checking whether tests can run... yes
checking whether canonicalize_file_name must be declared... yes
yes
checking for AF_INET6... no
checking for stdlib.h... (cached) yes
checking for unistd.h... (cached) yes
checking for sys/param.h... (cached) yes
checking for getpagesize... (cached) yes
checking for working mmap... no
checking for CET support... no
configure: updating cache ./config.cache
checking that generated files are newer than configure... done
configure: creating ./config.status
configure: updating cache ./config.cache
configure: creating ./config.status
yes
checking for epoll... yes
checking for pselect... config.status: creating Makefile
yes
checking for select... config.status: creating config.h
yes
checking for working strncmp... config.status: executing gstdint.h commands
yes
checking for accept4... yes
checking for inet_ntop... make[4]: Entering directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/libiberty'
if [ x"-fPIC" != x ] && [ ! -d pic ]; then \
  mkdir pic; \
else true; fi
touch stamp-picdir
if [ x"" != x ] && [ ! -d noasan ]; then \
  mkdir noasan; \
else true; fi
touch stamp-noasandir
yes
if [ x"-fPIC" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/dwarfnames.c -o pic/dwarfnames.o; \
else true; fi
configure: updating cache ./config.cache
configure: creating ./config.status
yes
checking for library containing gethostbyname... none required
checking for library containing socket... config.status: creating Makefile
config.status: creating testsuite/Makefile
none required
config.status: creating config.h
config.status: executing default commands
configure: updating cache ./config.cache
configure: creating ./config.status
config.status: creating Makefile
if [ x"-fPIC" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/dyn-string.c -o pic/dyn-string.o; \
else true; fi
config.status: creating backtrace-supported.h
config.status: creating install-debuginfo-for-buildid.sh
config.status: creating config.h
config.status: executing libtool commands
config.status: creating Makefile
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/dwarfnames.c -o noasan/dwarfnames.o; \
else true; fi
config.status: executing gstdint.h commands
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/dwarfnames.c -o dwarfnames.o
config.status: creating config.h
config.status: executing default commands
if [ x"-fPIC" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/fdmatch.c -o pic/fdmatch.o; \
else true; fi
if [ x"-fPIC" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/fibheap.c -o pic/fibheap.o; \
else true; fi
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/fdmatch.c -o noasan/fdmatch.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/fdmatch.c -o fdmatch.o
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/dyn-string.c -o noasan/dyn-string.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/dyn-string.c -o dyn-string.o
make[4]: Entering directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/intl'
make[4]: Nothing to be done for 'all'.
make[4]: Leaving directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/intl'
if [ x"-fPIC" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/filedescriptor.c -o pic/filedescriptor.o; \
else true; fi
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/filedescriptor.c -o noasan/filedescriptor.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/filedescriptor.c -o filedescriptor.o
if [ x"-fPIC" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/filename_cmp.c -o pic/filename_cmp.o; \
else true; fi
if [ x"-fPIC" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/floatformat.c -o pic/floatformat.o; \
else true; fi
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/filename_cmp.c -o noasan/filename_cmp.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/filename_cmp.c -o filename_cmp.o
if [ x"-fPIC" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/fnmatch.c -o pic/fnmatch.o; \
else true; fi
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/fibheap.c -o noasan/fibheap.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/fibheap.c -o fibheap.o
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/fnmatch.c -o noasan/fnmatch.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/fnmatch.c -o fnmatch.o
if [ x"-fPIC" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/fopen_unlocked.c -o pic/fopen_unlocked.o; \
else true; fi
if [ x"-fPIC" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/getopt.c -o pic/getopt.o; \
else true; fi
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/getopt.c -o noasan/getopt.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/getopt.c -o getopt.o
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/fopen_unlocked.c -o noasan/fopen_unlocked.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/fopen_unlocked.c -o fopen_unlocked.o
if [ x"-fPIC" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/getopt1.c -o pic/getopt1.o; \
else true; fi
if [ x"-fPIC" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/getpwd.c -o pic/getpwd.o; \
else true; fi
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/getopt1.c -o noasan/getopt1.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/getopt1.c -o getopt1.o
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/floatformat.c -o noasan/floatformat.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/floatformat.c -o floatformat.o
make[4]: Entering directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/build-arm-linux-gnueabihf/libiberty'
if [ x"" != x ] && [ ! -d pic ]; then \
  mkdir pic; \
else true; fi
touch stamp-picdir
if [ x"" != x ] && [ ! -d noasan ]; then \
  mkdir noasan; \
else true; fi
touch stamp-noasandir
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/dwarfnames.c -o pic/dwarfnames.o; \
else true; fi
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/dwarfnames.c -o noasan/dwarfnames.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/dwarfnames.c -o dwarfnames.o
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/dyn-string.c -o pic/dyn-string.o; \
else true; fi
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/dyn-string.c -o noasan/dyn-string.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/dyn-string.c -o dyn-string.o
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/getpwd.c -o noasan/getpwd.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/getpwd.c -o getpwd.o
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/fdmatch.c -o pic/fdmatch.o; \
else true; fi
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/fdmatch.c -o noasan/fdmatch.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/fdmatch.c -o fdmatch.o
if [ x"-fPIC" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/getruntime.c -o pic/getruntime.o; \
else true; fi
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/fibheap.c -o pic/fibheap.o; \
else true; fi
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/fibheap.c -o noasan/fibheap.o; \
else true; fi
if [ x"-fPIC" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/hashtab.c -o pic/hashtab.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/fibheap.c -o fibheap.o
make[4]: Entering directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/libbacktrace'
/usr/bin/make  all-am
make[5]: Entering directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/libbacktrace'
/bin/bash ./libtool  --tag=CC   --mode=compile arm-linux-gnueabihf-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace  -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=atomic.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual   -g -O2     -c -o atomic.lo ../../src/libbacktrace/atomic.c
libtool: compile:  arm-linux-gnueabihf-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=atomic.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/atomic.c  -fPIC -DPIC -o .libs/atomic.o
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/getruntime.c -o noasan/getruntime.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/getruntime.c -o getruntime.o
libtool: compile:  arm-linux-gnueabihf-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=atomic.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/atomic.c -o atomic.o >/dev/null 2>&1
true  DO=all multi-do # /usr/bin/make
/bin/bash ./libtool  --tag=CC   --mode=compile arm-linux-gnueabihf-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace  -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=dwarf.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual   -g -O2     -c -o dwarf.lo ../../src/libbacktrace/dwarf.c
libtool: compile:  arm-linux-gnueabihf-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=dwarf.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/dwarf.c  -fPIC -DPIC -o .libs/dwarf.o
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/filedescriptor.c -o pic/filedescriptor.o; \
else true; fi
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/filedescriptor.c -o noasan/filedescriptor.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/filedescriptor.c -o filedescriptor.o
if [ x"-fPIC" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/hex.c -o pic/hex.o; \
else true; fi
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/hex.c -o noasan/hex.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/hex.c -o hex.o
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/filename_cmp.c -o pic/filename_cmp.o; \
else true; fi
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/filename_cmp.c -o noasan/filename_cmp.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/filename_cmp.c -o filename_cmp.o
/bin/bash ./libtool  --tag=CC   --mode=compile arm-linux-gnueabihf-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace  -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=fileline.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual   -g -O2     -c -o fileline.lo ../../src/libbacktrace/fileline.c
libtool: compile:  arm-linux-gnueabihf-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=fileline.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/fileline.c  -fPIC -DPIC -o .libs/fileline.o
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/floatformat.c -o pic/floatformat.o; \
else true; fi
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/floatformat.c -o noasan/floatformat.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/floatformat.c -o floatformat.o
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/hashtab.c -o noasan/hashtab.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/hashtab.c -o hashtab.o
libtool: compile:  arm-linux-gnueabihf-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=fileline.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/fileline.c -o fileline.o >/dev/null 2>&1
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/fnmatch.c -o pic/fnmatch.o; \
else true; fi
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/fnmatch.c -o noasan/fnmatch.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/fnmatch.c -o fnmatch.o
if [ x"-fPIC" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/lbasename.c -o pic/lbasename.o; \
else true; fi
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/fopen_unlocked.c -o pic/fopen_unlocked.o; \
else true; fi
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/fopen_unlocked.c -o noasan/fopen_unlocked.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/fopen_unlocked.c -o fopen_unlocked.o
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/getopt.c -o pic/getopt.o; \
else true; fi
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/getopt.c -o noasan/getopt.o; \
else true; fi
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/lbasename.c -o noasan/lbasename.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/getopt.c -o getopt.o
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/lbasename.c -o lbasename.o
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/getopt1.c -o pic/getopt1.o; \
else true; fi
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/getopt1.c -o noasan/getopt1.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/getopt1.c -o getopt1.o
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/getpwd.c -o pic/getpwd.o; \
else true; fi
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/getpwd.c -o noasan/getpwd.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/getpwd.c -o getpwd.o
/bin/bash ./libtool  --tag=CC   --mode=compile arm-linux-gnueabihf-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace  -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=posix.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual   -g -O2     -c -o posix.lo ../../src/libbacktrace/posix.c
libtool: compile:  arm-linux-gnueabihf-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=posix.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/posix.c  -fPIC -DPIC -o .libs/posix.o
if [ x"-fPIC" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/lrealpath.c -o pic/lrealpath.o; \
else true; fi
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/getruntime.c -o pic/getruntime.o; \
else true; fi
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/getruntime.c -o noasan/getruntime.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/getruntime.c -o getruntime.o
libtool: compile:  arm-linux-gnueabihf-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=posix.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/posix.c -o posix.o >/dev/null 2>&1
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/hashtab.c -o pic/hashtab.o; \
else true; fi
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/lrealpath.c -o noasan/lrealpath.o; \
else true; fi
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/hashtab.c -o noasan/hashtab.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/lrealpath.c -o lrealpath.o
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/hashtab.c -o hashtab.o
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/hex.c -o pic/hex.o; \
else true; fi
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/hex.c -o noasan/hex.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/hex.c -o hex.o
if [ x"-fPIC" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/make-relative-prefix.c -o pic/make-relative-prefix.o; \
else true; fi
/bin/bash ./libtool  --tag=CC   --mode=compile arm-linux-gnueabihf-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace  -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=print.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual   -g -O2     -c -o print.lo ../../src/libbacktrace/print.c
libtool: compile:  arm-linux-gnueabihf-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=print.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/print.c  -fPIC -DPIC -o .libs/print.o
libtool: compile:  arm-linux-gnueabihf-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=print.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/print.c -o print.o >/dev/null 2>&1
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/lbasename.c -o pic/lbasename.o; \
else true; fi
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/lbasename.c -o noasan/lbasename.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/lbasename.c -o lbasename.o
/bin/bash ./libtool  --tag=CC   --mode=compile arm-linux-gnueabihf-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace  -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=sort.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual   -g -O2     -c -o sort.lo ../../src/libbacktrace/sort.c
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/make-relative-prefix.c -o noasan/make-relative-prefix.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/make-relative-prefix.c -o make-relative-prefix.o
libtool: compile:  arm-linux-gnueabihf-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=sort.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/sort.c  -fPIC -DPIC -o .libs/sort.o
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/lrealpath.c -o pic/lrealpath.o; \
else true; fi
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/lrealpath.c -o noasan/lrealpath.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/lrealpath.c -o lrealpath.o
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/make-relative-prefix.c -o pic/make-relative-prefix.o; \
else true; fi
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/make-relative-prefix.c -o noasan/make-relative-prefix.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/make-relative-prefix.c -o make-relative-prefix.o
libtool: compile:  arm-linux-gnueabihf-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=sort.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/sort.c -o sort.o >/dev/null 2>&1
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/make-temp-file.c -o pic/make-temp-file.o; \
else true; fi
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/make-temp-file.c -o noasan/make-temp-file.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/make-temp-file.c -o make-temp-file.o
if [ x"-fPIC" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/make-temp-file.c -o pic/make-temp-file.o; \
else true; fi
/bin/bash ./libtool  --tag=CC   --mode=compile arm-linux-gnueabihf-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace  -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=state.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual   -g -O2     -c -o state.lo ../../src/libbacktrace/state.c
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/objalloc.c -o pic/objalloc.o; \
else true; fi
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/objalloc.c -o noasan/objalloc.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/objalloc.c -o objalloc.o
libtool: compile:  arm-linux-gnueabihf-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=state.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/state.c  -fPIC -DPIC -o .libs/state.o
libtool: compile:  arm-linux-gnueabihf-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=state.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/state.c -o state.o >/dev/null 2>&1
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/make-temp-file.c -o noasan/make-temp-file.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/make-temp-file.c -o make-temp-file.o
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/obstack.c -o pic/obstack.o; \
else true; fi
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/obstack.c -o noasan/obstack.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/obstack.c -o obstack.o
mkdir -p -- ./libcpp
Configuring in ./libcpp
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/partition.c -o pic/partition.o; \
else true; fi
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/partition.c -o noasan/partition.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/partition.c -o partition.o
if [ x"-fPIC" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/objalloc.c -o pic/objalloc.o; \
else true; fi
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/pexecute.c -o pic/pexecute.o; \
else true; fi
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/pexecute.c -o noasan/pexecute.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/pexecute.c -o pexecute.o
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/physmem.c -o pic/physmem.o; \
else true; fi
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/physmem.c -o noasan/physmem.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/physmem.c -o physmem.o
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/objalloc.c -o noasan/objalloc.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/objalloc.c -o objalloc.o
libtool: compile:  arm-linux-gnueabihf-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=dwarf.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/dwarf.c -o dwarf.o >/dev/null 2>&1
configure: creating cache ./config.cache
checking build system type... arm-unknown-linux-gnueabihf
checking host system type... arm-unknown-linux-gnueabihf
checking target system type... arm-unknown-linux-gnueabihf
checking whether /usr/bin/make sets $(MAKE)... if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/pex-common.c -o pic/pex-common.o; \
else true; fi
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/pex-common.c -o noasan/pex-common.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/pex-common.c -o pex-common.o
yes
checking for a BSD-compatible install... /usr/bin/install -c
checking for arm-linux-gnueabihf-gcc... arm-linux-gnueabihf-gcc-12
checking whether the C compiler works... if [ x"-fPIC" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/obstack.c -o pic/obstack.o; \
else true; fi
yes
checking for C compiler default output file name... a.out
checking for suffix of executables... if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/obstack.c -o noasan/obstack.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/obstack.c -o obstack.o
if [ x"-fPIC" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/partition.c -o pic/partition.o; \
else true; fi

checking whether we are cross compiling... no
checking for suffix of object files... o
checking whether we are using the GNU C compiler... if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/partition.c -o noasan/partition.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/partition.c -o partition.o
yes
checking whether arm-linux-gnueabihf-gcc-12 accepts -g... yes
checking for arm-linux-gnueabihf-gcc-12 option to accept ISO C89... if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/pex-one.c -o pic/pex-one.o; \
else true; fi
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/pex-one.c -o noasan/pex-one.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/pex-one.c -o pex-one.o
none needed
checking whether we are using the GNU C++ compiler... if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/pex-unix.c -o pic/pex-unix.o; \
else true; fi
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/pex-unix.c -o noasan/pex-unix.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/pex-unix.c -o pex-unix.o
if [ x"-fPIC" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/pexecute.c -o pic/pexecute.o; \
else true; fi
yes
checking whether arm-linux-gnueabihf-g++-12 accepts -g... yes
checking for arm-linux-gnueabihf-ranlib... arm-linux-gnueabihf-ranlib
checking for arm-linux-gnueabihf-ar... arm-linux-gnueabihf-ar
checking how to run the C preprocessor... arm-linux-gnueabihf-gcc-12 -E
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/pexecute.c -o noasan/pexecute.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/pexecute.c -o pexecute.o
checking for grep that handles long lines and -e... /bin/grep
checking for egrep... /bin/grep -E
checking for ANSI C header files... if [ x"-fPIC" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/physmem.c -o pic/physmem.o; \
else true; fi
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/vprintf-support.c -o pic/vprintf-support.o; \
else true; fi
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/vprintf-support.c -o noasan/vprintf-support.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/vprintf-support.c -o vprintf-support.o
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/physmem.c -o noasan/physmem.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/physmem.c -o physmem.o
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/rust-demangle.c -o pic/rust-demangle.o; \
else true; fi
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/rust-demangle.c -o noasan/rust-demangle.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/rust-demangle.c -o rust-demangle.o
yes
checking for sys/types.h... yes
if [ x"-fPIC" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/pex-common.c -o pic/pex-common.o; \
else true; fi
checking for sys/stat.h... yes
checking for stdlib.h... yes
checking for string.h... yes
checking for memory.h... yes
checking for strings.h... yes
checking for inttypes.h... if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/pex-common.c -o noasan/pex-common.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/pex-common.c -o pex-common.o
yes
checking for stdint.h... yes
checking for unistd.h... yes
checking minix/config.h usability... no
checking minix/config.h presence... no
checking for minix/config.h... no
checking whether it is safe to define __EXTENSIONS__... if [ x"-fPIC" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/pex-one.c -o pic/pex-one.o; \
else true; fi
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/pex-one.c -o noasan/pex-one.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/pex-one.c -o pex-one.o
yes
checking for special C compiler options needed for large files... no
checking for _FILE_OFFSET_BITS value needed for large files... if [ x"-fPIC" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/pex-unix.c -o pic/pex-unix.o; \
else true; fi
64
checking for aclocal... aclocal
checking for autoconf... autoconf
checking for autoheader... autoheader
checking whether arm-linux-gnueabihf-gcc-12 supports -W... yes
checking whether arm-linux-gnueabihf-gcc-12 supports -Wall... yes
checking whether arm-linux-gnueabihf-gcc-12 supports -Wnarrowing... yes
checking whether arm-linux-gnueabihf-gcc-12 supports -Wwrite-strings... yes
checking whether arm-linux-gnueabihf-gcc-12 supports -Wmissing-format-attribute... yes
checking whether arm-linux-gnueabihf-gcc-12 supports -Wstrict-prototypes... yes
checking whether arm-linux-gnueabihf-gcc-12 supports -Wmissing-prototypes... if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/pex-unix.c -o noasan/pex-unix.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/pex-unix.c -o pex-unix.o
yes
checking whether arm-linux-gnueabihf-gcc-12 supports -Wold-style-definition... yes
checking whether arm-linux-gnueabihf-gcc-12 supports -Wc++-compat... if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/safe-ctype.c -o pic/safe-ctype.o; \
else true; fi
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/safe-ctype.c -o noasan/safe-ctype.o; \
else true; fi
yes
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/safe-ctype.c -o safe-ctype.o
checking whether arm-linux-gnueabihf-gcc-12 supports -pedantic -Wlong-long... yes
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/simple-object.c -o pic/simple-object.o; \
else true; fi
checking whether arm-linux-gnueabihf-gcc-12 supports -fno-exceptions... if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/simple-object.c -o noasan/simple-object.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/simple-object.c -o simple-object.o
yes
checking whether arm-linux-gnueabihf-gcc-12 supports -fno-rtti... yes
checking dependency style of arm-linux-gnueabihf-g++-12... /bin/bash ./libtool  --tag=CC   --mode=compile arm-linux-gnueabihf-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace  -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=backtrace.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual   -g -O2     -c -o backtrace.lo ../../src/libbacktrace/backtrace.c
if [ x"-fPIC" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/vprintf-support.c -o pic/vprintf-support.o; \
else true; fi
libtool: compile:  arm-linux-gnueabihf-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=backtrace.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/backtrace.c  -fPIC -DPIC -o .libs/backtrace.o
gcc3
checking whether time.h and sys/time.h may both be included... libtool: compile:  arm-linux-gnueabihf-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=backtrace.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/backtrace.c -o backtrace.o >/dev/null 2>&1
yes
checking whether string.h and strings.h may both be included... if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/vprintf-support.c -o noasan/vprintf-support.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/vprintf-support.c -o vprintf-support.o
yes
checking locale.h usability... if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/simple-object-coff.c -o pic/simple-object-coff.o; \
else true; fi
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/simple-object-coff.c -o noasan/simple-object-coff.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/simple-object-coff.c -o simple-object-coff.o
/bin/bash ./libtool  --tag=CC   --mode=compile arm-linux-gnueabihf-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace  -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=simple.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual   -g -O2     -c -o simple.lo ../../src/libbacktrace/simple.c
libtool: compile:  arm-linux-gnueabihf-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=simple.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/simple.c  -fPIC -DPIC -o .libs/simple.o
yes
checking locale.h presence... if [ x"-fPIC" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/rust-demangle.c -o pic/rust-demangle.o; \
else true; fi
yes
checking for locale.h... yes
checking fcntl.h usability... libtool: compile:  arm-linux-gnueabihf-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=simple.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/simple.c -o simple.o >/dev/null 2>&1
/bin/bash ./libtool  --tag=CC   --mode=compile arm-linux-gnueabihf-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace  -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=elf.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual   -g -O2     -c -o elf.lo ../../src/libbacktrace/elf.c
libtool: compile:  arm-linux-gnueabihf-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=elf.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/elf.c  -fPIC -DPIC -o .libs/elf.o
yes
checking fcntl.h presence... yes
checking for fcntl.h... yes
checking limits.h usability... yes
checking limits.h presence... yes
checking for limits.h... yes
checking stddef.h usability... if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/simple-object-elf.c -o pic/simple-object-elf.o; \
else true; fi
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/simple-object-elf.c -o noasan/simple-object-elf.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/simple-object-elf.c -o simple-object-elf.o
yes
checking stddef.h presence... yes
checking for stddef.h... yes
checking for stdlib.h... (cached) yes
checking for strings.h... (cached) yes
checking for string.h... (cached) yes
checking sys/file.h usability... yes
checking sys/file.h presence... yes
checking for sys/file.h... yes
checking for unistd.h... (cached) yes
checking whether byte ordering is bigendian... no
checking for an ANSI C-conforming const... yes
checking for inline... inline
checking for obstacks... yes
checking for off_t... yes
checking for size_t... yes
checking for ssize_t... if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/simple-object-mach-o.c -o pic/simple-object-mach-o.o; \
else true; fi
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/simple-object-mach-o.c -o noasan/simple-object-mach-o.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/simple-object-mach-o.c -o simple-object-mach-o.o
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/rust-demangle.c -o noasan/rust-demangle.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/rust-demangle.c -o rust-demangle.o
yes
checking for uintptr_t... yes
checking for ptrdiff_t... yes
checking for uint64_t... if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/simple-object-xcoff.c -o pic/simple-object-xcoff.o; \
else true; fi
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/simple-object-xcoff.c -o noasan/simple-object-xcoff.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/simple-object-xcoff.c -o simple-object-xcoff.o
yes
checking whether struct tm is in sys/time.h or time.h... time.h
checking size of int... 4
checking size of long... 4
checking for clearerr_unlocked... yes
checking for feof_unlocked... if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/sort.c -o pic/sort.o; \
else true; fi
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/sort.c -o noasan/sort.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/sort.c -o sort.o
yes
checking for ferror_unlocked... if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/spaces.c -o pic/spaces.o; \
else true; fi
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/spaces.c -o noasan/spaces.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/spaces.c -o spaces.o
yes
checking for fflush_unlocked... if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/splay-tree.c -o pic/splay-tree.o; \
else true; fi
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/splay-tree.c -o noasan/splay-tree.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/splay-tree.c -o splay-tree.o
yes
checking for fgetc_unlocked... if [ x"-fPIC" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/safe-ctype.c -o pic/safe-ctype.o; \
else true; fi
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/safe-ctype.c -o noasan/safe-ctype.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/safe-ctype.c -o safe-ctype.o
yes
checking for fgets_unlocked... if [ x"-fPIC" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/simple-object.c -o pic/simple-object.o; \
else true; fi
yes
checking for fileno_unlocked... if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/stack-limit.c -o pic/stack-limit.o; \
else true; fi
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/stack-limit.c -o noasan/stack-limit.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/stack-limit.c -o stack-limit.o
yes
checking for fprintf_unlocked... if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/strerror.c -o pic/strerror.o; \
else true; fi
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/strerror.c -o noasan/strerror.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/strerror.c -o strerror.o
no
checking for fputc_unlocked... if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/strsignal.c -o pic/strsignal.o; \
else true; fi
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/strsignal.c -o noasan/strsignal.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/strsignal.c -o strsignal.o
yes
checking for fputs_unlocked... if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/simple-object.c -o noasan/simple-object.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/simple-object.c -o simple-object.o
libtool: compile:  arm-linux-gnueabihf-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=elf.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/elf.c -o elf.o >/dev/null 2>&1
yes
checking for fread_unlocked... if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/timeval-utils.c -o pic/timeval-utils.o; \
else true; fi
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/timeval-utils.c -o noasan/timeval-utils.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/timeval-utils.c -o timeval-utils.o
yes
checking for fwrite_unlocked... if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/unlink-if-ordinary.c -o pic/unlink-if-ordinary.o; \
else true; fi
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/unlink-if-ordinary.c -o noasan/unlink-if-ordinary.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/unlink-if-ordinary.c -o unlink-if-ordinary.o
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/xasprintf.c -o pic/xasprintf.o; \
else true; fi
yes
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/xasprintf.c -o noasan/xasprintf.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/xasprintf.c -o xasprintf.o
checking for getchar_unlocked... if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/xatexit.c -o pic/xatexit.o; \
else true; fi
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/xatexit.c -o noasan/xatexit.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/xatexit.c -o xatexit.o
yes
checking for getc_unlocked... if [ x"-fPIC" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/simple-object-coff.c -o pic/simple-object-coff.o; \
else true; fi
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/xexit.c -o pic/xexit.o; \
else true; fi
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/xexit.c -o noasan/xexit.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/xexit.c -o xexit.o
yes
checking for putchar_unlocked... if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/xmalloc.c -o pic/xmalloc.o; \
else true; fi
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/xmalloc.c -o noasan/xmalloc.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/xmalloc.c -o xmalloc.o
yes
checking for putc_unlocked... if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/xmemdup.c -o pic/xmemdup.o; \
else true; fi
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/xmemdup.c -o noasan/xmemdup.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/xmemdup.c -o xmemdup.o
yes
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/xstrdup.c -o pic/xstrdup.o; \
else true; fi
checking whether abort is declared... if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/xstrdup.c -o noasan/xstrdup.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/xstrdup.c -o xstrdup.o
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/xstrerror.c -o pic/xstrerror.o; \
else true; fi
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/xstrerror.c -o noasan/xstrerror.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/xstrerror.c -o xstrerror.o
yes
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/xstrndup.c -o pic/xstrndup.o; \
else true; fi
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/xstrndup.c -o noasan/xstrndup.o; \
else true; fi
checking whether asprintf is declared... arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/xstrndup.c -o xstrndup.o
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/simple-object-coff.c -o noasan/simple-object-coff.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/simple-object-coff.c -o simple-object-coff.o
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/xvasprintf.c -o pic/xvasprintf.o; \
else true; fi
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/xvasprintf.c -o noasan/xvasprintf.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/xvasprintf.c -o xvasprintf.o
yes
checking whether basename is declared... if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/setproctitle.c -o pic/setproctitle.o; \
else true; fi
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/setproctitle.c -o noasan/setproctitle.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/setproctitle.c -o setproctitle.o
echo ./regex.o ./cplus-dem.o ./cp-demangle.o ./md5.o ./sha1.o ./alloca.o ./argv.o ./bsearch_r.o ./choose-temp.o ./concat.o ./cp-demint.o ./crc32.o ./d-demangle.o ./dwarfnames.o ./dyn-string.o ./fdmatch.o ./fibheap.o ./filedescriptor.o ./filename_cmp.o ./floatformat.o ./fnmatch.o ./fopen_unlocked.o ./getopt.o ./getopt1.o ./getpwd.o ./getruntime.o ./hashtab.o ./hex.o ./lbasename.o ./lrealpath.o ./make-relative-prefix.o ./make-temp-file.o ./objalloc.o ./obstack.o ./partition.o ./pexecute.o ./physmem.o ./pex-common.o ./pex-one.o ./pex-unix.o ./vprintf-support.o ./rust-demangle.o ./safe-ctype.o ./simple-object.o ./simple-object-coff.o ./simple-object-elf.o ./simple-object-mach-o.o ./simple-object-xcoff.o ./sort.o ./spaces.o ./splay-tree.o ./stack-limit.o ./strerror.o ./strsignal.o ./timeval-utils.o ./unlink-if-ordinary.o ./xasprintf.o ./xatexit.o ./xexit.o ./xmalloc.o ./xmemdup.o ./xstrdup.o ./xstrerror.o ./xstrndup.o ./xvasprintf.o > required-list
make[5]: Entering directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/build-arm-linux-gnueabihf/libiberty/testsuite'
make[5]: Nothing to be done for 'all'.
make[5]: Leaving directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/build-arm-linux-gnueabihf/libiberty/testsuite'
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/regex.c -o pic/regex.o; \
else true; fi
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/regex.c -o noasan/regex.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/regex.c -o regex.o
yes
checking whether errno is declared... no
checking whether getopt is declared... yes
checking whether vasprintf is declared... if [ x"-fPIC" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/simple-object-elf.c -o pic/simple-object-elf.o; \
else true; fi
yes
checking whether clearerr_unlocked is declared... yes
checking whether feof_unlocked is declared... yes
checking whether ferror_unlocked is declared... yes
checking whether fflush_unlocked is declared... yes
checking whether fgetc_unlocked is declared... yes
checking whether fgets_unlocked is declared... yes
checking whether fileno_unlocked is declared... yes
checking whether fprintf_unlocked is declared... no
checking whether fputc_unlocked is declared... yes
checking whether fputs_unlocked is declared... if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/simple-object-elf.c -o noasan/simple-object-elf.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/simple-object-elf.c -o simple-object-elf.o
yes
checking whether fread_unlocked is declared... yes
checking whether fwrite_unlocked is declared... yes
checking whether getchar_unlocked is declared... yes
checking whether getc_unlocked is declared... yes
checking whether putchar_unlocked is declared... yes
checking whether putc_unlocked is declared... yes
checking for working alloca.h... yes
checking for alloca... /bin/bash ./libtool  --tag=CC   --mode=compile arm-linux-gnueabihf-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace  -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=mmapio.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual   -g -O2     -c -o mmapio.lo ../../src/libbacktrace/mmapio.c
yes
checking for ANSI C header files... (cached) yes
checking for nl_langinfo and CODESET... libtool: compile:  arm-linux-gnueabihf-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=mmapio.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/mmapio.c  -fPIC -DPIC -o .libs/mmapio.o
yes
checking whether NLS is requested... yes
checking for catalogs to be installed... libtool: compile:  arm-linux-gnueabihf-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=mmapio.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/mmapio.c -o mmapio.o >/dev/null 2>&1
/bin/bash ./libtool  --tag=CC   --mode=compile arm-linux-gnueabihf-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace  -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=mmap.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual   -g -O2     -c -o mmap.lo ../../src/libbacktrace/mmap.c
libtool: compile:  arm-linux-gnueabihf-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=mmap.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/mmap.c  -fPIC -DPIC -o .libs/mmap.o
if [ x"-fPIC" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/simple-object-mach-o.c -o pic/simple-object-mach-o.o; \
else true; fi
 be ca da de el eo es fi fr id ja nl pt_BR ru sr sv tr uk vi zh_CN zh_TW be ca da de el eo es fi fr id ja nl pt_BR ru sr sv tr uk vi zh_CN zh_TW
checking for uchar... libtool: compile:  arm-linux-gnueabihf-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=mmap.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/mmap.c -o mmap.o >/dev/null 2>&1

checking size of ino_t... 8
checking size of dev_t... /bin/bash ./libtool  --tag=CC   --mode=link arm-linux-gnueabihf-gcc-12 -funwind-tables -frandom-seed=libbacktrace.la -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual   -g -O2      -static-libstdc++ -static-libgcc  -o libbacktrace.la  atomic.lo dwarf.lo fileline.lo posix.lo print.lo sort.lo state.lo backtrace.lo simple.lo elf.lo mmapio.lo mmap.lo 
libtool: link: arm-linux-gnueabihf-ar rc .libs/libbacktrace.a .libs/atomic.o .libs/dwarf.o .libs/fileline.o .libs/posix.o .libs/print.o .libs/sort.o .libs/state.o .libs/backtrace.o .libs/simple.o .libs/elf.o .libs/mmapio.o .libs/mmap.o 
8
checking for ld used by GCC... libtool: link: arm-linux-gnueabihf-ranlib .libs/libbacktrace.a
arm-linux-gnueabihf-ld
checking if the linker (arm-linux-gnueabihf-ld) is GNU ld... yes
checking for shared library run path origin... libtool: link: ( cd ".libs" && rm -f "libbacktrace.la" && ln -s "../libbacktrace.la" "libbacktrace.la" )
make[5]: Leaving directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/libbacktrace'
make[4]: Leaving directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/libbacktrace'
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/cplus-dem.c -o pic/cplus-dem.o; \
else true; fi
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/cplus-dem.c -o noasan/cplus-dem.o; \
else true; fi
done
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/cplus-dem.c -o cplus-dem.o
checking for iconv... if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/simple-object-mach-o.c -o noasan/simple-object-mach-o.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/simple-object-mach-o.c -o simple-object-mach-o.o
yes
checking for iconv declaration... 
         extern size_t iconv (iconv_t cd, char * *inbuf, size_t *inbytesleft, char * *outbuf, size_t *outbytesleft);
checking for CET support... no
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/cp-demangle.c -o pic/cp-demangle.o; \
else true; fi
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/cp-demangle.c -o noasan/cp-demangle.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/cp-demangle.c -o cp-demangle.o
configure: updating cache ./config.cache
configure: creating ./config.status
config.status: creating Makefile
config.status: creating config.h
config.status: executing depdir commands
mkdir -p -- .deps
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/md5.c -o pic/md5.o; \
else true; fi
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/md5.c -o noasan/md5.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/md5.c -o md5.o
if [ x"-fPIC" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/simple-object-xcoff.c -o pic/simple-object-xcoff.o; \
else true; fi
make[4]: Entering directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/libcody'
arm-linux-gnueabihf-g++-12 -g -O2 -fno-exceptions -fno-rtti -include config.h -I../../src/libcody \
  -MMD -MP -MF buffer.d -c -o buffer.o ../../src/libcody/buffer.cc
make[4]: Entering directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/libdecnumber'
source='../../src/libdecnumber/decNumber.c' object='decNumber.o' libtool=no arm-linux-gnueabihf-gcc-12  -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2     -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -pedantic -Wno-long-long  -fno-lto -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=2   -c ../../src/libdecnumber/decNumber.c
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/simple-object-xcoff.c -o noasan/simple-object-xcoff.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/simple-object-xcoff.c -o simple-object-xcoff.o
if [ x"-fPIC" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/sort.c -o pic/sort.o; \
else true; fi
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/sort.c -o noasan/sort.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/sort.c -o sort.o
if [ x"-fPIC" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/spaces.c -o pic/spaces.o; \
else true; fi
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/spaces.c -o noasan/spaces.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/spaces.c -o spaces.o
if [ x"-fPIC" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/splay-tree.c -o pic/splay-tree.o; \
else true; fi
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/splay-tree.c -o noasan/splay-tree.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/splay-tree.c -o splay-tree.o
if [ x"-fPIC" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/stack-limit.c -o pic/stack-limit.o; \
else true; fi
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/stack-limit.c -o noasan/stack-limit.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/stack-limit.c -o stack-limit.o
if [ x"-fPIC" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/strerror.c -o pic/strerror.o; \
else true; fi
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/strerror.c -o noasan/strerror.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/strerror.c -o strerror.o
if [ x"-fPIC" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/strsignal.c -o pic/strsignal.o; \
else true; fi
arm-linux-gnueabihf-g++-12 -g -O2 -fno-exceptions -fno-rtti -include config.h -I../../src/libcody \
  -MMD -MP -MF client.d -c -o client.o ../../src/libcody/client.cc
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/strsignal.c -o noasan/strsignal.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/strsignal.c -o strsignal.o
if [ x"-fPIC" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/timeval-utils.c -o pic/timeval-utils.o; \
else true; fi
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/timeval-utils.c -o noasan/timeval-utils.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/timeval-utils.c -o timeval-utils.o
if [ x"-fPIC" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/unlink-if-ordinary.c -o pic/unlink-if-ordinary.o; \
else true; fi
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/unlink-if-ordinary.c -o noasan/unlink-if-ordinary.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/unlink-if-ordinary.c -o unlink-if-ordinary.o
if [ x"-fPIC" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/xasprintf.c -o pic/xasprintf.o; \
else true; fi
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/xasprintf.c -o noasan/xasprintf.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/xasprintf.c -o xasprintf.o
if [ x"-fPIC" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/xatexit.c -o pic/xatexit.o; \
else true; fi
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/xatexit.c -o noasan/xatexit.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/xatexit.c -o xatexit.o
if [ x"-fPIC" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/xexit.c -o pic/xexit.o; \
else true; fi
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/xexit.c -o noasan/xexit.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/xexit.c -o xexit.o
if [ x"-fPIC" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/xmalloc.c -o pic/xmalloc.o; \
else true; fi
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/sha1.c -o pic/sha1.o; \
else true; fi
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/sha1.c -o noasan/sha1.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/sha1.c -o sha1.o
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/xmalloc.c -o noasan/xmalloc.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/xmalloc.c -o xmalloc.o
if [ x"-fPIC" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/xmemdup.c -o pic/xmemdup.o; \
else true; fi
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/xmemdup.c -o noasan/xmemdup.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/xmemdup.c -o xmemdup.o
if [ x"-fPIC" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/xstrdup.c -o pic/xstrdup.o; \
else true; fi
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/xstrdup.c -o noasan/xstrdup.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/xstrdup.c -o xstrdup.o
if [ x"-fPIC" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/xstrerror.c -o pic/xstrerror.o; \
else true; fi
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/xstrerror.c -o noasan/xstrerror.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/xstrerror.c -o xstrerror.o
if [ x"-fPIC" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/xstrndup.c -o pic/xstrndup.o; \
else true; fi
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/xstrndup.c -o noasan/xstrndup.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/xstrndup.c -o xstrndup.o
if [ x"-fPIC" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/xvasprintf.c -o pic/xvasprintf.o; \
else true; fi
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/xvasprintf.c -o noasan/xvasprintf.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/xvasprintf.c -o xvasprintf.o
arm-linux-gnueabihf-g++-12 -g -O2 -fno-exceptions -fno-rtti -include config.h -I../../src/libcody \
  -MMD -MP -MF netclient.d -c -o netclient.o ../../src/libcody/netclient.cc
if [ x"-fPIC" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/setproctitle.c -o pic/setproctitle.o; \
else true; fi
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/setproctitle.c -o noasan/setproctitle.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/setproctitle.c -o setproctitle.o
echo ./regex.o ./cplus-dem.o ./cp-demangle.o ./md5.o ./sha1.o ./alloca.o ./argv.o ./bsearch_r.o ./choose-temp.o ./concat.o ./cp-demint.o ./crc32.o ./d-demangle.o ./dwarfnames.o ./dyn-string.o ./fdmatch.o ./fibheap.o ./filedescriptor.o ./filename_cmp.o ./floatformat.o ./fnmatch.o ./fopen_unlocked.o ./getopt.o ./getopt1.o ./getpwd.o ./getruntime.o ./hashtab.o ./hex.o ./lbasename.o ./lrealpath.o ./make-relative-prefix.o ./make-temp-file.o ./objalloc.o ./obstack.o ./partition.o ./pexecute.o ./physmem.o ./pex-common.o ./pex-one.o ./pex-unix.o ./vprintf-support.o ./rust-demangle.o ./safe-ctype.o ./simple-object.o ./simple-object-coff.o ./simple-object-elf.o ./simple-object-mach-o.o ./simple-object-xcoff.o ./sort.o ./spaces.o ./splay-tree.o ./stack-limit.o ./strerror.o ./strsignal.o ./timeval-utils.o ./unlink-if-ordinary.o ./xasprintf.o ./xatexit.o ./xexit.o ./xmalloc.o ./xmemdup.o ./xstrdup.o ./xstrerror.o ./xstrndup.o ./xvasprintf.o > required-list
make[5]: Entering directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/libiberty/testsuite'
make[5]: Nothing to be done for 'all'.
make[5]: Leaving directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/libiberty/testsuite'
if [ x"-fPIC" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/regex.c -o pic/regex.o; \
else true; fi
source='../../src/libdecnumber/decContext.c' object='decContext.o' libtool=no arm-linux-gnueabihf-gcc-12  -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2     -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -pedantic -Wno-long-long  -fno-lto -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=2   -c ../../src/libdecnumber/decContext.c
source='../../src/libdecnumber/dpd/decimal32.c' object='decimal32.o' libtool=no arm-linux-gnueabihf-gcc-12  -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2     -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -pedantic -Wno-long-long  -fno-lto -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=2   -c ../../src/libdecnumber/dpd/decimal32.c
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/alloca.c -o pic/alloca.o; \
else true; fi
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/alloca.c -o noasan/alloca.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/alloca.c -o alloca.o
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/argv.c -o pic/argv.o; \
else true; fi
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/argv.c -o noasan/argv.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/argv.c -o argv.o
source='../../src/libdecnumber/dpd/decimal64.c' object='decimal64.o' libtool=no arm-linux-gnueabihf-gcc-12  -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2     -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -pedantic -Wno-long-long  -fno-lto -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=2   -c ../../src/libdecnumber/dpd/decimal64.c
arm-linux-gnueabihf-g++-12 -g -O2 -fno-exceptions -fno-rtti -include config.h -I../../src/libcody \
  -MMD -MP -MF netserver.d -c -o netserver.o ../../src/libcody/netserver.cc
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/bsearch_r.c -o pic/bsearch_r.o; \
else true; fi
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/bsearch_r.c -o noasan/bsearch_r.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/bsearch_r.c -o bsearch_r.o
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/choose-temp.c -o pic/choose-temp.o; \
else true; fi
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/choose-temp.c -o noasan/choose-temp.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/choose-temp.c -o choose-temp.o
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/concat.c -o pic/concat.o; \
else true; fi
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/concat.c -o noasan/concat.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/concat.c -o concat.o
source='../../src/libdecnumber/dpd/decimal128.c' object='decimal128.o' libtool=no arm-linux-gnueabihf-gcc-12  -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2     -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -pedantic -Wno-long-long  -fno-lto -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=2   -c ../../src/libdecnumber/dpd/decimal128.c
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/cp-demint.c -o pic/cp-demint.o; \
else true; fi
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/cp-demint.c -o noasan/cp-demint.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/cp-demint.c -o cp-demint.o
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/crc32.c -o pic/crc32.o; \
else true; fi
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/crc32.c -o noasan/crc32.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/crc32.c -o crc32.o
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/d-demangle.c -o pic/d-demangle.o; \
else true; fi
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/d-demangle.c -o noasan/d-demangle.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2  -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/d-demangle.c -o d-demangle.o
rm -f libdecnumber.a
arm-linux-gnueabihf-ar cru libdecnumber.a decNumber.o decContext.o decimal32.o decimal64.o decimal128.o 
arm-linux-gnueabihf-ar: `u' modifier ignored since `D' is the default (see `U')
arm-linux-gnueabihf-ranlib libdecnumber.a
make[4]: Leaving directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/libdecnumber'
arm-linux-gnueabihf-g++-12 -g -O2 -fno-exceptions -fno-rtti -include config.h -I../../src/libcody \
  -MMD -MP -MF resolver.d -c -o resolver.o ../../src/libcody/resolver.cc
arm-linux-gnueabihf-g++-12 -g -O2 -fno-exceptions -fno-rtti -include config.h -I../../src/libcody \
  -MMD -MP -MF packet.d -c -o packet.o ../../src/libcody/packet.cc
arm-linux-gnueabihf-g++-12 -g -O2 -fno-exceptions -fno-rtti -include config.h -I../../src/libcody \
  -MMD -MP -MF server.d -c -o server.o ../../src/libcody/server.cc
rm -f ./libiberty.a pic/./libiberty.a noasan/./libiberty.a
arm-linux-gnueabihf-ar rc ./libiberty.a \
  ./regex.o ./cplus-dem.o ./cp-demangle.o ./md5.o ./sha1.o ./alloca.o ./argv.o ./bsearch_r.o ./choose-temp.o ./concat.o ./cp-demint.o ./crc32.o ./d-demangle.o ./dwarfnames.o ./dyn-string.o ./fdmatch.o ./fibheap.o ./filedescriptor.o ./filename_cmp.o ./floatformat.o ./fnmatch.o ./fopen_unlocked.o ./getopt.o ./getopt1.o ./getpwd.o ./getruntime.o ./hashtab.o ./hex.o ./lbasename.o ./lrealpath.o ./make-relative-prefix.o ./make-temp-file.o ./objalloc.o ./obstack.o ./partition.o ./pexecute.o ./physmem.o ./pex-common.o ./pex-one.o ./pex-unix.o ./vprintf-support.o ./rust-demangle.o ./safe-ctype.o ./simple-object.o ./simple-object-coff.o ./simple-object-elf.o ./simple-object-mach-o.o ./simple-object-xcoff.o ./sort.o ./spaces.o ./splay-tree.o ./stack-limit.o ./strerror.o ./strsignal.o ./timeval-utils.o ./unlink-if-ordinary.o ./xasprintf.o ./xatexit.o ./xexit.o ./xmalloc.o ./xmemdup.o ./xstrdup.o ./xstrerror.o ./xstrndup.o ./xvasprintf.o  ./setproctitle.o
arm-linux-gnueabihf-g++-12 -g -O2 -fno-exceptions -fno-rtti -include config.h -DSRCDIR='"../../src/libcody"' -I../../src/libcody \
  -MMD -MP -MF fatal.d -c -o fatal.o ../../src/libcody/fatal.cc
arm-linux-gnueabihf-ranlib ./libiberty.a
if [ x"" != x ]; then \
  cd pic; \
  arm-linux-gnueabihf-ar rc ./libiberty.a \
    ./regex.o ./cplus-dem.o ./cp-demangle.o ./md5.o ./sha1.o ./alloca.o ./argv.o ./bsearch_r.o ./choose-temp.o ./concat.o ./cp-demint.o ./crc32.o ./d-demangle.o ./dwarfnames.o ./dyn-string.o ./fdmatch.o ./fibheap.o ./filedescriptor.o ./filename_cmp.o ./floatformat.o ./fnmatch.o ./fopen_unlocked.o ./getopt.o ./getopt1.o ./getpwd.o ./getruntime.o ./hashtab.o ./hex.o ./lbasename.o ./lrealpath.o ./make-relative-prefix.o ./make-temp-file.o ./objalloc.o ./obstack.o ./partition.o ./pexecute.o ./physmem.o ./pex-common.o ./pex-one.o ./pex-unix.o ./vprintf-support.o ./rust-demangle.o ./safe-ctype.o ./simple-object.o ./simple-object-coff.o ./simple-object-elf.o ./simple-object-mach-o.o ./simple-object-xcoff.o ./sort.o ./spaces.o ./splay-tree.o ./stack-limit.o ./strerror.o ./strsignal.o ./timeval-utils.o ./unlink-if-ordinary.o ./xasprintf.o ./xatexit.o ./xexit.o ./xmalloc.o ./xmemdup.o ./xstrdup.o ./xstrerror.o ./xstrndup.o ./xvasprintf.o  ./setproctitle.o; \
  arm-linux-gnueabihf-ranlib ./libiberty.a; \
  cd ..; \
else true; fi; \
if [ x"" != x ]; then \
  cd noasan; \
  arm-linux-gnueabihf-ar rc ./libiberty.a \
    ./regex.o ./cplus-dem.o ./cp-demangle.o ./md5.o ./sha1.o ./alloca.o ./argv.o ./bsearch_r.o ./choose-temp.o ./concat.o ./cp-demint.o ./crc32.o ./d-demangle.o ./dwarfnames.o ./dyn-string.o ./fdmatch.o ./fibheap.o ./filedescriptor.o ./filename_cmp.o ./floatformat.o ./fnmatch.o ./fopen_unlocked.o ./getopt.o ./getopt1.o ./getpwd.o ./getruntime.o ./hashtab.o ./hex.o ./lbasename.o ./lrealpath.o ./make-relative-prefix.o ./make-temp-file.o ./objalloc.o ./obstack.o ./partition.o ./pexecute.o ./physmem.o ./pex-common.o ./pex-one.o ./pex-unix.o ./vprintf-support.o ./rust-demangle.o ./safe-ctype.o ./simple-object.o ./simple-object-coff.o ./simple-object-elf.o ./simple-object-mach-o.o ./simple-object-xcoff.o ./sort.o ./spaces.o ./splay-tree.o ./stack-limit.o ./strerror.o ./strsignal.o ./timeval-utils.o ./unlink-if-ordinary.o ./xasprintf.o ./xatexit.o ./xexit.o ./xmalloc.o ./xmemdup.o ./xstrdup.o ./xstrerror.o ./xstrndup.o ./xvasprintf.o  ./setproctitle.o; \
  arm-linux-gnueabihf-ranlib ./libiberty.a; \
  cd ..; \
else true; fi
make[4]: Leaving directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/build-arm-linux-gnueabihf/libiberty'
make[4]: Entering directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/build-arm-linux-gnueabihf/fixincludes'
arm-linux-gnueabihf-gcc-12 -c -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long   -DHAVE_CONFIG_H -I. -I../../../src/fixincludes -I../include -I../../../src/fixincludes/../include ../../../src/fixincludes/fixincl.c
make[4]: Entering directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/build-arm-linux-gnueabihf/libcpp'
arm-linux-gnueabihf-g++-12  -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include  -g -O2     -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long  -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include    -DPACKAGE_SUFFIX=\"-12\" -c -o charset.o -MT charset.o -MMD -MP -MF .deps/charset.Tpo ../../../src/libcpp/charset.cc
arm-linux-gnueabihf-gcc-12 -c -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long   -DHAVE_CONFIG_H -I. -I../../../src/fixincludes -I../include -I../../../src/fixincludes/../include ../../../src/fixincludes/fixtests.c
arm-linux-gnueabihf-gcc-12 -c -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long   -DHAVE_CONFIG_H -I. -I../../../src/fixincludes -I../include -I../../../src/fixincludes/../include ../../../src/fixincludes/fixfixes.c
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/regex.c -o noasan/regex.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/regex.c -o regex.o
arm-linux-gnueabihf-ar -cr libcody.a buffer.o client.o fatal.o netclient.o netserver.o resolver.o packet.o server.o
arm-linux-gnueabihf-ranlib libcody.a
make[4]: Leaving directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/libcody'
if [ x"-fPIC" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/cplus-dem.c -o pic/cplus-dem.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long   -DHAVE_CONFIG_H -I. -I../../../src/fixincludes -I../include -I../../../src/fixincludes/../include ../../../src/fixincludes/server.c
arm-linux-gnueabihf-gcc-12 -c -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long   -DHAVE_CONFIG_H -I. -I../../../src/fixincludes -I../include -I../../../src/fixincludes/../include ../../../src/fixincludes/procopen.c
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/cplus-dem.c -o noasan/cplus-dem.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/cplus-dem.c -o cplus-dem.o
arm-linux-gnueabihf-gcc-12 -c -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long   -DHAVE_CONFIG_H -I. -I../../../src/fixincludes -I../include -I../../../src/fixincludes/../include ../../../src/fixincludes/fixlib.c
arm-linux-gnueabihf-gcc-12 -c -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long   -DHAVE_CONFIG_H -I. -I../../../src/fixincludes -I../include -I../../../src/fixincludes/../include ../../../src/fixincludes/fixopts.c
srcdir="../../../src/fixincludes" /bin/bash ../../../src/fixincludes/mkfixinc.sh arm-unknown-linux-gnueabihf
if [ x"-fPIC" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/cp-demangle.c -o pic/cp-demangle.o; \
else true; fi
sed -e 's/@gcc_version@/12/' < mkheaders.almost > mkheadersT
mv -f mkheadersT mkheaders
arm-linux-gnueabihf-gcc-12 -g -O2  -o fixincl fixincl.o fixtests.o fixfixes.o server.o procopen.o fixlib.o fixopts.o ../libiberty/libiberty.a
echo timestamp > full-stamp
make[4]: Leaving directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/build-arm-linux-gnueabihf/fixincludes'
if [ x"-fPIC" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/md5.c -o pic/md5.o; \
else true; fi
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/md5.c -o noasan/md5.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/md5.c -o md5.o
arm-linux-gnueabihf-g++-12  -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include  -g -O2     -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long  -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include    -DPACKAGE_SUFFIX=\"-12\" -c -o directives.o -MT directives.o -MMD -MP -MF .deps/directives.Tpo ../../../src/libcpp/directives.cc
if [ x"-fPIC" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/sha1.c -o pic/sha1.o; \
else true; fi
arm-linux-gnueabihf-g++-12  -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include  -g -O2     -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long  -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include    -DPACKAGE_SUFFIX=\"-12\" -c -o errors.o -MT errors.o -MMD -MP -MF .deps/errors.Tpo ../../../src/libcpp/errors.cc
arm-linux-gnueabihf-g++-12  -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include  -g -O2     -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long  -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include    -DPACKAGE_SUFFIX=\"-12\" -c -o expr.o -MT expr.o -MMD -MP -MF .deps/expr.Tpo ../../../src/libcpp/expr.cc
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/sha1.c -o noasan/sha1.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/sha1.c -o sha1.o
arm-linux-gnueabihf-g++-12  -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include  -g -O2     -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long  -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include    -DPACKAGE_SUFFIX=\"-12\" -c -o files.o -MT files.o -MMD -MP -MF .deps/files.Tpo ../../../src/libcpp/files.cc
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/cp-demangle.c -o noasan/cp-demangle.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/cp-demangle.c -o cp-demangle.o
arm-linux-gnueabihf-g++-12  -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include  -g -O2     -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long  -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include    -DPACKAGE_SUFFIX=\"-12\" -c -o identifiers.o -MT identifiers.o -MMD -MP -MF .deps/identifiers.Tpo ../../../src/libcpp/identifiers.cc
if [ x"-fPIC" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/alloca.c -o pic/alloca.o; \
else true; fi
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/alloca.c -o noasan/alloca.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/alloca.c -o alloca.o
echo "#define LOCALEDIR \"/usr/lib/ghdl/gcc/share/locale\"" > localedir.new
../../../src/libcpp/../move-if-change localedir.new localedir.h
echo timestamp > localedir.hs
arm-linux-gnueabihf-g++-12  -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include  -g -O2     -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long  -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include    -DPACKAGE_SUFFIX=\"-12\" -c -o lex.o -MT lex.o -MMD -MP -MF .deps/lex.Tpo ../../../src/libcpp/lex.cc
if [ x"-fPIC" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/argv.c -o pic/argv.o; \
else true; fi
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/argv.c -o noasan/argv.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/argv.c -o argv.o
if [ x"-fPIC" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/bsearch_r.c -o pic/bsearch_r.o; \
else true; fi
arm-linux-gnueabihf-g++-12  -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include  -g -O2     -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long  -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include    -DPACKAGE_SUFFIX=\"-12\" -c -o line-map.o -MT line-map.o -MMD -MP -MF .deps/line-map.Tpo ../../../src/libcpp/line-map.cc
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/bsearch_r.c -o noasan/bsearch_r.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/bsearch_r.c -o bsearch_r.o
arm-linux-gnueabihf-g++-12  -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include  -g -O2     -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long  -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include    -DPACKAGE_SUFFIX=\"-12\" -c -o macro.o -MT macro.o -MMD -MP -MF .deps/macro.Tpo ../../../src/libcpp/macro.cc
if [ x"-fPIC" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/choose-temp.c -o pic/choose-temp.o; \
else true; fi
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/choose-temp.c -o noasan/choose-temp.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/choose-temp.c -o choose-temp.o
arm-linux-gnueabihf-g++-12  -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include  -g -O2     -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long  -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include    -DPACKAGE_SUFFIX=\"-12\" -c -o mkdeps.o -MT mkdeps.o -MMD -MP -MF .deps/mkdeps.Tpo ../../../src/libcpp/mkdeps.cc
if [ x"-fPIC" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/concat.c -o pic/concat.o; \
else true; fi
arm-linux-gnueabihf-g++-12  -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include  -g -O2     -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long  -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include    -DPACKAGE_SUFFIX=\"-12\" -c -o pch.o -MT pch.o -MMD -MP -MF .deps/pch.Tpo ../../../src/libcpp/pch.cc
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/concat.c -o noasan/concat.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/concat.c -o concat.o
if [ x"-fPIC" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/cp-demint.c -o pic/cp-demint.o; \
else true; fi
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/cp-demint.c -o noasan/cp-demint.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/cp-demint.c -o cp-demint.o
if [ x"-fPIC" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/crc32.c -o pic/crc32.o; \
else true; fi
arm-linux-gnueabihf-g++-12  -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include  -g -O2     -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long  -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include    -DPACKAGE_SUFFIX=\"-12\" -c -o symtab.o -MT symtab.o -MMD -MP -MF .deps/symtab.Tpo ../../../src/libcpp/symtab.cc
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/crc32.c -o noasan/crc32.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/crc32.c -o crc32.o
if [ x"-fPIC" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/d-demangle.c -o pic/d-demangle.o; \
else true; fi
arm-linux-gnueabihf-g++-12  -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include  -g -O2     -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long  -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include    -DPACKAGE_SUFFIX=\"-12\" -c -o traditional.o -MT traditional.o -MMD -MP -MF .deps/traditional.Tpo ../../../src/libcpp/traditional.cc
arm-linux-gnueabihf-g++-12  -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include  -g -O2     -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long  -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include    -DPACKAGE_SUFFIX=\"-12\" -c -o init.o -MT init.o -MMD -MP -MF .deps/init.Tpo ../../../src/libcpp/init.cc
rm -f libcpp.a
arm-linux-gnueabihf-ar cru libcpp.a charset.o directives.o errors.o expr.o files.o identifiers.o init.o lex.o line-map.o macro.o mkdeps.o pch.o symtab.o traditional.o
arm-linux-gnueabihf-ar: `u' modifier ignored since `D' is the default (see `U')
arm-linux-gnueabihf-ranlib libcpp.a
make[4]: Leaving directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/build-arm-linux-gnueabihf/libcpp'
if [ x"" != x ]; then \
  arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/d-demangle.c -o noasan/d-demangle.o; \
else true; fi
arm-linux-gnueabihf-gcc-12 -c -DHAVE_CONFIG_H -g -O2     -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/d-demangle.c -o d-demangle.o
rm -f ./libiberty.a pic/./libiberty.a noasan/./libiberty.a
arm-linux-gnueabihf-ar rc ./libiberty.a \
  ./regex.o ./cplus-dem.o ./cp-demangle.o ./md5.o ./sha1.o ./alloca.o ./argv.o ./bsearch_r.o ./choose-temp.o ./concat.o ./cp-demint.o ./crc32.o ./d-demangle.o ./dwarfnames.o ./dyn-string.o ./fdmatch.o ./fibheap.o ./filedescriptor.o ./filename_cmp.o ./floatformat.o ./fnmatch.o ./fopen_unlocked.o ./getopt.o ./getopt1.o ./getpwd.o ./getruntime.o ./hashtab.o ./hex.o ./lbasename.o ./lrealpath.o ./make-relative-prefix.o ./make-temp-file.o ./objalloc.o ./obstack.o ./partition.o ./pexecute.o ./physmem.o ./pex-common.o ./pex-one.o ./pex-unix.o ./vprintf-support.o ./rust-demangle.o ./safe-ctype.o ./simple-object.o ./simple-object-coff.o ./simple-object-elf.o ./simple-object-mach-o.o ./simple-object-xcoff.o ./sort.o ./spaces.o ./splay-tree.o ./stack-limit.o ./strerror.o ./strsignal.o ./timeval-utils.o ./unlink-if-ordinary.o ./xasprintf.o ./xatexit.o ./xexit.o ./xmalloc.o ./xmemdup.o ./xstrdup.o ./xstrerror.o ./xstrndup.o ./xvasprintf.o  ./setproctitle.o
arm-linux-gnueabihf-ranlib ./libiberty.a
if [ x"-fPIC" != x ]; then \
  cd pic; \
  arm-linux-gnueabihf-ar rc ./libiberty.a \
    ./regex.o ./cplus-dem.o ./cp-demangle.o ./md5.o ./sha1.o ./alloca.o ./argv.o ./bsearch_r.o ./choose-temp.o ./concat.o ./cp-demint.o ./crc32.o ./d-demangle.o ./dwarfnames.o ./dyn-string.o ./fdmatch.o ./fibheap.o ./filedescriptor.o ./filename_cmp.o ./floatformat.o ./fnmatch.o ./fopen_unlocked.o ./getopt.o ./getopt1.o ./getpwd.o ./getruntime.o ./hashtab.o ./hex.o ./lbasename.o ./lrealpath.o ./make-relative-prefix.o ./make-temp-file.o ./objalloc.o ./obstack.o ./partition.o ./pexecute.o ./physmem.o ./pex-common.o ./pex-one.o ./pex-unix.o ./vprintf-support.o ./rust-demangle.o ./safe-ctype.o ./simple-object.o ./simple-object-coff.o ./simple-object-elf.o ./simple-object-mach-o.o ./simple-object-xcoff.o ./sort.o ./spaces.o ./splay-tree.o ./stack-limit.o ./strerror.o ./strsignal.o ./timeval-utils.o ./unlink-if-ordinary.o ./xasprintf.o ./xatexit.o ./xexit.o ./xmalloc.o ./xmemdup.o ./xstrdup.o ./xstrerror.o ./xstrndup.o ./xvasprintf.o  ./setproctitle.o; \
  arm-linux-gnueabihf-ranlib ./libiberty.a; \
  cd ..; \
else true; fi; \
if [ x"" != x ]; then \
  cd noasan; \
  arm-linux-gnueabihf-ar rc ./libiberty.a \
    ./regex.o ./cplus-dem.o ./cp-demangle.o ./md5.o ./sha1.o ./alloca.o ./argv.o ./bsearch_r.o ./choose-temp.o ./concat.o ./cp-demint.o ./crc32.o ./d-demangle.o ./dwarfnames.o ./dyn-string.o ./fdmatch.o ./fibheap.o ./filedescriptor.o ./filename_cmp.o ./floatformat.o ./fnmatch.o ./fopen_unlocked.o ./getopt.o ./getopt1.o ./getpwd.o ./getruntime.o ./hashtab.o ./hex.o ./lbasename.o ./lrealpath.o ./make-relative-prefix.o ./make-temp-file.o ./objalloc.o ./obstack.o ./partition.o ./pexecute.o ./physmem.o ./pex-common.o ./pex-one.o ./pex-unix.o ./vprintf-support.o ./rust-demangle.o ./safe-ctype.o ./simple-object.o ./simple-object-coff.o ./simple-object-elf.o ./simple-object-mach-o.o ./simple-object-xcoff.o ./sort.o ./spaces.o ./splay-tree.o ./stack-limit.o ./strerror.o ./strsignal.o ./timeval-utils.o ./unlink-if-ordinary.o ./xasprintf.o ./xatexit.o ./xexit.o ./xmalloc.o ./xmemdup.o ./xstrdup.o ./xstrerror.o ./xstrndup.o ./xvasprintf.o  ./setproctitle.o; \
  arm-linux-gnueabihf-ranlib ./libiberty.a; \
  cd ..; \
else true; fi
make[4]: Leaving directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/libiberty'
make[4]: Entering directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/fixincludes'
make[4]: Entering directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/lto-plugin'
make[4]: Entering directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/libcpp'
arm-linux-gnueabihf-g++-12  -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2     -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long  -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2   -DPACKAGE_SUFFIX=\"-12\" -c -o charset.o -MT charset.o -MMD -MP -MF .deps/charset.Tpo ../../src/libcpp/charset.cc
arm-linux-gnueabihf-g++-12  -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2     -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long  -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2   -DPACKAGE_SUFFIX=\"-12\" -c -o directives.o -MT directives.o -MMD -MP -MF .deps/directives.Tpo ../../src/libcpp/directives.cc
arm-linux-gnueabihf-gcc-12 -c -g -O2     -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long  -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -I. -I../../src/fixincludes -I../include -I../../src/fixincludes/../include ../../src/fixincludes/fixincl.c
/usr/bin/make  all-am
make[5]: Entering directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/lto-plugin'
/bin/bash ./libtool  --tag=CC --tag=disable-static  --mode=compile arm-linux-gnueabihf-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/lto-plugin  -I../../src/lto-plugin/../include -DHAVE_CONFIG_H -Wdate-time -D_FORTIFY_SOURCE=2 -Wall  -g -O2 -c -o lto-plugin.lo ../../src/lto-plugin/lto-plugin.c
libtool: compile:  arm-linux-gnueabihf-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/lto-plugin -I../../src/lto-plugin/../include -DHAVE_CONFIG_H -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -g -O2 -c ../../src/lto-plugin/lto-plugin.c  -fPIC -DPIC -o .libs/lto-plugin.o
arm-linux-gnueabihf-gcc-12 -c -g -O2     -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long  -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -I. -I../../src/fixincludes -I../include -I../../src/fixincludes/../include ../../src/fixincludes/fixtests.c
arm-linux-gnueabihf-gcc-12 -c -g -O2     -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long  -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -I. -I../../src/fixincludes -I../include -I../../src/fixincludes/../include ../../src/fixincludes/fixfixes.c
/bin/bash ./libtool --tag=CC --tag=disable-static  --mode=link arm-linux-gnueabihf-gcc-12 -Wall  -g -O2 -Wc,-static-libgcc  -module -avoid-version -bindir /usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12 -Wl,--version-script=../../src/lto-plugin/lto-plugin.map    -Xcompiler '-static-libstdc++' -Xcompiler '-static-libgcc' -o liblto_plugin.la -rpath /usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12 lto-plugin.lo  -Wc,../libiberty/pic/libiberty.a 
libtool: link: arm-linux-gnueabihf-gcc-12 -shared  -fPIC -DPIC  .libs/lto-plugin.o    -static-libgcc -Wl,--version-script=../../src/lto-plugin/lto-plugin.map -static-libstdc++ -static-libgcc ../libiberty/pic/libiberty.a   -Wl,-soname -Wl,liblto_plugin.so -o .libs/liblto_plugin.so
libtool: link: ( cd ".libs" && rm -f "liblto_plugin.la" && ln -s "../liblto_plugin.la" "liblto_plugin.la" )
mkdir -p -- ../gcc
libtool: install: /usr/bin/install -c .libs/liblto_plugin.so /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/lto-plugin/../gcc/liblto_plugin.so
libtool: install: /usr/bin/install -c .libs/liblto_plugin.lai /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/lto-plugin/../gcc/liblto_plugin.la
libtool: install: warning: remember to run `libtool --finish /usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12'
make[5]: Leaving directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/lto-plugin'
make[4]: Leaving directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/lto-plugin'
arm-linux-gnueabihf-g++-12  -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2     -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long  -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2   -DPACKAGE_SUFFIX=\"-12\" -c -o errors.o -MT errors.o -MMD -MP -MF .deps/errors.Tpo ../../src/libcpp/errors.cc
arm-linux-gnueabihf-gcc-12 -c -g -O2     -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long  -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -I. -I../../src/fixincludes -I../include -I../../src/fixincludes/../include ../../src/fixincludes/server.c
arm-linux-gnueabihf-gcc-12 -c -g -O2     -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long  -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -I. -I../../src/fixincludes -I../include -I../../src/fixincludes/../include ../../src/fixincludes/procopen.c
Configuring in ./gcc
arm-linux-gnueabihf-gcc-12 -c -g -O2     -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long  -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -I. -I../../src/fixincludes -I../include -I../../src/fixincludes/../include ../../src/fixincludes/fixlib.c
arm-linux-gnueabihf-g++-12  -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2     -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long  -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2   -DPACKAGE_SUFFIX=\"-12\" -c -o expr.o -MT expr.o -MMD -MP -MF .deps/expr.Tpo ../../src/libcpp/expr.cc
arm-linux-gnueabihf-gcc-12 -c -g -O2     -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long  -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -I. -I../../src/fixincludes -I../include -I../../src/fixincludes/../include ../../src/fixincludes/fixopts.c
configure: creating cache ./config.cache
checking build system type... arm-unknown-linux-gnueabihf
checking host system type... arm-unknown-linux-gnueabihf
checking target system type... arm-unknown-linux-gnueabihf
checking LIBRARY_PATH variable... ok
checking GCC_EXEC_PREFIX variable... ok
checking whether to place generated files in the source directory... no
checking whether a default linker was specified... no
checking whether a default dsymutil was specified... no
checking whether a default assembler was specified... no
checking for arm-linux-gnueabihf-gcc... arm-linux-gnueabihf-gcc-12
checking whether the C compiler works... srcdir="../../src/fixincludes" /bin/bash ../../src/fixincludes/mkfixinc.sh arm-unknown-linux-gnueabihf
sed -e 's/@gcc_version@/12/' < mkheaders.almost > mkheadersT
mv -f mkheadersT mkheaders
arm-linux-gnueabihf-gcc-12 -g -O2     -static-libstdc++ -static-libgcc  -o fixincl fixincl.o fixtests.o fixfixes.o server.o procopen.o fixlib.o fixopts.o ../libiberty/libiberty.a
yes
checking for C compiler default output file name... a.out
checking for suffix of executables... echo timestamp > full-stamp
make[4]: Leaving directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/fixincludes'
arm-linux-gnueabihf-g++-12  -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2     -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long  -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2   -DPACKAGE_SUFFIX=\"-12\" -c -o files.o -MT files.o -MMD -MP -MF .deps/files.Tpo ../../src/libcpp/files.cc

checking whether we are cross compiling... no
checking for suffix of object files... o
checking whether we are using the GNU C compiler... yes
checking whether arm-linux-gnueabihf-gcc-12 accepts -g... yes
checking for arm-linux-gnueabihf-gcc-12 option to accept ISO C89... none needed
checking whether we are using the GNU C++ compiler... yes
checking whether arm-linux-gnueabihf-g++-12 accepts -g... yes
checking for arm-linux-gnueabihf-gnatbind... arm-linux-gnueabihf-gnatbind
checking for arm-linux-gnueabihf-gnatmake... gnatmake -v -j4 -R -eS 
checking whether compiler driver understands Ada... yes
checking for arm-linux-gnueabihf-gdc... no
checking whether the D compiler works... no
checking how to run the C++ preprocessor... arm-linux-gnueabihf-g++-12 -E
checking for grep that handles long lines and -e... /bin/grep
checking for egrep... /bin/grep -E
checking for ANSI C header files... yes
checking for sys/types.h... yes
checking for sys/stat.h... yes
checking for stdlib.h... yes
checking for string.h... arm-linux-gnueabihf-g++-12  -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2     -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long  -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2   -DPACKAGE_SUFFIX=\"-12\" -c -o identifiers.o -MT identifiers.o -MMD -MP -MF .deps/identifiers.Tpo ../../src/libcpp/identifiers.cc
yes
checking for memory.h... yes
checking for strings.h... yes
checking for inttypes.h... echo "#define LOCALEDIR \"/usr/lib/ghdl/gcc/share/locale\"" > localedir.new
../../src/libcpp/../move-if-change localedir.new localedir.h
echo timestamp > localedir.hs
arm-linux-gnueabihf-g++-12  -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2     -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long  -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2   -DPACKAGE_SUFFIX=\"-12\" -c -o lex.o -MT lex.o -MMD -MP -MF .deps/lex.Tpo ../../src/libcpp/lex.cc
arm-linux-gnueabihf-g++-12  -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2     -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long  -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2   -DPACKAGE_SUFFIX=\"-12\" -c -o line-map.o -MT line-map.o -MMD -MP -MF .deps/line-map.Tpo ../../src/libcpp/line-map.cc
yes
checking for stdint.h... yes
checking for unistd.h... yes
checking minix/config.h usability... no
checking minix/config.h presence... no
checking for minix/config.h... no
checking whether it is safe to define __EXTENSIONS__... yes
checking how to run the C preprocessor... arm-linux-gnueabihf-gcc-12 -E
checking for inline... inline
checking for special C compiler options needed for large files... no
checking for _FILE_OFFSET_BITS value needed for large files... 64
checking size of ino_t... arm-linux-gnueabihf-g++-12  -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2     -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long  -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2   -DPACKAGE_SUFFIX=\"-12\" -c -o macro.o -MT macro.o -MMD -MP -MF .deps/macro.Tpo ../../src/libcpp/macro.cc
8
checking size of dev_t... 8
checking size of void *... 4
checking size of short... 2
checking size of int... 4
checking size of long... arm-linux-gnueabihf-g++-12  -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2     -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long  -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2   -DPACKAGE_SUFFIX=\"-12\" -c -o mkdeps.o -MT mkdeps.o -MMD -MP -MF .deps/mkdeps.Tpo ../../src/libcpp/mkdeps.cc
4
checking for long long... yes
checking size of long long... 8
checking for int8_t... yes
checking for int16_t... arm-linux-gnueabihf-g++-12  -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2     -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long  -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2   -DPACKAGE_SUFFIX=\"-12\" -c -o pch.o -MT pch.o -MMD -MP -MF .deps/pch.Tpo ../../src/libcpp/pch.cc
yes
checking for int32_t... yes
checking for int64_t... yes
checking for unsigned long long int... yes
checking for long long int... yes
checking for intmax_t... arm-linux-gnueabihf-g++-12  -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2     -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long  -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2   -DPACKAGE_SUFFIX=\"-12\" -c -o symtab.o -MT symtab.o -MMD -MP -MF .deps/symtab.Tpo ../../src/libcpp/symtab.cc
yes
checking for intptr_t... yes
checking for uint8_t... arm-linux-gnueabihf-g++-12  -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2     -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long  -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2   -DPACKAGE_SUFFIX=\"-12\" -c -o traditional.o -MT traditional.o -MMD -MP -MF .deps/traditional.Tpo ../../src/libcpp/traditional.cc
arm-linux-gnueabihf-g++-12  -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2     -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long  -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2   -DPACKAGE_SUFFIX=\"-12\" -c -o init.o -MT init.o -MMD -MP -MF .deps/init.Tpo ../../src/libcpp/init.cc
yes
checking for uint16_t... yes
checking for uint32_t... yes
checking for uint64_t... yes
checking for uintmax_t... yes
checking for uintptr_t... yes
checking for int64_t underlying type... long long
checking for std::swap in <utility>... yes
checking whether arm-linux-gnueabihf-g++-12 is affected by placement new aliasing bug... rm -f libcpp.a
arm-linux-gnueabihf-ar cru libcpp.a charset.o directives.o errors.o expr.o files.o identifiers.o init.o lex.o line-map.o macro.o mkdeps.o pch.o symtab.o traditional.o
arm-linux-gnueabihf-ar: `u' modifier ignored since `D' is the default (see `U')
no
checking whether arm-linux-gnueabihf-g++-12 supports -W... arm-linux-gnueabihf-ranlib libcpp.a
yes
checking whether arm-linux-gnueabihf-g++-12 supports -Wall... make[4]: Leaving directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/libcpp'
yes
checking whether arm-linux-gnueabihf-g++-12 supports -Wnarrowing... yes
checking whether arm-linux-gnueabihf-g++-12 supports -Wwrite-strings... yes
checking whether arm-linux-gnueabihf-g++-12 supports -Wcast-qual... yes
checking whether arm-linux-gnueabihf-gcc-12 supports -Wstrict-prototypes... yes
checking whether arm-linux-gnueabihf-gcc-12 supports -Wmissing-prototypes... yes
checking whether arm-linux-gnueabihf-g++-12 supports -Wmissing-format-attribute... yes
checking whether arm-linux-gnueabihf-g++-12 supports -Woverloaded-virtual... yes
checking whether arm-linux-gnueabihf-gcc-12 supports -Wold-style-definition... yes
checking whether arm-linux-gnueabihf-gcc-12 supports -Wc++-compat... yes
checking whether arm-linux-gnueabihf-g++-12 supports -pedantic -Wlong-long -Wvariadic-macros -Woverlength-strings... yes
checking whether arm-linux-gnueabihf-gcc-12 supports -fno-exceptions... yes
checking whether arm-linux-gnueabihf-gcc-12 supports -fno-rtti... yes
checking whether arm-linux-gnueabihf-gcc-12 supports -fasynchronous-unwind-tables... yes
checking valgrind.h usability... no
checking valgrind.h presence... no
checking for valgrind.h... no
checking for VALGRIND_DISCARD in <valgrind/memcheck.h>... no
checking for VALGRIND_DISCARD in <memcheck.h>... no
checking for multiarch configuration... yes
configure: WARNING: decimal float is not supported for this target, ignored
checking whether /usr/bin/make sets $(MAKE)... yes
checking for gawk... gawk
checking whether ln -s works... yes
checking whether ln works... yes
checking for arm-linux-gnueabihf-ranlib... arm-linux-gnueabihf-ranlib
checking for a BSD compatible install... /usr/bin/install -c
checking for cmp's capabilities... gnucompare
checking for mktemp... yes
checking for makeinfo... makeinfo --split-size=5000000
checking for modern makeinfo... yes
checking for recent Pod::Man... yes
checking for flex... /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/missing flex
checking for bison... /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/missing bison
checking for nm... arm-linux-gnueabihf-nm
checking for ar... arm-linux-gnueabihf-ar
checking for sphinx-build... texinfo
checking for ANSI C header files... (cached) yes
checking whether time.h and sys/time.h may both be included... yes
checking whether string.h and strings.h may both be included... yes
checking for sys/wait.h that is POSIX.1 compatible... yes
checking whether termios.h defines TIOCGWINSZ... no
checking whether sys/ioctl.h defines TIOCGWINSZ... yes
checking for limits.h... yes
checking for stddef.h... yes
checking for string.h... (cached) yes
checking for strings.h... (cached) yes
checking for stdlib.h... (cached) yes
checking for time.h... yes
checking for iconv.h... yes
checking for fcntl.h... yes
checking for ftw.h... yes
checking for unistd.h... (cached) yes
checking for sys/file.h... yes
checking for sys/time.h... yes
checking for sys/mman.h... yes
checking for sys/resource.h... yes
checking for sys/param.h... yes
checking for sys/times.h... yes
checking for sys/stat.h... (cached) yes
checking for sys/locking.h... no
checking for sys/auxv.h... yes
checking for direct.h... no
checking for malloc.h... yes
checking for langinfo.h... yes
checking for ldfcn.h... no
checking for locale.h... yes
checking for wchar.h... yes
checking for thread.h... no
checking for pthread.h... yes
checking for CHAR_BIT... yes
checking whether byte ordering is bigendian... no
checking how to run the C++ preprocessor... arm-linux-gnueabihf-g++-12 -E
checking for unordered_map... yes
checking for tr1/unordered_map... yes
checking for ext/hash_map... yes
checking dependency style of arm-linux-gnueabihf-g++-12... gcc3
checking for collect2 libraries... none required
checking for library containing exc_resume... no
checking for library containing kstat_open... no
checking for library containing gethostbyname... none required
checking for library containing socket... none required
checking for library containing ldexp... none required
checking for library containing dlopen... none required
checking for inttypes.h... yes
checking for zstd.h... no
checking for library containing ZSTD_compress... no
checking for times... yes
checking for clock... yes
checking for kill... yes
checking for getrlimit... yes
checking for setrlimit... yes
checking for atoq... no
checking for popen... yes
checking for sysconf... yes
checking for strsignal... yes
checking for getrusage... yes
checking for nl_langinfo... yes
checking for gettimeofday... yes
checking for mbstowcs... yes
checking for wcswidth... yes
checking for mmap... yes
checking for posix_fallocate... yes
checking for setlocale... yes
checking for clearerr_unlocked... yes
checking for feof_unlocked... yes
checking for ferror_unlocked... yes
checking for fflush_unlocked... yes
checking for fgetc_unlocked... yes
checking for fgets_unlocked... yes
checking for fileno_unlocked... yes
checking for fprintf_unlocked... no
checking for fputc_unlocked... yes
checking for fputs_unlocked... yes
checking for fread_unlocked... yes
checking for fwrite_unlocked... yes
checking for getchar_unlocked... yes
checking for getc_unlocked... yes
checking for putchar_unlocked... yes
checking for putc_unlocked... yes
checking for madvise... yes
checking for mallinfo... yes
checking for mallinfo2... yes
checking for fstatat... yes
checking whether mbstowcs works... yes
checking for ssize_t... yes
checking for caddr_t... yes
checking for sighander_t... no
checking for sys/mman.h... (cached) yes
checking for mmap... (cached) yes
checking whether read-only mmap of a plain file works... yes
checking whether mmap from /dev/zero works... yes
checking for MAP_ANON(YMOUS)... yes
checking whether mmap with MAP_ANON(YMOUS) works... yes
checking for pid_t... yes
checking for vfork.h... no
checking for fork... yes
checking for vfork... yes
checking for working fork... yes
checking for working vfork... (cached) yes
checking for ld used by GCC... arm-linux-gnueabihf-ld
checking if the linker (arm-linux-gnueabihf-ld) is GNU ld... yes
checking for shared library run path origin... done
checking for iconv... yes
checking for iconv declaration... 
         extern size_t iconv (iconv_t cd, char * *inbuf, size_t *inbytesleft, char * *outbuf, size_t *outbytesleft);
checking for LC_MESSAGES... yes
checking for nl_langinfo and CODESET... yes
checking whether basename is declared... yes
checking whether strstr is declared... yes
checking whether getenv is declared... yes
checking whether atol is declared... yes
checking whether atoll is declared... yes
checking whether asprintf is declared... yes
checking whether sbrk is declared... yes
checking whether abort is declared... yes
checking whether atof is declared... yes
checking whether getcwd is declared... yes
checking whether getwd is declared... yes
checking whether madvise is declared... yes
checking whether stpcpy is declared... yes
checking whether strnlen is declared... yes
checking whether strsignal is declared... yes
checking whether strverscmp is declared... yes
checking whether strtol is declared... yes
checking whether strtoul is declared... yes
checking whether strtoll is declared... yes
checking whether strtoull is declared... yes
checking whether setenv is declared... yes
checking whether unsetenv is declared... yes
checking whether errno is declared... yes
checking whether snprintf is declared... yes
checking whether vsnprintf is declared... yes
checking whether vasprintf is declared... yes
checking whether malloc is declared... yes
checking whether realloc is declared... yes
checking whether calloc is declared... yes
checking whether free is declared... yes
checking whether getopt is declared... yes
checking whether clock is declared... yes
checking whether getpagesize is declared... yes
checking whether ffs is declared... yes
checking whether clearerr_unlocked is declared... yes
checking whether feof_unlocked is declared... yes
checking whether ferror_unlocked is declared... yes
checking whether fflush_unlocked is declared... yes
checking whether fgetc_unlocked is declared... yes
checking whether fgets_unlocked is declared... yes
checking whether fileno_unlocked is declared... yes
checking whether fprintf_unlocked is declared... no
checking whether fputc_unlocked is declared... yes
checking whether fputs_unlocked is declared... yes
checking whether fread_unlocked is declared... yes
checking whether fwrite_unlocked is declared... yes
checking whether getchar_unlocked is declared... yes
checking whether getc_unlocked is declared... yes
checking whether putchar_unlocked is declared... yes
checking whether putc_unlocked is declared... yes
checking whether getrlimit is declared... yes
checking whether setrlimit is declared... yes
checking whether getrusage is declared... yes
checking whether mallinfo is declared... yes
checking whether mallinfo2 is declared... yes
checking whether ldgetname is declared... no
checking whether times is declared... yes
checking whether sigaltstack is declared... yes
checking for struct tms... yes
checking for clock_t... yes
checking for F_SETLKW... yes
checking for O_CLOEXEC... yes
checking for AF_UNIX... yes
checking for AF_INET6... yes
checking for _LK_LOCK... no
checking if mkdir takes one argument... no
For armv6+fp real value is armv6+fp
Using `../../src/gcc/config/arm/arm.cc' for machine-specific logic.
Using `../../src/gcc/config/arm/arm.md' as machine description file.
Using the following target machine macro files:
	../../src/gcc/config/vxworks-dummy.h
	../../src/gcc/config/dbxelf.h
	../../src/gcc/config/elfos.h
	../../src/gcc/config/gnu-user.h
	../../src/gcc/config/linux.h
	../../src/gcc/config/linux-android.h
	../../src/gcc/config/glibc-stdint.h
	../../src/gcc/config/arm/elf.h
	../../src/gcc/config/arm/linux-gas.h
	../../src/gcc/config/arm/linux-elf.h
	../../src/gcc/config/arm/bpabi.h
	../../src/gcc/config/arm/linux-eabi.h
	../../src/gcc/config/arm/aout.h
	../../src/gcc/config/arm/arm.h
	../../src/gcc/config/initfini-array.h
Using host-linux.o for host machine hooks.
checking for __cxa_atexit... yes
checking whether NLS is requested... yes
checking for catalogs to be installed...  be da de el es fi fr hr id ja nl ru sr sv tr uk vi zh_CN zh_TW be da de el es fi fr hr id ja nl ru sr sv tr uk vi zh_CN zh_TW
checking how to print strings... printf
checking for a sed that does not truncate output... /bin/sed
checking for fgrep... /bin/grep -F
checking for ld used by arm-linux-gnueabihf-gcc-12... arm-linux-gnueabihf-ld
checking if the linker (arm-linux-gnueabihf-ld) is GNU ld... yes
checking for BSD- or MS-compatible name lister (nm)... arm-linux-gnueabihf-nm
checking the name lister (arm-linux-gnueabihf-nm) interface... BSD nm
checking whether ln -s works... yes
checking the maximum length of command line arguments... 1572864
checking whether the shell understands some XSI constructs... yes
checking whether the shell understands "+="... yes
checking for arm-linux-gnueabihf-ld option to reload object files... -r
checking for arm-linux-gnueabihf-objdump... arm-linux-gnueabihf-objdump
checking how to recognize dependent libraries... pass_all
checking for arm-linux-gnueabihf-ar... (cached) arm-linux-gnueabihf-ar
checking for arm-linux-gnueabihf-strip... arm-linux-gnueabihf-strip
checking for arm-linux-gnueabihf-ranlib... (cached) arm-linux-gnueabihf-ranlib
checking command to parse arm-linux-gnueabihf-nm output from arm-linux-gnueabihf-gcc-12 object... ok
checking for dlfcn.h... yes
checking for objdir... .libs
checking if arm-linux-gnueabihf-gcc-12 supports -fno-rtti -fno-exceptions... no
checking for arm-linux-gnueabihf-gcc-12 option to produce PIC... -fPIC -DPIC
checking if arm-linux-gnueabihf-gcc-12 PIC flag -fPIC -DPIC works... yes
checking if arm-linux-gnueabihf-gcc-12 static flag -static works... yes
checking if arm-linux-gnueabihf-gcc-12 supports -c -o file.o... yes
checking if arm-linux-gnueabihf-gcc-12 supports -c -o file.o... (cached) yes
checking whether the arm-linux-gnueabihf-gcc-12 linker (arm-linux-gnueabihf-ld) supports shared libraries... yes
checking whether -lc should be explicitly linked in... no
checking dynamic linker characteristics... GNU/Linux ld.so
checking how to hardcode library paths into programs... immediate
checking whether stripping libraries is possible... yes
checking if libtool supports shared libraries... yes
checking whether to build shared libraries... yes
checking whether to build static libraries... yes
checking how to run the C++ preprocessor... arm-linux-gnueabihf-g++-12 -E
checking for ld used by arm-linux-gnueabihf-g++-12... arm-linux-gnueabihf-ld
checking if the linker (arm-linux-gnueabihf-ld) is GNU ld... yes
checking whether the arm-linux-gnueabihf-g++-12 linker (arm-linux-gnueabihf-ld) supports shared libraries... yes
checking for arm-linux-gnueabihf-g++-12 option to produce PIC... -fPIC -DPIC
checking if arm-linux-gnueabihf-g++-12 PIC flag -fPIC -DPIC works... yes
checking if arm-linux-gnueabihf-g++-12 static flag -static works... yes
checking if arm-linux-gnueabihf-g++-12 supports -c -o file.o... yes
checking if arm-linux-gnueabihf-g++-12 supports -c -o file.o... (cached) yes
checking whether the arm-linux-gnueabihf-g++-12 linker (arm-linux-gnueabihf-ld) supports shared libraries... yes
checking dynamic linker characteristics... (cached) GNU/Linux ld.so
checking how to hardcode library paths into programs... immediate
checking for arm-linux-gnueabihf-as... /usr/bin/arm-linux-gnueabihf-as
checking for arm-linux-gnueabihf-ld... /usr/bin/arm-linux-gnueabihf-ld
checking whether we are using gold... no
checking whether we are using mold... no
checking gold linker with split stack support as non default... no
checking what linker to use... /usr/bin/arm-linux-gnueabihf-ld
checking for arm-linux-gnueabihf-nm... /usr/bin/arm-linux-gnueabihf-nm
checking what nm to use... /usr/bin/arm-linux-gnueabihf-nm
checking for arm-linux-gnueabihf-objdump... /usr/bin/arm-linux-gnueabihf-objdump
checking what objdump to use... /usr/bin/arm-linux-gnueabihf-objdump
checking for arm-linux-gnueabihf-readelf... /usr/bin/arm-linux-gnueabihf-readelf
checking what readelf to use... /usr/bin/arm-linux-gnueabihf-readelf
checking for otool... no
checking what otool to use... not found
checking for dsymutil... /usr/bin/dsymutil
checking assembler flags...  
checking assembler for .balign and .p2align... yes
checking assembler for .p2align with maximum skip... yes
checking assembler for .literal16... no
checking assembler for working .subsection -1... yes
checking assembler for .weak... yes
checking assembler for .weakref... yes
checking assembler for .nsubspa comdat... no
checking assembler for .hidden... yes
checking linker for .hidden support... yes
checking linker read-only and read-write section mixing... read-write
checking for .preinit_array/.init_array/.fini_array support... (cached) yes
checking assembler for .sleb128 and .uleb128... yes
checking assembler for cfi directives... yes
checking assembler for working cfi advance... yes
checking assembler for cfi personality directive... yes
checking assembler for cfi sections directive... yes
checking assembler for eh_frame optimization... no
checking assembler for section exclude flag... yes
checking assembler for section 'R' flag... yes
checking assembler for section 'o' flag... yes
checking assembler for section merging support... yes
checking assembler for stabs directive... yes
checking assembler for COMDAT group support (GNU as)... no
checking assembler for COMDAT group support (GNU as, %type)... yes
checking assembler for line table is_stmt support... yes
checking assembler for line table discriminator support... yes
checking assembler for thread-local storage support... yes
checking linker -Bstatic/-Bdynamic option... yes
checking linker --version-script option... yes
checking linker soname option... yes
checking linker --demangle support... yes
checking linker plugin support... 2
checking assembler for assembler for arm accepts context-specific architecture extensions... yes
checking assembler for dwarf2 debug_line support... yes
checking assembler for buggy dwarf2 .file directive... no
checking assembler for dwarf2 debug_view support... yes
checking assembler for --gdwarf2 option... yes
checking assembler for --gdwarf-5 option... yes
checking assembler for assembly of compiler generated 64-bit .debug_line... no
checking assembler for assembly of compiler generated 32-bit .debug_line... yes
checking assembler for --gdwarf-4 not refusing compiler generated .debug_line... yes
checking assembler for --gdwarf-4 with the APP marker... no
checking assembler for --gstabs option... yes
checking assembler for --debug-prefix-map option... yes
checking assembler for compressed debug sections... 2
checking assembler for .lcomm with alignment... no
checking for target glibc version... 2.36
checking assembler for tolerance to line number 0... yes
checking support for thin archives... yes
checking linker PT_GNU_EH_FRAME support... yes
checking linker CIEv3 in .eh_frame support... yes
checking linker position independent executable support... yes
checking linker PIE support with copy reloc... no
checking linker EH-compatible garbage collection of sections... no
checking linker EH garbage collection of sections bug... no
checking linker for compressed debug sections... 3
checking linker --as-needed support... yes
checking linker mapfile support for clearing hardware capabilities... no
checking linker --build-id support... yes
checking linker *_sol2 emulation support... no
checking linker --sysroot support... yes
checking __stack_chk_fail in target C library... yes
checking sys/sdt.h in the target C library... no
checking dl_iterate_phdr in target C library... unknown
checking whether to enable maintainer-specific portions of Makefiles... no
checking whether to avoid linking multiple front-ends at once... no
checking whether to serialize linking of multiple front-ends... no
Links are now set up to build a native compiler for arm-unknown-linux-gnueabihf.
checking for exported symbols... yes
checking for -rdynamic... yes
checking for library containing dlopen... (cached) none required
checking for -fPIC -shared... yes
gcc_driver_version: 12
checking for -fno-PIE option... yes
checking for -no-pie option... yes
checking for CET support... no
checking linker -z bndplt option... no
checking linker --push-state/--pop-state options... yes
configure: updating cache ./config.cache
configure: creating ./config.status
config.status: creating as
config.status: creating collect-ld
config.status: creating nm
config.status: creating dsymutil
config.status: creating Makefile
config.status: creating ada/gcc-interface/Makefile
config.status: creating ada/Makefile
config.status: creating m2/config-make
config.status: creating m2/www/Makefile
config.status: creating auto-host.h
config.status: executing depdir commands
mkdir -p -- .deps
config.status: executing gccdepdir commands
mkdir -p -- build/.deps
mkdir -p -- ada/.deps
mkdir -p -- c/.deps
mkdir -p -- cp/.deps
mkdir -p -- d/.deps
mkdir -p -- fortran/.deps
mkdir -p -- go/.deps
mkdir -p -- jit/.deps
mkdir -p -- lto/.deps
mkdir -p -- m2/.deps
mkdir -p -- objc/.deps
mkdir -p -- objcp/.deps
mkdir -p -- vhdl/.deps
mkdir -p -- c-family/.deps
mkdir -p -- common/.deps
mkdir -p -- analyzer/.deps
mkdir -p -- rtl-ssa/.deps
config.status: executing default commands
mkdir -p -- ./libcc1
Configuring in ./libcc1
make[4]: Entering directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc'
configure: creating cache ./config.cache
checking build system type... arm-unknown-linux-gnueabihf
checking host system type... arm-unknown-linux-gnueabihf
checking target system type... arm-unknown-linux-gnueabihf
checking for arm-linux-gnueabihf-gcc... arm-linux-gnueabihf-gcc-12
checking whether the C compiler works... TARGET_CPU_DEFAULT="" \
HEADERS="auto-host.h ansidecl.h" DEFINES="" \
/bin/bash ../../src/gcc/mkconfig.sh config.h
TARGET_CPU_DEFAULT="\"arm10e\"" \
HEADERS="options.h insn-constants.h config/vxworks-dummy.h config/dbxelf.h config/elfos.h config/gnu-user.h config/linux.h config/linux-android.h config/glibc-stdint.h config/arm/elf.h config/arm/linux-gas.h config/arm/linux-elf.h config/arm/bpabi.h config/arm/linux-eabi.h config/arm/aout.h config/arm/arm.h config/initfini-array.h defaults.h" DEFINES="LIBC_GLIBC=1 LIBC_UCLIBC=2 LIBC_BIONIC=3 LIBC_MUSL=4 DEFAULT_LIBC=LIBC_GLIBC ANDROID_DEFAULT=0" \
/bin/bash ../../src/gcc/mkconfig.sh tm.h
TARGET_CPU_DEFAULT="" \
HEADERS="config/arm/arm-flags.h config/arm/arm-protos.h config/arm/aarch-common-protos.h config/linux-protos.h tm-preds.h" DEFINES="" \
/bin/bash ../../src/gcc/mkconfig.sh tm_p.h
TARGET_CPU_DEFAULT="" \
HEADERS="auto-host.h ansidecl.h" DEFINES="" \
/bin/bash ../../src/gcc/mkconfig.sh bconfig.h
LC_ALL=C ; export LC_ALL ; \
gawk -f ../../src/gcc/opt-gather.awk ../../src/gcc/ada/gcc-interface/lang.opt ../../src/gcc/d/lang.opt ../../src/gcc/fortran/lang.opt ../../src/gcc/go/lang.opt ../../src/gcc/lto/lang.opt ../../src/gcc/m2/lang.opt ../../src/gcc/vhdl/lang.opt ../../src/gcc/c-family/c.opt ../../src/gcc/common.opt ../../src/gcc/params.opt ../../src/gcc/analyzer/analyzer.opt  ../../src/gcc/config/arm/arm-tables.opt ../../src/gcc/config/arm/arm.opt ../../src/gcc/config/gnu-user.opt ../../src/gcc/config/linux.opt ../../src/gcc/config/linux-android.opt > tmp-optionlist
yes
checking for C compiler default output file name... a.out
checking for suffix of executables... 
checking whether we are cross compiling... gawk -f ../../src/gcc/config/arm/parsecpu.awk -v cmd=headers \
	../../src/gcc/config/arm/arm-cpus.in > tmp-arm-cpu.h
/bin/bash ../../src/gcc/../move-if-change tmp-gi.list gtyp-input.list
echo timestamp > s-gtyp-input
gawk -f ../../src/gcc/config/arm/parsecpu.awk -v cmd=isa \
	../../src/gcc/config/arm/arm-cpus.in > tmp-arm-isa.h
/bin/bash ../../src/gcc/../move-if-change tmp-arm-cpu.h arm-cpu.h
echo timestamp > s-arm-cpu
echo "#define BUILDING_GCC_MAJOR `echo 12.2.0 | sed -e 's/^\([0-9]*\).*$/\1/'`" > bversion.h
echo "#define BUILDING_GCC_MINOR `echo 12.2.0 | sed -e 's/^[0-9]*\.\([0-9]*\).*$/\1/'`" >> bversion.h
echo "#define BUILDING_GCC_PATCHLEVEL `echo 12.2.0 | sed -e 's/^[0-9]*\.[0-9]*\.\([0-9]*\)$/\1/'`" >> bversion.h
echo "#define BUILDING_GCC_VERSION (BUILDING_GCC_MAJOR * 1000 + BUILDING_GCC_MINOR)" >> bversion.h
no
echo timestamp > s-bversion
checking for suffix of object files... TARGET_CPU_DEFAULT="" \
HEADERS="options.h insn-constants.h config/arm/arm.h config/arm/arm-protos.h defaults.h" DEFINES="" \
/bin/bash ../../src/gcc/mkconfig.sh tm_d.h
if test yes = yes \
   || test -n ""; then \
  /bin/bash ../../src/gcc/genmultilib \
    "" \
    "" \
    "" \
    "" \
    "" \
    "" \
    "" \
    "" \
    "arm-linux-gnueabihf" \
    "" \
    "yes" \
    > tmp-mlib.h; \
else \
  /bin/bash ../../src/gcc/genmultilib '' '' '' '' '' '' '' '' \
    "arm-linux-gnueabihf" '' no \
    > tmp-mlib.h; \
fi
/bin/bash ../../src/gcc/../move-if-change tmp-arm-isa.h arm-isa.h
echo timestamp > s-arm-isa
lsf="../../src/gcc/lto/lang-specs.h ../../src/gcc/vhdl/lang-specs.h "; for f in $lsf; do \
    echo "#include \"$f\""; \
done | sed 's|../../src/gcc/||' > tmp-specs.h
/bin/bash ../../src/gcc/../move-if-change tmp-specs.h specs.h
echo timestamp > s-specs
rm -f tmp-all-tree.def
o
checking whether we are using the GNU C compiler... echo '#include "tree.def"' > tmp-all-tree.def
echo 'END_OF_BASE_TREE_CODES' >> tmp-all-tree.def
/bin/bash ../../src/gcc/../move-if-change tmp-mlib.h multilib.h
echo '#include "c-family/c-common.def"' >> tmp-all-tree.def
ltf="../../src/gcc/ada/gcc-interface/ada-tree.def ../../src/gcc/cp/cp-tree.def ../../src/gcc/d/d-tree.def ../../src/gcc/m2/m2-tree.def ../../src/gcc/objc/objc-tree.def"; for f in $ltf; do \
  echo "#include \"$f\""; \
done | sed 's|../../src/gcc/||' >> tmp-all-tree.def
echo timestamp > s-mlib
/bin/bash ../../src/gcc/../move-if-change tmp-all-tree.def all-tree.def
gawk -f ../../src/gcc/gen-pass-instances.awk \
  ../../src/gcc/passes.def  > pass-instances.def
echo timestamp > s-alltree
rm -f tmp-omp-device-properties.h; \
for kind in kind arch isa; do \
  echo 'const char omp_offload_device_'${kind}'[] = ' \
    >> tmp-omp-device-properties.h; \
  for prop in none ; do \
    [ "$prop" = "none" ] && continue; \
    tgt=`echo "$prop" | sed 's/=.*$//'`; \
    props=`echo "$prop" | sed 's/.*=//'`; \
    echo "\"$tgt\\0\"" >> tmp-omp-device-properties.h; \
    sed -n 's/^'${kind}': //p' ${props} \
      | sed 's/[[:blank:]]/ /g;s/  */ /g;s/^ //;s/ $//;s/ /\\0/g;s/^/"/;s/$/\\0\\0"/' \
      >> tmp-omp-device-properties.h; \
  done; \
  echo '"";' >> tmp-omp-device-properties.h; \
done; \
/bin/bash ../../src/gcc/../move-if-change tmp-omp-device-properties.h \
  omp-device-properties.h
echo timestamp > s-omp-device-properties-h
echo "arm-linux-gnueabihf-g++-12 -no-pie   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc " > checksum-options.tmp \
&& ../../src/gcc/../move-if-change checksum-options.tmp checksum-options
yes
checking whether arm-linux-gnueabihf-gcc-12 accepts -g... yes
checking for arm-linux-gnueabihf-gcc-12 option to accept ISO C89... gawk -f ../../src/gcc/config/arm/parsecpu.awk -v cmd=data \
	../../src/gcc/config/arm/arm-cpus.in > tmp-arm-cpu-data.h
gawk -f ../../src/gcc/config/arm/parsecpu.awk -v cmd=common-data \
	../../src/gcc/config/arm/arm-cpus.in > tmp-arm-cpu-cdata.h
/bin/bash ../../src/gcc/../move-if-change tmp-arm-cpu-data.h arm-cpu-data.h
echo timestamp > s-arm-data
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual    -DHAVE_CONFIG_H -I. -Ivhdl -I../../src/gcc -I../../src/gcc/vhdl -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o vhdl/grt-cstdio.o -MT vhdl/grt-cstdio.o -MMD -MP -MF vhdl/.deps/grt-cstdio.TPo ../../src/gcc/vhdl/grt-cstdio.c
none needed
checking whether arm-linux-gnueabihf-gcc-12 understands -c and -o together... yes
checking how to run the C preprocessor... arm-linux-gnueabihf-gcc-12 -E
echo "--  DO NOT EDIT" > tmp-dpaths.ads
echo "--  This file is created by Makefile" >> tmp-dpaths.ads
checking for grep that handles long lines and -e... echo "package Default_Paths is" >> tmp-dpaths.ads
echo "   --  Accept long lines."  >> tmp-dpaths.ads
/bin/grep
checking for egrep... echo "   pragma Style_Checks (\"M999\");"  >> tmp-dpaths.ads
/bin/grep -E
checking for ANSI C header files... echo "   Install_Prefix : constant String :=" >> tmp-dpaths.ads
echo "     \"/usr/lib/ghdl/gcc\";" >> tmp-dpaths.ads
suffix=`expr @"/usr/lib/ghdl/gcc/lib" : @"/usr/lib/ghdl/gcc/\(.*\)"`; \
if test x"$suffix" = x; then suffix="/usr/lib/ghdl/gcc/lib"; fi; \
echo "   Compiler_Gcc   : constant String :=" >> tmp-dpaths.ads; \
echo "     \"$suffix/gcc/arm-linux-gnueabihf/12/ghdl1\";" >> tmp-dpaths.ads
echo "   Compiler_Debug : constant String := \"\";" >> tmp-dpaths.ads
echo "   Compiler_Mcode : constant String := \"\";" >> tmp-dpaths.ads
echo "   Compiler_Llvm  : constant String := \"\";" >> tmp-dpaths.ads
echo "   Post_Processor : constant String := \"\";" >> tmp-dpaths.ads
echo "   Lib_Prefix     : constant String :=">> tmp-dpaths.ads
echo "     \"lib/ghdl/gcc\";" >> tmp-dpaths.ads
echo "   Inc_Prefix     : constant String :=" >> tmp-dpaths.ads
echo "     \"lib/ghdl/include\";" >> tmp-dpaths.ads
echo "   Shared_Library_Extension : constant String :=">> tmp-dpaths.ads
echo "     \".so\";" >> tmp-dpaths.ads
echo "   Default_Pie : constant Boolean := False;" >> tmp-dpaths.ads
echo "end Default_Paths;" >> tmp-dpaths.ads
../../src/gcc/../move-if-change tmp-dpaths.ads vhdl/default_paths.ads
cp ../../src/gcc/gcc-ar.cc gcc-nm.cc
cp ../../src/gcc/gcc-ar.cc gcc-ranlib.cc
gawk -f ../../src/gcc/config/arm/parsecpu.awk -v cmd=native \
	../../src/gcc/config/arm/arm-cpus.in > tmp-arm-native.h
/bin/bash ../../src/gcc/../move-if-change tmp-arm-native.h arm-native.h
echo timestamp > s-arm-native
TARGET_CPU_DEFAULT="" \
HEADERS="auto-host.h ansidecl.h" DEFINES="USED_FOR_TARGET " \
/bin/bash ../../src/gcc/mkconfig.sh tconfig.h
(echo "@set version-GCC 12.2.0"; \
 if [ "" = "experimental" ]; \
 then echo "@set DEVELOPMENT"; \
 else echo "@clear DEVELOPMENT"; \
 fi) > gcc-vers.texiT
echo @set srcdir `echo /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/../../src/gcc | sed -e 's|\\([@{}]\\)|@\\1|g'` >> gcc-vers.texiT
if [ -n "(Raspbian 12.2.0-14+rpi1) " ]; then \
  echo "@set VERSION_PACKAGE (Raspbian 12.2.0-14+rpi1) " >> gcc-vers.texiT; \
fi
echo "@set BUGURL @uref{file:///usr/share/doc/gcc-12/README.Bugs}" >> gcc-vers.texiT; \
mv -f gcc-vers.texiT gcc-vers.texi
rm -f doc/ghdl.info*
makeinfo --split-size=5000000 --split-size=5000000 --no-split -o doc/ghdl.info ../../src/gcc/vhdl/ghdl.texi
yes
checking for sys/types.h... yes
checking for sys/stat.h... yes
checking for stdlib.h... /bin/bash ../../src/gcc/../move-if-change tmp-arm-cpu-cdata.h arm-cpu-cdata.h
echo timestamp > s-arm-cdata
echo timestamp > gcc.pod
perl ../../src/gcc/../contrib/texi2pod.pl ../../src/gcc/doc/invoke.texi > gcc.pod
No filename or title
make[4]: [Makefile:3505: gcc.pod] Error 255 (ignored)
echo timestamp > lto-dump.pod
yes
perl ../../src/gcc/../contrib/texi2pod.pl -DBUGURL="@uref{file:///usr/share/doc/gcc-12/README.Bugs}" ../../src/gcc/doc/lto-dump.texi > lto-dump.pod
checking for string.h... No filename or title
make[4]: [Makefile:3495: lto-dump.pod] Error 255 (ignored)
arm-linux-gnueabihf-g++-12 -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include  -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \
	-o build/gengenrtl.o ../../src/gcc/gengenrtl.cc
yes
checking for memory.h... yes
checking for strings.h... yes
checking for inttypes.h... yes
checking for stdint.h... yes
checking for unistd.h... yes
checking minix/config.h usability... no
checking minix/config.h presence... arm-linux-gnueabihf-g++-12 -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include  -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \
	-o build/sort.o ../../src/gcc/sort.cc
no
checking for minix/config.h... no
checking whether it is safe to define __EXTENSIONS__... yes
checking for a BSD-compatible install... /usr/bin/install -c
checking whether build environment is sane... yes
checking for a thread-safe mkdir -p... /bin/mkdir -p
checking for gawk... gawk
checking whether /usr/bin/make sets $(MAKE)... yes
checking for style of include used by /usr/bin/make... GNU
checking whether /usr/bin/make supports nested variables... yes
checking dependency style of arm-linux-gnueabihf-gcc-12... gcc3
checking whether to enable maintainer-specific portions of Makefiles... no
checking how to print strings... printf
checking for a sed that does not truncate output... /bin/sed
checking for fgrep... /bin/grep -F
checking for ld used by arm-linux-gnueabihf-gcc-12... arm-linux-gnueabihf-ld
checking if the linker (arm-linux-gnueabihf-ld) is GNU ld... yes
checking for BSD- or MS-compatible name lister (nm)... arm-linux-gnueabihf-nm
checking the name lister (arm-linux-gnueabihf-nm) interface... BSD nm
checking whether ln -s works... yes
checking the maximum length of command line arguments... 1572864
checking whether the shell understands some XSI constructs... yes
checking whether the shell understands "+="... yes
checking for arm-linux-gnueabihf-ld option to reload object files... -r
checking for arm-linux-gnueabihf-objdump... arm-linux-gnueabihf-objdump
checking how to recognize dependent libraries... pass_all
checking for arm-linux-gnueabihf-ar... arm-linux-gnueabihf-ar
checking for arm-linux-gnueabihf-strip... arm-linux-gnueabihf-strip
checking for arm-linux-gnueabihf-ranlib... arm-linux-gnueabihf-ranlib
checking command to parse arm-linux-gnueabihf-nm output from arm-linux-gnueabihf-gcc-12 object... /bin/bash ../../src/gcc/../move-if-change tmp-optionlist optionlist
echo timestamp > s-options
arm-linux-gnueabihf-g++-12 -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include  -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \
	-o build/genhooks.o ../../src/gcc/genhooks.cc
ok
checking for dlfcn.h... yes
checking for objdir... .libs
checking if arm-linux-gnueabihf-gcc-12 supports -fno-rtti -fno-exceptions... arm-linux-gnueabihf-g++-12 -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include  -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \
	-o build/genchecksum.o ../../src/gcc/genchecksum.cc
no
checking for arm-linux-gnueabihf-gcc-12 option to produce PIC... -fPIC -DPIC
checking if arm-linux-gnueabihf-gcc-12 PIC flag -fPIC -DPIC works... ../../src/gcc/genhooks.cc: In function 'void emit_documentation(const char*)':
../../src/gcc/genhooks.cc:120:14: warning: ignoring return value of 'int fscanf(FILE*, const char*, ...)' declared with attribute 'warn_unused_result' [-Wunused-result]
  120 |       fscanf (f, "%999s", buf);
      |       ~~~~~~~^~~~~~~~~~~~~~~~~
../../src/gcc/genhooks.cc:111:17: warning: ignoring return value of 'int fscanf(FILE*, const char*, ...)' declared with attribute 'warn_unused_result' [-Wunused-result]
  111 |   while (fscanf (f, "%*[^@]"), buf[0] = '\0',
      |          ~~~~~~~^~~~~~~~~~~~~
../../src/gcc/genhooks.cc:173:14: warning: ignoring return value of 'int fscanf(FILE*, const char*, ...)' declared with attribute 'warn_unused_result' [-Wunused-result]
  173 |       fscanf (f, "%5[^ \n]", buf);
      |       ~~~~~~~^~~~~~~~~~~~~~~~~~~~
../../src/gcc/genhooks.cc:179:14: warning: ignoring return value of 'int fscanf(FILE*, const char*, ...)' declared with attribute 'warn_unused_result' [-Wunused-result]
  179 |       fscanf (f, "%999s", buf);
      |       ~~~~~~~^~~~~~~~~~~~~~~~~
yes
checking if arm-linux-gnueabihf-gcc-12 static flag -static works... yes
checking if arm-linux-gnueabihf-gcc-12 supports -c -o file.o... yes
checking if arm-linux-gnueabihf-gcc-12 supports -c -o file.o... (cached) yes
checking whether the arm-linux-gnueabihf-gcc-12 linker (arm-linux-gnueabihf-ld) supports shared libraries... yes
checking whether -lc should be explicitly linked in... no
checking dynamic linker characteristics... GNU/Linux ld.so
checking how to hardcode library paths into programs... immediate
checking whether stripping libraries is possible... yes
checking if libtool supports shared libraries... yes
checking whether to build shared libraries... yes
checking whether to build static libraries... no
if [ xinfo = xinfo ]; then \
	makeinfo --split-size=5000000 --split-size=5000000 --no-split -I . -I ../../src/gcc/doc \
		-I ../../src/gcc/doc/include -o doc/cpp.info ../../src/gcc/doc/cpp.texi; \
fi
if [ xinfo = xinfo ]; then \
	makeinfo --split-size=5000000 --split-size=5000000 --no-split -I . -I ../../src/gcc/doc \
		-I ../../src/gcc/doc/include -o doc/gcc.info ../../src/gcc/doc/gcc.texi; \
fi
checking whether we are using the GNU C++ compiler... yes
checking whether arm-linux-gnueabihf-g++-12 accepts -g... yes
checking dependency style of arm-linux-gnueabihf-g++-12... gcc3
checking how to run the C++ preprocessor... arm-linux-gnueabihf-g++-12 -E
if [ xinfo = xinfo ]; then \
	makeinfo --split-size=5000000 --split-size=5000000 --no-split -I ../../src/gcc/doc \
		-I ../../src/gcc/doc/include -o doc/gccinstall.info ../../src/gcc/doc/install.texi; \
fi
cpp.texi: warning: document without nodes
if [ xinfo = xinfo ]; then \
	makeinfo --split-size=5000000 --split-size=5000000 --no-split -I . -I ../../src/gcc/doc \
		-I ../../src/gcc/doc/include -o doc/cppinternals.info ../../src/gcc/doc/cppinternals.texi; \
fi
checking for ld used by arm-linux-gnueabihf-g++-12... arm-linux-gnueabihf-ld
checking if the linker (arm-linux-gnueabihf-ld) is GNU ld... yes
checking whether the arm-linux-gnueabihf-g++-12 linker (arm-linux-gnueabihf-ld) supports shared libraries... yes
checking for arm-linux-gnueabihf-g++-12 option to produce PIC... -fPIC -DPIC
checking if arm-linux-gnueabihf-g++-12 PIC flag -fPIC -DPIC works... yes
checking if arm-linux-gnueabihf-g++-12 static flag -static works... install.texi: warning: document without nodes
echo timestamp > doc/gcc.1
(pod2man --center="GNU" --release="gcc-12" --date=2022-08-19 --section=1 gcc.pod > doc/gcc.1.T$$ && \
	mv -f doc/gcc.1.T$$ doc/gcc.1) || \
	(rm -f doc/gcc.1.T$$ && exit 1)
cppinternals.texi: warning: document without nodes
echo timestamp > doc/lto-dump.1
(pod2man --center="GNU" --release="gcc-12" --date=2022-08-19 --section=1 lto-dump.pod > doc/lto-dump.1.T$$ && \
	mv -f doc/lto-dump.1.T$$ doc/lto-dump.1) || \
	(rm -f doc/lto-dump.1.T$$ && exit 1)
yes
checking if arm-linux-gnueabihf-g++-12 supports -c -o file.o... yes
checking if arm-linux-gnueabihf-g++-12 supports -c -o file.o... (cached) yes
checking whether the arm-linux-gnueabihf-g++-12 linker (arm-linux-gnueabihf-ld) supports shared libraries... yes
checking dynamic linker characteristics... (cached) pod2man: unable to format gcc.pod
make[4]: [Makefile:3483: doc/gcc.1] Error 1 (ignored)
arm-linux-gnueabihf-g++-12 -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include  -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \
	-o build/genmodes.o ../../src/gcc/genmodes.cc
GNU/Linux ld.so
checking how to hardcode library paths into programs... immediate
checking for CET support... no
pod2man: unable to format lto-dump.pod
make[4]: [Makefile:3482: doc/lto-dump.1] Error 1 (ignored)
arm-linux-gnueabihf-g++-12 -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include  -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \
	-o build/errors.o ../../src/gcc/errors.cc
checking whether basename is declared... ghdl.texi:18: warning: @definfoenclose is obsolete.
ghdl.texi:19: warning: @definfoenclose is obsolete.
ghdl.texi:6856: warning: @footnote should not appear in @deffn
ghdl.texi:6865: warning: @footnote should not appear in @deffn
ghdl.texi:6952: warning: @footnote should not appear in @deffn
ghdl.texi:6974: warning: @footnote should not appear in @deffn
ghdl.texi:6985: warning: @footnote should not appear in @deffn
ghdl.texi:7023: warning: @footnote should not appear in @deffn
ghdl.texi:7034: warning: @footnote should not appear in @deffn
ghdl.texi:7149: warning: @footnote should not appear in @deffn
ghdl.texi:7161: warning: @footnote should not appear in @deffn
ghdl.texi:7445: warning: @footnote should not appear in @deffn
ghdl.texi:7504: warning: @footnote should not appear in @deffn
ghdl.texi:7513: warning: @footnote should not appear in @deffn
ghdl.texi:7538: warning: @footnote should not appear in @deffn
ghdl.texi:7577: warning: @footnote should not appear in @deffn
ghdl.texi:7597: warning: @footnote should not appear in @deffn
ghdl.texi:7650: warning: @footnote should not appear in @deffn
ghdl.texi:7659: warning: @footnote should not appear in @deffn
ghdl.texi:7684: warning: @footnote should not appear in @deffn
ghdl.texi:7723: warning: @footnote should not appear in @deffn
ghdl.texi:7831: warning: @footnote should not appear in @deffn
ghdl.texi:7842: warning: @footnote should not appear in @deffn
ghdl.texi:7884: warning: @footnote should not appear in @deffn
ghdl.texi:7917: warning: @footnote should not appear in @deffn
ghdl.texi:7926: warning: @footnote should not appear in @deffn
ghdl.texi:7944: warning: @footnote should not appear in @deffn
ghdl.texi:7953: warning: @footnote should not appear in @deffn
ghdl.texi:7978: warning: @footnote should not appear in @deffn
ghdl.texi:7983: warning: @footnote should not appear in @deffn
ghdl.texi:7988: warning: @footnote should not appear in @deffn
ghdl.texi:8156: warning: @footnote should not appear in @deffn
ghdl.texi:8165: warning: @footnote should not appear in @deffn
ghdl.texi:8190: warning: @footnote should not appear in @deffn
ghdl.texi:8233: warning: @footnote should not appear in @deffn
ghdl.texi:8242: warning: @footnote should not appear in @deffn
ghdl.texi:8267: warning: @footnote should not appear in @deffn
ghdl.texi:8310: warning: @footnote should not appear in @deffn
ghdl.texi:8319: warning: @footnote should not appear in @deffn
ghdl.texi:8344: warning: @footnote should not appear in @deffn
ghdl.texi:8387: warning: @footnote should not appear in @deffn
ghdl.texi:8396: warning: @footnote should not appear in @deffn
ghdl.texi:8405: warning: @footnote should not appear in @deffn
ghdl.texi:8416: warning: @footnote should not appear in @deffn
ghdl.texi:8427: warning: @footnote should not appear in @deffn
ghdl.texi:8450: warning: @footnote should not appear in @deffn
ghdl.texi:8472: warning: @footnote should not appear in @deffn
ghdl.texi:8505: warning: @footnote should not appear in @deffn
ghdl.texi:8514: warning: @footnote should not appear in @deffn
ghdl.texi:8523: warning: @footnote should not appear in @deffn
ghdl.texi:8532: warning: @footnote should not appear in @deffn
ghdl.texi:8543: warning: @footnote should not appear in @deffn
ghdl.texi:8554: warning: @footnote should not appear in @deffn
ghdl.texi:8577: warning: @footnote should not appear in @deffn
ghdl.texi:8582: warning: @footnote should not appear in @deffn
ghdl.texi:8604: warning: @footnote should not appear in @deffn
ghdl.texi:8637: warning: @footnote should not appear in @deffn
ghdl.texi:8646: warning: @footnote should not appear in @deffn
ghdl.texi:8655: warning: @footnote should not appear in @deffn
ghdl.texi:8666: warning: @footnote should not appear in @deffn
ghdl.texi:8677: warning: @footnote should not appear in @deffn
ghdl.texi:8700: warning: @footnote should not appear in @deffn
ghdl.texi:8722: warning: @footnote should not appear in @deffn
ghdl.texi:8764: warning: @footnote should not appear in @deffn
ghdl.texi:8775: warning: @footnote should not appear in @deffn
ghdl.texi:8786: warning: @footnote should not appear in @deffn
ghdl.texi:8804: warning: @footnote should not appear in @deffn
ghdl.texi:8818: warning: @footnote should not appear in @deffn
ghdl.texi:8830: warning: @footnote should not appear in @deffn
ghdl.texi:8882: warning: @footnote should not appear in @deffn
ghdl.texi:8893: warning: @footnote should not appear in @deffn
ghdl.texi:8913: warning: @footnote should not appear in @deffn
ghdl.texi:8922: warning: @footnote should not appear in @deffn
ghdl.texi:8936: warning: @footnote should not appear in @deffn
ghdl.texi:8948: warning: @footnote should not appear in @deffn
ghdl.texi:9009: warning: @footnote should not appear in @deffn
ghdl.texi:9020: warning: @footnote should not appear in @deffn
ghdl.texi:9029: warning: @footnote should not appear in @deffn
yes
ghdl.texi:9095: warning: @footnote should not appear in @deffn
ghdl.texi:9106: warning: @footnote should not appear in @deffn
ghdl.texi:9115: warning: @footnote should not appear in @deffn
ghdl.texi:9172: warning: @footnote should not appear in @deffn
ghdl.texi:9183: warning: @footnote should not appear in @deffn
ghdl.texi:9192: warning: @footnote should not appear in @deffn
ghdl.texi:9235: warning: @footnote should not appear in @deffn
ghdl.texi:9244: warning: @footnote should not appear in @deffn
ghdl.texi:9253: warning: @footnote should not appear in @deffn
ghdl.texi:9262: warning: @footnote should not appear in @deffn
ghdl.texi:9273: warning: @footnote should not appear in @deffn
ghdl.texi:9298: warning: @footnote should not appear in @deffn
ghdl.texi:9303: warning: @footnote should not appear in @deffn
ghdl.texi:9308: warning: @footnote should not appear in @deffn
ghdl.texi:9320: warning: @footnote should not appear in @deffn
ghdl.texi:9353: warning: @footnote should not appear in @deffn
ghdl.texi:9407: warning: @footnote should not appear in @deffn
ghdl.texi:9427: warning: @footnote should not appear in @deffn
ghdl.texi:9479: warning: @footnote should not appear in @deffn
ghdl.texi:9497: warning: @footnote should not appear in @deffn
ghdl.texi:9508: warning: @footnote should not appear in @deffn
ghdl.texi:9528: warning: @footnote should not appear in @deffn
ghdl.texi:9542: warning: @footnote should not appear in @deffn
ghdl.texi:9554: warning: @footnote should not appear in @deffn
ghdl.texi:9606: warning: @footnote should not appear in @deffn
ghdl.texi:9617: warning: @footnote should not appear in @deffn
ghdl.texi:9637: warning: @footnote should not appear in @deffn
ghdl.texi:9658: warning: @footnote should not appear in @deffn
ghdl.texi:9701: warning: @footnote should not appear in @deffn
ghdl.texi:9710: warning: @footnote should not appear in @deffn
ghdl.texi:9721: warning: @footnote should not appear in @deffn
ghdl.texi:9760: warning: @footnote should not appear in @deffn
ghdl.texi:9772: warning: @footnote should not appear in @deffn
ghdl.texi:9814: warning: @footnote should not appear in @deffn
ghdl.texi:9825: warning: @footnote should not appear in @deffn
ghdl.texi:9834: warning: @footnote should not appear in @deffn
ghdl.texi:9854: warning: @footnote should not appear in @deffn
ghdl.texi:9863: warning: @footnote should not appear in @deffn
ghdl.texi:9877: warning: @footnote should not appear in @deffn
ghdl.texi:9882: warning: @footnote should not appear in @deffn
ghdl.texi:9894: warning: @footnote should not appear in @deffn
ghdl.texi:9951: warning: @footnote should not appear in @deffn
ghdl.texi:10019: warning: @footnote should not appear in @deffn
ghdl.texi:10030: warning: @footnote should not appear in @deffn
ghdl.texi:10050: warning: @footnote should not appear in @deffn
ghdl.texi:10059: warning: @footnote should not appear in @deffn
ghdl.texi:10073: warning: @footnote should not appear in @deffn
ghdl.texi:10085: warning: @footnote should not appear in @deffn
ghdl.texi:10092: warning: @footnote should not appear in @deffn
ghdl.texi:10123: warning: @footnote should not appear in @deffn
ghdl.texi:10134: warning: @footnote should not appear in @deffn
ghdl.texi:10143: warning: @footnote should not appear in @deffn
ghdl.texi:10163: warning: @footnote should not appear in @deffn
ghdl.texi:10184: warning: @footnote should not appear in @deffn
ghdl.texi:10236: warning: @footnote should not appear in @deffn
ghdl.texi:10256: warning: @footnote should not appear in @deffn
ghdl.texi:10297: warning: @footnote should not appear in @deffn
ghdl.texi:10422: warning: @footnote should not appear in @deffn
ghdl.texi:10431: warning: @footnote should not appear in @deffn
ghdl.texi:10456: warning: @footnote should not appear in @deffn
ghdl.texi:10490: warning: @footnote should not appear in @deffn
ghdl.texi:10499: warning: @footnote should not appear in @deffn
ghdl.texi:10524: warning: @footnote should not appear in @deffn
ghdl.texi:10558: warning: @footnote should not appear in @deffn
ghdl.texi:10567: warning: @footnote should not appear in @deffn
ghdl.texi:10592: warning: @footnote should not appear in @deffn
ghdl.texi:10626: warning: @footnote should not appear in @deffn
ghdl.texi:10662: warning: @footnote should not appear in @deffn
ghdl.texi:10671: warning: @footnote should not appear in @deffn
ghdl.texi:10709: warning: @footnote should not appear in @deffn
ghdl.texi:10720: warning: @footnote should not appear in @deffn
ghdl.texi:10738: warning: @footnote should not appear in @deffn
ghdl.texi:10752: warning: @footnote should not appear in @deffn
ghdl.texi:10757: warning: @footnote should not appear in @deffn
ghdl.texi:10784: warning: @footnote should not appear in @deffn
ghdl.texi:10860: warning: @footnote should not appear in @deffn
ghdl.texi:10869: warning: @footnote should not appear in @deffn
ghdl.texi:10880: warning: @footnote should not appear in @deffn
ghdl.texi:10907: warning: @footnote should not appear in @deffn
ghdl.texi:10927: warning: @footnote should not appear in @deffn
ghdl.texi:10936: warning: @footnote should not appear in @deffn
ghdl.texi:10946: warning: @footnote should not appear in @deffn
ghdl.texi:10968: warning: @footnote should not appear in @deffn
ghdl.texi:11017: warning: @footnote should not appear in @deffn
ghdl.texi:11026: warning: @footnote should not appear in @deffn
ghdl.texi:11037: warning: @footnote should not appear in @deffn
ghdl.texi:11048: warning: @footnote should not appear in @deffn
ghdl.texi:11071: warning: @footnote should not appear in @deffn
ghdl.texi:11076: warning: @footnote should not appear in @deffn
ghdl.texi:11088: warning: @footnote should not appear in @deffn
ghdl.texi:11144: warning: @footnote should not appear in @deffn
ghdl.texi:11153: warning: @footnote should not appear in @deffn
ghdl.texi:11191: warning: @footnote should not appear in @deffn
ghdl.texi:11216: warning: @footnote should not appear in @deffn
ghdl.texi:11233: warning: @footnote should not appear in @deffn
ghdl.texi:11309: warning: @footnote should not appear in @deffn
ghdl.texi:11329: warning: @footnote should not appear in @deffn
ghdl.texi:11347: warning: @footnote should not appear in @deffn
ghdl.texi:11372: warning: @footnote should not appear in @deffn
ghdl.texi:11389: warning: @footnote should not appear in @deffn
ghdl.texi:11438: warning: @footnote should not appear in @deffn
ghdl.texi:11447: warning: @footnote should not appear in @deffn
ghdl.texi:11476: warning: @footnote should not appear in @deffn
ghdl.texi:11501: warning: @footnote should not appear in @deffn
ghdl.texi:11506: warning: @footnote should not appear in @deffn
ghdl.texi:11518: warning: @footnote should not appear in @deffn
ghdl.texi:11547: warning: @footnote should not appear in @deffn
ghdl.texi:11567: warning: @footnote should not appear in @deffn
ghdl.texi:11576: warning: @footnote should not appear in @deffn
ghdl.texi:11585: warning: @footnote should not appear in @deffn
ghdl.texi:11610: warning: @footnote should not appear in @deffn
ghdl.texi:11615: warning: @footnote should not appear in @deffn
ghdl.texi:11627: warning: @footnote should not appear in @deffn
ghdl.texi:11674: warning: @footnote should not appear in @deffn
ghdl.texi:11712: warning: @footnote should not appear in @deffn
ghdl.texi:11744: warning: @footnote should not appear in @deffn
ghdl.texi:12010: warning: @footnote should not appear in @deffn
ghdl.texi:12030: warning: @footnote should not appear in @deffn
ghdl.texi:12030: warning: @footnote should not appear in @deffn
ghdl.texi:12083: warning: @footnote should not appear in @deffn
ghdl.texi:12103: warning: @footnote should not appear in @deffn
ghdl.texi:12103: warning: @footnote should not appear in @deffn
ghdl.texi:12156: warning: @footnote should not appear in @deffn
ghdl.texi:12176: warning: @footnote should not appear in @deffn
ghdl.texi:12176: warning: @footnote should not appear in @deffn
ghdl.texi:12229: warning: @footnote should not appear in @deffn
ghdl.texi:12249: warning: @footnote should not appear in @deffn
ghdl.texi:12249: warning: @footnote should not appear in @deffn
ghdl.texi:12311: warning: @footnote should not appear in @deffn
ghdl.texi:12331: warning: @footnote should not appear in @deffn
ghdl.texi:12331: warning: @footnote should not appear in @deffn
ghdl.texi:12375: warning: @footnote should not appear in @deffn
ghdl.texi:12400: warning: @footnote should not appear in @deffn
ghdl.texi:12400: warning: @footnote should not appear in @deffn
ghdl.texi:12434: warning: @footnote should not appear in @deffn
ghdl.texi:12483: warning: @footnote should not appear in @deffn
ghdl.texi:12497: warning: @footnote should not appear in @deffn
ghdl.texi:12522: warning: @footnote should not appear in @deffn
ghdl.texi:12522: warning: @footnote should not appear in @deffn
ghdl.texi:12522: warning: @footnote should not appear in @deffn
ghdl.texi:12527: warning: @footnote should not appear in @deffn
ghdl.texi:12571: warning: @footnote should not appear in @deffn
ghdl.texi:12585: warning: @footnote should not appear in @deffn
ghdl.texi:12610: warning: @footnote should not appear in @deffn
ghdl.texi:12610: warning: @footnote should not appear in @deffn
ghdl.texi:12610: warning: @footnote should not appear in @deffn
ghdl.texi:12615: warning: @footnote should not appear in @deffn
ghdl.texi:12664: warning: @footnote should not appear in @deffn
ghdl.texi:12689: warning: @footnote should not appear in @deffn
ghdl.texi:12689: warning: @footnote should not appear in @deffn
ghdl.texi:12689: warning: @footnote should not appear in @deffn
ghdl.texi:12747: warning: @footnote should not appear in @deffn
ghdl.texi:12772: warning: @footnote should not appear in @deffn
ghdl.texi:12772: warning: @footnote should not appear in @deffn
ghdl.texi:12772: warning: @footnote should not appear in @deffn
ghdl.texi:12830: warning: @footnote should not appear in @deffn
ghdl.texi:12855: warning: @footnote should not appear in @deffn
ghdl.texi:12855: warning: @footnote should not appear in @deffn
ghdl.texi:12855: warning: @footnote should not appear in @deffn
ghdl.texi:12913: warning: @footnote should not appear in @deffn
ghdl.texi:12938: warning: @footnote should not appear in @deffn
ghdl.texi:12938: warning: @footnote should not appear in @deffn
ghdl.texi:12938: warning: @footnote should not appear in @deffn
ghdl.texi:12996: warning: @footnote should not appear in @deffn
ghdl.texi:13021: warning: @footnote should not appear in @deffn
ghdl.texi:13021: warning: @footnote should not appear in @deffn
ghdl.texi:13021: warning: @footnote should not appear in @deffn
ghdl.texi:13079: warning: @footnote should not appear in @deffn
ghdl.texi:13104: warning: @footnote should not appear in @deffn
ghdl.texi:13104: warning: @footnote should not appear in @deffn
ghdl.texi:13104: warning: @footnote should not appear in @deffn
ghdl.texi:13162: warning: @footnote should not appear in @deffn
ghdl.texi:13187: warning: @footnote should not appear in @deffn
ghdl.texi:13187: warning: @footnote should not appear in @deffn
ghdl.texi:13187: warning: @footnote should not appear in @deffn
ghdl.texi:13245: warning: @footnote should not appear in @deffn
ghdl.texi:13270: warning: @footnote should not appear in @deffn
ghdl.texi:13270: warning: @footnote should not appear in @deffn
ghdl.texi:13270: warning: @footnote should not appear in @deffn
ghdl.texi:13328: warning: @footnote should not appear in @deffn
ghdl.texi:13353: warning: @footnote should not appear in @deffn
ghdl.texi:13353: warning: @footnote should not appear in @deffn
ghdl.texi:13353: warning: @footnote should not appear in @deffn
ghdl.texi:13411: warning: @footnote should not appear in @deffn
ghdl.texi:13436: warning: @footnote should not appear in @deffn
ghdl.texi:13436: warning: @footnote should not appear in @deffn
ghdl.texi:13436: warning: @footnote should not appear in @deffn
ghdl.texi:13494: warning: @footnote should not appear in @deffn
ghdl.texi:13519: warning: @footnote should not appear in @deffn
ghdl.texi:13519: warning: @footnote should not appear in @deffn
ghdl.texi:13519: warning: @footnote should not appear in @deffn
ghdl.texi:13577: warning: @footnote should not appear in @deffn
ghdl.texi:13602: warning: @footnote should not appear in @deffn
ghdl.texi:13602: warning: @footnote should not appear in @deffn
ghdl.texi:13602: warning: @footnote should not appear in @deffn
ghdl.texi:13660: warning: @footnote should not appear in @deffn
ghdl.texi:13685: warning: @footnote should not appear in @deffn
ghdl.texi:13685: warning: @footnote should not appear in @deffn
ghdl.texi:13685: warning: @footnote should not appear in @deffn
ghdl.texi:13743: warning: @footnote should not appear in @deffn
ghdl.texi:13768: warning: @footnote should not appear in @deffn
ghdl.texi:13768: warning: @footnote should not appear in @deffn
ghdl.texi:13768: warning: @footnote should not appear in @deffn
ghdl.texi:13826: warning: @footnote should not appear in @deffn
ghdl.texi:13851: warning: @footnote should not appear in @deffn
ghdl.texi:13851: warning: @footnote should not appear in @deffn
ghdl.texi:13851: warning: @footnote should not appear in @deffn
ghdl.texi:13909: warning: @footnote should not appear in @deffn
ghdl.texi:13934: warning: @footnote should not appear in @deffn
ghdl.texi:13934: warning: @footnote should not appear in @deffn
ghdl.texi:13934: warning: @footnote should not appear in @deffn
ghdl.texi:13992: warning: @footnote should not appear in @deffn
ghdl.texi:14017: warning: @footnote should not appear in @deffn
ghdl.texi:14017: warning: @footnote should not appear in @deffn
ghdl.texi:14017: warning: @footnote should not appear in @deffn
ghdl.texi:14075: warning: @footnote should not appear in @deffn
ghdl.texi:14100: warning: @footnote should not appear in @deffn
ghdl.texi:14100: warning: @footnote should not appear in @deffn
ghdl.texi:14100: warning: @footnote should not appear in @deffn
ghdl.texi:14158: warning: @footnote should not appear in @deffn
ghdl.texi:14183: warning: @footnote should not appear in @deffn
ghdl.texi:14183: warning: @footnote should not appear in @deffn
ghdl.texi:14183: warning: @footnote should not appear in @deffn
ghdl.texi:14241: warning: @footnote should not appear in @deffn
ghdl.texi:14266: warning: @footnote should not appear in @deffn
ghdl.texi:14266: warning: @footnote should not appear in @deffn
ghdl.texi:14266: warning: @footnote should not appear in @deffn
ghdl.texi:14324: warning: @footnote should not appear in @deffn
ghdl.texi:14349: warning: @footnote should not appear in @deffn
ghdl.texi:14349: warning: @footnote should not appear in @deffn
ghdl.texi:14349: warning: @footnote should not appear in @deffn
ghdl.texi:14407: warning: @footnote should not appear in @deffn
ghdl.texi:14432: warning: @footnote should not appear in @deffn
ghdl.texi:14432: warning: @footnote should not appear in @deffn
ghdl.texi:14432: warning: @footnote should not appear in @deffn
ghdl.texi:14490: warning: @footnote should not appear in @deffn
ghdl.texi:14515: warning: @footnote should not appear in @deffn
ghdl.texi:14515: warning: @footnote should not appear in @deffn
ghdl.texi:14515: warning: @footnote should not appear in @deffn
ghdl.texi:14573: warning: @footnote should not appear in @deffn
ghdl.texi:14598: warning: @footnote should not appear in @deffn
ghdl.texi:14598: warning: @footnote should not appear in @deffn
ghdl.texi:14598: warning: @footnote should not appear in @deffn
ghdl.texi:14656: warning: @footnote should not appear in @deffn
ghdl.texi:14681: warning: @footnote should not appear in @deffn
ghdl.texi:14681: warning: @footnote should not appear in @deffn
ghdl.texi:14681: warning: @footnote should not appear in @deffn
ghdl.texi:14739: warning: @footnote should not appear in @deffn
ghdl.texi:14764: warning: @footnote should not appear in @deffn
ghdl.texi:14764: warning: @footnote should not appear in @deffn
ghdl.texi:14764: warning: @footnote should not appear in @deffn
ghdl.texi:14822: warning: @footnote should not appear in @deffn
ghdl.texi:14847: warning: @footnote should not appear in @deffn
ghdl.texi:14847: warning: @footnote should not appear in @deffn
ghdl.texi:14847: warning: @footnote should not appear in @deffn
ghdl.texi:14905: warning: @footnote should not appear in @deffn
ghdl.texi:14930: warning: @footnote should not appear in @deffn
ghdl.texi:14930: warning: @footnote should not appear in @deffn
ghdl.texi:14930: warning: @footnote should not appear in @deffn
ghdl.texi:14988: warning: @footnote should not appear in @deffn
ghdl.texi:15013: warning: @footnote should not appear in @deffn
ghdl.texi:15013: warning: @footnote should not appear in @deffn
ghdl.texi:15013: warning: @footnote should not appear in @deffn
ghdl.texi:15071: warning: @footnote should not appear in @deffn
ghdl.texi:15096: warning: @footnote should not appear in @deffn
ghdl.texi:15096: warning: @footnote should not appear in @deffn
ghdl.texi:15096: warning: @footnote should not appear in @deffn
ghdl.texi:15154: warning: @footnote should not appear in @deffn
ghdl.texi:15179: warning: @footnote should not appear in @deffn
ghdl.texi:15179: warning: @footnote should not appear in @deffn
ghdl.texi:15179: warning: @footnote should not appear in @deffn
ghdl.texi:15237: warning: @footnote should not appear in @deffn
ghdl.texi:15262: warning: @footnote should not appear in @deffn
ghdl.texi:15262: warning: @footnote should not appear in @deffn
ghdl.texi:15262: warning: @footnote should not appear in @deffn
ghdl.texi:15329: warning: @footnote should not appear in @deffn
ghdl.texi:15402: warning: @footnote should not appear in @deffn
ghdl.texi:15422: warning: @footnote should not appear in @deffn
ghdl.texi:15436: warning: @footnote should not appear in @deffn
ghdl.texi:15474: warning: @footnote should not appear in @deffn
ghdl.texi:15494: warning: @footnote should not appear in @deffn
ghdl.texi:15508: warning: @footnote should not appear in @deffn
ghdl.texi:15546: warning: @footnote should not appear in @deffn
ghdl.texi:15555: warning: @footnote should not appear in @deffn
ghdl.texi:15580: warning: @footnote should not appear in @deffn
ghdl.texi:15704: warning: @footnote should not appear in @deffn
ghdl.texi:15715: warning: @footnote should not appear in @deffn
ghdl.texi:15724: warning: @footnote should not appear in @deffn
ghdl.texi:15744: warning: @footnote should not appear in @deffn
ghdl.texi:15744: warning: @footnote should not appear in @deffn
ghdl.texi:15770: warning: @footnote should not appear in @deffn
ghdl.texi:15813: warning: @footnote should not appear in @deffn
ghdl.texi:15824: warning: @footnote should not appear in @deffn
ghdl.texi:15856: warning: @footnote should not appear in @deffn
ghdl.texi:15938: warning: @footnote should not appear in @deffn
ghdl.texi:15947: warning: @footnote should not appear in @deffn
ghdl.texi:15958: warning: @footnote should not appear in @deffn
ghdl.texi:15967: warning: @footnote should not appear in @deffn
ghdl.texi:15976: warning: @footnote should not appear in @deffn
ghdl.texi:15996: warning: @footnote should not appear in @deffn
ghdl.texi:16005: warning: @footnote should not appear in @deffn
ghdl.texi:16042: warning: @footnote should not appear in @deffn
ghdl.texi:16084: warning: @footnote should not appear in @deffn
ghdl.texi:16093: warning: @footnote should not appear in @deffn
ghdl.texi:16104: warning: @footnote should not appear in @deffn
ghdl.texi:16113: warning: @footnote should not appear in @deffn
ghdl.texi:16122: warning: @footnote should not appear in @deffn
ghdl.texi:16142: warning: @footnote should not appear in @deffn
ghdl.texi:16151: warning: @footnote should not appear in @deffn
ghdl.texi:16165: warning: @footnote should not appear in @deffn
ghdl.texi:16190: warning: @footnote should not appear in @deffn
ghdl.texi:16202: warning: @footnote should not appear in @deffn
ghdl.texi:16244: warning: @footnote should not appear in @deffn
ghdl.texi:16255: warning: @footnote should not appear in @deffn
ghdl.texi:16264: warning: @footnote should not appear in @deffn
ghdl.texi:16284: warning: @footnote should not appear in @deffn
ghdl.texi:16284: warning: @footnote should not appear in @deffn
ghdl.texi:16310: warning: @footnote should not appear in @deffn
ghdl.texi:16362: warning: @footnote should not appear in @deffn
ghdl.texi:16373: warning: @footnote should not appear in @deffn
ghdl.texi:16382: warning: @footnote should not appear in @deffn
ghdl.texi:16402: warning: @footnote should not appear in @deffn
ghdl.texi:16402: warning: @footnote should not appear in @deffn
ghdl.texi:16428: warning: @footnote should not appear in @deffn
ghdl.texi:16480: warning: @footnote should not appear in @deffn
ghdl.texi:16491: warning: @footnote should not appear in @deffn
ghdl.texi:16500: warning: @footnote should not appear in @deffn
ghdl.texi:16520: warning: @footnote should not appear in @deffn
ghdl.texi:16520: warning: @footnote should not appear in @deffn
ghdl.texi:16546: warning: @footnote should not appear in @deffn
ghdl.texi:16598: warning: @footnote should not appear in @deffn
ghdl.texi:16609: warning: @footnote should not appear in @deffn
ghdl.texi:16618: warning: @footnote should not appear in @deffn
ghdl.texi:16638: warning: @footnote should not appear in @deffn
ghdl.texi:16638: warning: @footnote should not appear in @deffn
ghdl.texi:16664: warning: @footnote should not appear in @deffn
ghdl.texi:16707: warning: @footnote should not appear in @deffn
ghdl.texi:16718: warning: @footnote should not appear in @deffn
ghdl.texi:16738: warning: @footnote should not appear in @deffn
ghdl.texi:16738: warning: @footnote should not appear in @deffn
ghdl.texi:16764: warning: @footnote should not appear in @deffn
ghdl.texi:16866: warning: @footnote should not appear in @deffn
ghdl.texi:16924: warning: @footnote should not appear in @deffn
ghdl.texi:16944: warning: @footnote should not appear in @deffn
ghdl.texi:16958: warning: @footnote should not appear in @deffn
ghdl.texi:16996: warning: @footnote should not appear in @deffn
ghdl.texi:17016: warning: @footnote should not appear in @deffn
ghdl.texi:17030: warning: @footnote should not appear in @deffn
ghdl.texi:17068: warning: @footnote should not appear in @deffn
ghdl.texi:17088: warning: @footnote should not appear in @deffn
ghdl.texi:17102: warning: @footnote should not appear in @deffn
ghdl.texi:17140: warning: @footnote should not appear in @deffn
ghdl.texi:17160: warning: @footnote should not appear in @deffn
ghdl.texi:17169: warning: @footnote should not appear in @deffn
ghdl.texi:17183: warning: @footnote should not appear in @deffn
ghdl.texi:17188: warning: @footnote should not appear in @deffn
ghdl.texi:17226: warning: @footnote should not appear in @deffn
ghdl.texi:17246: warning: @footnote should not appear in @deffn
ghdl.texi:17255: warning: @footnote should not appear in @deffn
ghdl.texi:17269: warning: @footnote should not appear in @deffn
ghdl.texi:17274: warning: @footnote should not appear in @deffn
ghdl.texi:17312: warning: @footnote should not appear in @deffn
ghdl.texi:17332: warning: @footnote should not appear in @deffn
ghdl.texi:17346: warning: @footnote should not appear in @deffn
ghdl.texi:17384: warning: @footnote should not appear in @deffn
ghdl.texi:17404: warning: @footnote should not appear in @deffn
ghdl.texi:17418: warning: @footnote should not appear in @deffn
ghdl.texi:17499: warning: @footnote should not appear in @deffn
ghdl.texi:17510: warning: @footnote should not appear in @deffn
ghdl.texi:17542: warning: @footnote should not appear in @deffn
ghdl.texi:17635: warning: @footnote should not appear in @deffn
ghdl.texi:17644: warning: @footnote should not appear in @deffn
ghdl.texi:17662: warning: @footnote should not appear in @deffn
ghdl.texi:17671: warning: @footnote should not appear in @deffn
ghdl.texi:17685: warning: @footnote should not appear in @deffn
ghdl.texi:17726: warning: @footnote should not appear in @deffn
ghdl.texi:17735: warning: @footnote should not appear in @deffn
ghdl.texi:17753: warning: @footnote should not appear in @deffn
ghdl.texi:17762: warning: @footnote should not appear in @deffn
ghdl.texi:17781: warning: @footnote should not appear in @deffn
ghdl.texi:17813: warning: @footnote should not appear in @deffn
ghdl.texi:17822: warning: @footnote should not appear in @deffn
ghdl.texi:17849: warning: @footnote should not appear in @deffn
ghdl.texi:17858: warning: @footnote should not appear in @deffn
ghdl.texi:17877: warning: @footnote should not appear in @deffn
ghdl.texi:17909: warning: @footnote should not appear in @deffn
ghdl.texi:17918: warning: @footnote should not appear in @deffn
ghdl.texi:17936: warning: @footnote should not appear in @deffn
ghdl.texi:17945: warning: @footnote should not appear in @deffn
ghdl.texi:17959: warning: @footnote should not appear in @deffn
ghdl.texi:17991: warning: @footnote should not appear in @deffn
ghdl.texi:18000: warning: @footnote should not appear in @deffn
ghdl.texi:18018: warning: @footnote should not appear in @deffn
ghdl.texi:18027: warning: @footnote should not appear in @deffn
ghdl.texi:18041: warning: @footnote should not appear in @deffn
ghdl.texi:18073: warning: @footnote should not appear in @deffn
ghdl.texi:18082: warning: @footnote should not appear in @deffn
ghdl.texi:18100: warning: @footnote should not appear in @deffn
ghdl.texi:18109: warning: @footnote should not appear in @deffn
ghdl.texi:18123: warning: @footnote should not appear in @deffn
ghdl.texi:18155: warning: @footnote should not appear in @deffn
ghdl.texi:18164: warning: @footnote should not appear in @deffn
ghdl.texi:18182: warning: @footnote should not appear in @deffn
ghdl.texi:18191: warning: @footnote should not appear in @deffn
ghdl.texi:18205: warning: @footnote should not appear in @deffn
ghdl.texi:18237: warning: @footnote should not appear in @deffn
ghdl.texi:18246: warning: @footnote should not appear in @deffn
ghdl.texi:18264: warning: @footnote should not appear in @deffn
ghdl.texi:18273: warning: @footnote should not appear in @deffn
ghdl.texi:18287: warning: @footnote should not appear in @deffn
ghdl.texi:18390: warning: @footnote should not appear in @deffn
ghdl.texi:18410: warning: @footnote should not appear in @deffn
ghdl.texi:18410: warning: @footnote should not appear in @deffn
ghdl.texi:18421: warning: @footnote should not appear in @deffn
ghdl.texi:18432: warning: @footnote should not appear in @deffn
ghdl.texi:18465: warning: @footnote should not appear in @deffn
ghdl.texi:18465: warning: @footnote should not appear in @deffn
ghdl.texi:18476: warning: @footnote should not appear in @deffn
ghdl.texi:18487: warning: @footnote should not appear in @deffn
ghdl.texi:18498: warning: @footnote should not appear in @deffn
ghdl.texi:18509: warning: @footnote should not appear in @deffn
ghdl.texi:18520: warning: @footnote should not appear in @deffn
ghdl.texi:18531: warning: @footnote should not appear in @deffn
ghdl.texi:18542: warning: @footnote should not appear in @deffn
ghdl.texi:18602: warning: @footnote should not appear in @deffn
ghdl.texi:18631: warning: @footnote should not appear in @deffn
ghdl.texi:18641: warning: @footnote should not appear in @deffn
ghdl.texi:18646: warning: @footnote should not appear in @deffn
ghdl.texi:18656: warning: @footnote should not appear in @deffn
ghdl.texi:18667: warning: @footnote should not appear in @deffn
ghdl.texi:18678: warning: @footnote should not appear in @deffn
ghdl.texi:18689: warning: @footnote should not appear in @deffn
ghdl.texi:18700: warning: @footnote should not appear in @deffn
ghdl.texi:18711: warning: @footnote should not appear in @deffn
ghdl.texi:18722: warning: @footnote should not appear in @deffn
ghdl.texi:18733: warning: @footnote should not appear in @deffn
ghdl.texi:18742: warning: @footnote should not appear in @deffn
ghdl.texi:18826: warning: @footnote should not appear in @deffn
ghdl.texi:18835: warning: @footnote should not appear in @deffn
ghdl.texi:18935: warning: @footnote should not appear in @deffn
ghdl.texi:18946: warning: @footnote should not appear in @deffn
ghdl.texi:18966: warning: @footnote should not appear in @deffn
ghdl.texi:18966: warning: @footnote should not appear in @deffn
ghdl.texi:18992: warning: @footnote should not appear in @deffn
ghdl.texi:19030: warning: @footnote should not appear in @deffn
ghdl.texi:19039: warning: @footnote should not appear in @deffn
ghdl.texi:19050: warning: @footnote should not appear in @deffn
ghdl.texi:19070: warning: @footnote should not appear in @deffn
ghdl.texi:19070: warning: @footnote should not appear in @deffn
ghdl.texi:19084: warning: @footnote should not appear in @deffn
ghdl.texi:19101: warning: @footnote should not appear in @deffn
ghdl.texi:19143: warning: @footnote should not appear in @deffn
ghdl.texi:19154: warning: @footnote should not appear in @deffn
ghdl.texi:19174: warning: @footnote should not appear in @deffn
ghdl.texi:19174: warning: @footnote should not appear in @deffn
ghdl.texi:19200: warning: @footnote should not appear in @deffn
ghdl.texi:19238: warning: @footnote should not appear in @deffn
ghdl.texi:19249: warning: @footnote should not appear in @deffn
ghdl.texi:19269: warning: @footnote should not appear in @deffn
ghdl.texi:19269: warning: @footnote should not appear in @deffn
ghdl.texi:19295: warning: @footnote should not appear in @deffn
ghdl.texi:19337: warning: @footnote should not appear in @deffn
ghdl.texi:19348: warning: @footnote should not appear in @deffn
ghdl.texi:19368: warning: @footnote should not appear in @deffn
ghdl.texi:19368: warning: @footnote should not appear in @deffn
ghdl.texi:19394: warning: @footnote should not appear in @deffn
ghdl.texi:19432: warning: @footnote should not appear in @deffn
ghdl.texi:19443: warning: @footnote should not appear in @deffn
ghdl.texi:19463: warning: @footnote should not appear in @deffn
ghdl.texi:19463: warning: @footnote should not appear in @deffn
ghdl.texi:19489: warning: @footnote should not appear in @deffn
ghdl.texi:19576: warning: @footnote should not appear in @deffn
ghdl.texi:19596: warning: @footnote should not appear in @deffn
ghdl.texi:19628: warning: @footnote should not appear in @deffn
ghdl.texi:19657: warning: @footnote should not appear in @deffn
ghdl.texi:19677: warning: @footnote should not appear in @deffn
ghdl.texi:19709: warning: @footnote should not appear in @deffn
ghdl.texi:19738: warning: @footnote should not appear in @deffn
ghdl.texi:19758: warning: @footnote should not appear in @deffn
ghdl.texi:19790: warning: @footnote should not appear in @deffn
ghdl.texi:19819: warning: @footnote should not appear in @deffn
ghdl.texi:19828: warning: @footnote should not appear in @deffn
ghdl.texi:19853: warning: @footnote should not appear in @deffn
ghdl.texi:19923: warning: @footnote should not appear in @deffn
ghdl.texi:19941: warning: @footnote should not appear in @deffn
ghdl.texi:19971: warning: @footnote should not appear in @deffn
ghdl.texi:20114: warning: @footnote should not appear in @deffn
ghdl.texi:20125: warning: @footnote should not appear in @deffn
ghdl.texi:20181: warning: @footnote should not appear in @deffn
ghdl.texi:20192: warning: @footnote should not appear in @deffn
ghdl.texi:20239: warning: @footnote should not appear in @deffn
ghdl.texi:20250: warning: @footnote should not appear in @deffn
ghdl.texi:20292: warning: @footnote should not appear in @deffn
ghdl.texi:20301: warning: @footnote should not appear in @deffn
ghdl.texi:20310: warning: @footnote should not appear in @deffn
ghdl.texi:20319: warning: @footnote should not appear in @deffn
ghdl.texi:20330: warning: @footnote should not appear in @deffn
ghdl.texi:20355: warning: @footnote should not appear in @deffn
ghdl.texi:20365: warning: @footnote should not appear in @deffn
ghdl.texi:20377: warning: @footnote should not appear in @deffn
ghdl.texi:20410: warning: @footnote should not appear in @deffn
ghdl.texi:20464: warning: @footnote should not appear in @deffn
ghdl.texi:20484: warning: @footnote should not appear in @deffn
ghdl.texi:20536: warning: @footnote should not appear in @deffn
ghdl.texi:20545: warning: @footnote should not appear in @deffn
ghdl.texi:20565: warning: @footnote should not appear in @deffn
ghdl.texi:20579: warning: @footnote should not appear in @deffn
ghdl.texi:20622: warning: @footnote should not appear in @deffn
ghdl.texi:20631: warning: @footnote should not appear in @deffn
ghdl.texi:20651: warning: @footnote should not appear in @deffn
ghdl.texi:20708: warning: @footnote should not appear in @deffn
ghdl.texi:20717: warning: @footnote should not appear in @deffn
ghdl.texi:20728: warning: @footnote should not appear in @deffn
ghdl.texi:20767: warning: @footnote should not appear in @deffn
ghdl.texi:20779: warning: @footnote should not appear in @deffn
ghdl.texi:20812: warning: @footnote should not appear in @deffn
ghdl.texi:20823: warning: @footnote should not appear in @deffn
ghdl.texi:20832: warning: @footnote should not appear in @deffn
ghdl.texi:20852: warning: @footnote should not appear in @deffn
ghdl.texi:20861: warning: @footnote should not appear in @deffn
ghdl.texi:20875: warning: @footnote should not appear in @deffn
ghdl.texi:20880: warning: @footnote should not appear in @deffn
ghdl.texi:20892: warning: @footnote should not appear in @deffn
ghdl.texi:20930: warning: @footnote should not appear in @deffn
ghdl.texi:20941: warning: @footnote should not appear in @deffn
ghdl.texi:20961: warning: @footnote should not appear in @deffn
ghdl.texi:20970: warning: @footnote should not appear in @deffn
ghdl.texi:20984: warning: @footnote should not appear in @deffn
ghdl.texi:20996: warning: @footnote should not appear in @deffn
ghdl.texi:21003: warning: @footnote should not appear in @deffn
ghdl.texi:21034: warning: @footnote should not appear in @deffn
ghdl.texi:21045: warning: @footnote should not appear in @deffn
ghdl.texi:21054: warning: @footnote should not appear in @deffn
ghdl.texi:21074: warning: @footnote should not appear in @deffn
ghdl.texi:21095: warning: @footnote should not appear in @deffn
ghdl.texi:21147: warning: @footnote should not appear in @deffn
ghdl.texi:21167: warning: @footnote should not appear in @deffn
ghdl.texi:21208: warning: @footnote should not appear in @deffn
ghdl.texi:21256: warning: @footnote should not appear in @deffn
ghdl.texi:21276: warning: @footnote should not appear in @deffn
ghdl.texi:21317: warning: @footnote should not appear in @deffn
ghdl.texi:21351: warning: @footnote should not appear in @deffn
ghdl.texi:21362: warning: @footnote should not appear in @deffn
ghdl.texi:21394: warning: @footnote should not appear in @deffn
ghdl.texi:21436: warning: @footnote should not appear in @deffn
ghdl.texi:21447: warning: @footnote should not appear in @deffn
ghdl.texi:21467: warning: @footnote should not appear in @deffn
ghdl.texi:21490: warning: @footnote should not appear in @deffn
ghdl.texi:21507: warning: @footnote should not appear in @deffn
ghdl.texi:21591: warning: @footnote should not appear in @deffn
ghdl.texi:21614: warning: @footnote should not appear in @deffn
ghdl.texi:21623: warning: @footnote should not appear in @deffn
ghdl.texi:21634: warning: @footnote should not appear in @deffn
ghdl.texi:21643: warning: @footnote should not appear in @deffn
ghdl.texi:21652: warning: @footnote should not appear in @deffn
ghdl.texi:21672: warning: @footnote should not appear in @deffn
ghdl.texi:21681: warning: @footnote should not appear in @deffn
ghdl.texi:21705: warning: @footnote should not appear in @deffn
ghdl.texi:21717: warning: @footnote should not appear in @deffn
ghdl.texi:21769: warning: @footnote should not appear in @deffn
ghdl.texi:21778: warning: @footnote should not appear in @deffn
ghdl.texi:21789: warning: @footnote should not appear in @deffn
ghdl.texi:21798: warning: @footnote should not appear in @deffn
ghdl.texi:21807: warning: @footnote should not appear in @deffn
ghdl.texi:21827: warning: @footnote should not appear in @deffn
ghdl.texi:21836: warning: @footnote should not appear in @deffn
ghdl.texi:21863: warning: @footnote should not appear in @deffn
ghdl.texi:21948: warning: @footnote should not appear in @deffn
ghdl.texi:21957: warning: @footnote should not appear in @deffn
ghdl.texi:21986: warning: @footnote should not appear in @deffn
ghdl.texi:22005: warning: @footnote should not appear in @deffn
ghdl.texi:22010: warning: @footnote should not appear in @deffn
ghdl.texi:22039: warning: @footnote should not appear in @deffn
ghdl.texi:22068: warning: @footnote should not appear in @deffn
ghdl.texi:22077: warning: @footnote should not appear in @deffn
ghdl.texi:22096: warning: @footnote should not appear in @deffn
ghdl.texi:22101: warning: @footnote should not appear in @deffn
ghdl.texi:22135: warning: @footnote should not appear in @deffn
ghdl.texi:22155: warning: @footnote should not appear in @deffn
ghdl.texi:22173: warning: @footnote should not appear in @deffn
ghdl.texi:22182: warning: @footnote should not appear in @deffn
ghdl.texi:22245: warning: @footnote should not appear in @deffn
ghdl.texi:22245: warning: @footnote should not appear in @deffn
ghdl.texi:22245: warning: @footnote should not appear in @deffn
ghdl.texi:22254: warning: @footnote should not appear in @deffn
ghdl.texi:22283: warning: @footnote should not appear in @deffn
ghdl.texi:22292: warning: @footnote should not appear in @deffn
ghdl.texi:22355: warning: @footnote should not appear in @deffn
ghdl.texi:22355: warning: @footnote should not appear in @deffn
ghdl.texi:22355: warning: @footnote should not appear in @deffn
ghdl.texi:22355: warning: @footnote should not appear in @deffn
ghdl.texi:22355: warning: @footnote should not appear in @deffn
ghdl.texi:22364: warning: @footnote should not appear in @deffn
ghdl.texi:22393: warning: @footnote should not appear in @deffn
ghdl.texi:22412: warning: @footnote should not appear in @deffn
ghdl.texi:22417: warning: @footnote should not appear in @deffn
ghdl.texi:22451: warning: @footnote should not appear in @deffn
ghdl.texi:22451: warning: @footnote should not appear in @deffn
ghdl.texi:22451: warning: @footnote should not appear in @deffn
ghdl.texi:22451: warning: @footnote should not appear in @deffn
ghdl.texi:22460: warning: @footnote should not appear in @deffn
ghdl.texi:22489: warning: @footnote should not appear in @deffn
ghdl.texi:22508: warning: @footnote should not appear in @deffn
ghdl.texi:22513: warning: @footnote should not appear in @deffn
ghdl.texi:22636: warning: @footnote should not appear in @deffn
ghdl.texi:22647: warning: @footnote should not appear in @deffn
ghdl.texi:22679: warning: @footnote should not appear in @deffn
ghdl.texi:22712: warning: @footnote should not appear in @deffn
ghdl.texi:22723: warning: @footnote should not appear in @deffn
ghdl.texi:22732: warning: @footnote should not appear in @deffn
ghdl.texi:22769: warning: @footnote should not appear in @deffn
ghdl.texi:22793: warning: @footnote should not appear in @deffn
ghdl.texi:22804: warning: @footnote should not appear in @deffn
ghdl.texi:22824: warning: @footnote should not appear in @deffn
ghdl.texi:22824: warning: @footnote should not appear in @deffn
ghdl.texi:22838: warning: @footnote should not appear in @deffn
ghdl.texi:22860: warning: @footnote should not appear in @deffn
ghdl.texi:22893: warning: @footnote should not appear in @deffn
ghdl.texi:22904: warning: @footnote should not appear in @deffn
ghdl.texi:22924: warning: @footnote should not appear in @deffn
ghdl.texi:22933: warning: @footnote should not appear in @deffn
ghdl.texi:22933: warning: @footnote should not appear in @deffn
ghdl.texi:22942: warning: @footnote should not appear in @deffn
ghdl.texi:22942: warning: @footnote should not appear in @deffn
ghdl.texi:22956: warning: @footnote should not appear in @deffn
ghdl.texi:22961: warning: @footnote should not appear in @deffn
ghdl.texi:22988: warning: @footnote should not appear in @deffn
ghdl.texi:23021: warning: @footnote should not appear in @deffn
ghdl.texi:23030: warning: @footnote should not appear in @deffn
ghdl.texi:23039: warning: @footnote should not appear in @deffn
ghdl.texi:23050: warning: @footnote should not appear in @deffn
ghdl.texi:23080: warning: @footnote should not appear in @deffn
ghdl.texi:23092: warning: @footnote should not appear in @deffn
ghdl.texi:23125: warning: @footnote should not appear in @deffn
ghdl.texi:23136: warning: @footnote should not appear in @deffn
ghdl.texi:23156: warning: @footnote should not appear in @deffn
ghdl.texi:23156: warning: @footnote should not appear in @deffn
ghdl.texi:23182: warning: @footnote should not appear in @deffn
ghdl.texi:23215: warning: @footnote should not appear in @deffn
ghdl.texi:23224: warning: @footnote should not appear in @deffn
ghdl.texi:23235: warning: @footnote should not appear in @deffn
ghdl.texi:23260: warning: @footnote should not appear in @deffn
ghdl.texi:23272: warning: @footnote should not appear in @deffn
ghdl.texi:23305: warning: @footnote should not appear in @deffn
ghdl.texi:23316: warning: @footnote should not appear in @deffn
ghdl.texi:23316: warning: @footnote should not appear in @deffn
ghdl.texi:23325: warning: @footnote should not appear in @deffn
ghdl.texi:23362: warning: @footnote should not appear in @deffn
ghdl.texi:23395: warning: @footnote should not appear in @deffn
ghdl.texi:23406: warning: @footnote should not appear in @deffn
ghdl.texi:23406: warning: @footnote should not appear in @deffn
ghdl.texi:23415: warning: @footnote should not appear in @deffn
ghdl.texi:23452: warning: @footnote should not appear in @deffn
ghdl.texi:23490: warning: @footnote should not appear in @deffn
ghdl.texi:23501: warning: @footnote should not appear in @deffn
ghdl.texi:23538: warning: @footnote should not appear in @deffn
ghdl.texi:23576: warning: @footnote should not appear in @deffn
ghdl.texi:23587: warning: @footnote should not appear in @deffn
ghdl.texi:23624: warning: @footnote should not appear in @deffn
ghdl.texi:23648: warning: @footnote should not appear in @deffn
ghdl.texi:23657: warning: @footnote should not appear in @deffn
ghdl.texi:23668: warning: @footnote should not appear in @deffn
ghdl.texi:23688: warning: @footnote should not appear in @deffn
ghdl.texi:23697: warning: @footnote should not appear in @deffn
ghdl.texi:23706: warning: @footnote should not appear in @deffn
ghdl.texi:23725: warning: @footnote should not appear in @deffn
ghdl.texi:23747: warning: @footnote should not appear in @deffn
ghdl.texi:24194: warning: @footnote should not appear in @deffn
ghdl.texi:24461: warning: @footnote should not appear in @deffn
ghdl.texi:24494: warning: @footnote should not appear in @deffn
ghdl.texi:24499: warning: @footnote should not appear in @deffn
ghdl.texi:24504: warning: @footnote should not appear in @deffn
ghdl.texi:24520: warning: @footnote should not appear in @deffn
ghdl.texi:24529: warning: @footnote should not appear in @deffn
ghdl.texi:24538: warning: @footnote should not appear in @deffn
ghdl.texi:55959: warning: @footnote should not appear in @deffn
checking whether arm-linux-gnueabihf-gcc-12 supports -W... yes
checking whether arm-linux-gnueabihf-gcc-12 supports -Wall... yes
checking for arm-linux-gnueabihf-objdump... /usr/bin/arm-linux-gnueabihf-objdump
checking what objdump to use... /usr/bin/arm-linux-gnueabihf-objdump
checking for socket libraries... checking for connect... yes
checking for gethostbyname... yes

checking for exported symbols... arm-linux-gnueabihf-g++-12 -c  -DBASEVER="\"12.2.0\"" -DDATESTAMP="\"\"" -DREVISION="\"\"" -DDEVPHASE="\"\"" -DPKGVERSION="\"(Raspbian 12.2.0-14+rpi1) \"" -DBUGURL="\"<file:///usr/share/doc/gcc-12/README.Bugs>\"" -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include  -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \
	-o build/genversion.o ../../src/gcc/genversion.cc
yes
checking for -rdynamic... yes
checking for library containing dlopen... none required
checking for -fPIC -shared... yes
checking for socketpair... arm-linux-gnueabihf-g++-12 -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings  -Wno-error -DHAVE_CONFIG_H  -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include  -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \
	-o build/gengtype-lex.o ../../src/gcc/gengtype-lex.cc
yes
checking for select... yes
checking for fork... yes
configure: updating cache ./config.cache
checking that generated files are newer than configure... done
configure: creating ./config.status
/home/rguenther/src/releases/gcc-12.2.0/gcc-12.2.0/gcc/gengtype-lex.l: In function 'int yylex(const char**)':
gengtype-lex.cc:356:15: warning: this statement may fall through [-Wimplicit-fallthrough=]
/home/rguenther/src/releases/gcc-12.2.0/gcc-12.2.0/gcc/gengtype-lex.l:119:1: note: in expansion of macro 'YY_DO_BEFORE_ACTION'
/home/rguenther/src/releases/gcc-12.2.0/gcc-12.2.0/gcc/gengtype-lex.l:114:1: note: here
gengtype-lex.cc:356:15: warning: this statement may fall through [-Wimplicit-fallthrough=]
/home/rguenther/src/releases/gcc-12.2.0/gcc-12.2.0/gcc/gengtype-lex.l:139:1: note: in expansion of macro 'YY_DO_BEFORE_ACTION'
/home/rguenther/src/releases/gcc-12.2.0/gcc-12.2.0/gcc/gengtype-lex.l:135:1: note: here
arm-linux-gnueabihf-g++-12 -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include  -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \
	-o build/gengtype-parse.o ../../src/gcc/gengtype-parse.cc
config.status: creating Makefile
config.status: creating cc1plugin-config.h
config.status: executing depfiles commands
config.status: executing libtool commands
arm-linux-gnueabihf-g++-12   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -static-libstdc++ -static-libgcc  -o build/gengenrtl \
    build/gengenrtl.o build/errors.o ../build-arm-linux-gnueabihf/libiberty/libiberty.a
arm-linux-gnueabihf-g++-12   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -static-libstdc++ -static-libgcc  -o build/genhooks \
    build/genhooks.o build/errors.o ../build-arm-linux-gnueabihf/libiberty/libiberty.a
arm-linux-gnueabihf-g++-12   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -static-libstdc++ -static-libgcc  -o build/genchecksum \
    build/genchecksum.o ../build-arm-linux-gnueabihf/libiberty/libiberty.a
gawk -f ../../src/gcc/opt-functions.awk -f ../../src/gcc/opt-read.awk \
       -f ../../src/gcc/optc-save-gen.awk \
       -v header_name="config.h system.h coretypes.h tm.h" < optionlist > options-save.cc
gawk -f ../../src/gcc/opt-functions.awk -f ../../src/gcc/opt-read.awk \
       -f ../../src/gcc/optc-gen.awk \
       -v header_name="config.h system.h coretypes.h options.h tm.h" < optionlist > options.cc
cat ../../src/gcc/doc/tm.texi.in > tmp-tm.texi
echo timestamp > s-tm-texi
arm-linux-gnueabihf-g++-12   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -static-libstdc++ -static-libgcc  -o build/genmodes \
    build/genmodes.o build/errors.o ../build-arm-linux-gnueabihf/libiberty/libiberty.a
arm-linux-gnueabihf-g++-12   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -static-libstdc++ -static-libgcc  \
	build/genversion.o -o build/genversion
gawk -f ../../src/gcc/opt-functions.awk -f ../../src/gcc/opt-read.awk \
       -f ../../src/gcc/opth-gen.awk \
       < optionlist > tmp-options.h
build/gengenrtl > tmp-genrtl.h
/bin/bash ../../src/gcc/../move-if-change tmp-genrtl.h genrtl.h
echo timestamp > s-genrtl-h
build/genmodes -m > tmp-min-modes.cc
/bin/bash ../../src/gcc/../move-if-change tmp-min-modes.cc min-insn-modes.cc
echo timestamp > s-modes-m
build/genhooks "Target Hook" \
				     > tmp-target-hooks-def.h
/bin/bash ../../src/gcc/../move-if-change tmp-target-hooks-def.h \
				     target-hooks-def.h
echo timestamp > s-target-hooks-def-h
build/genhooks "Common Target Hook" \
				     > tmp-common-target-hooks-def.h
/bin/bash ../../src/gcc/../move-if-change tmp-common-target-hooks-def.h \
				     common/common-target-hooks-def.h
echo timestamp > s-common-target-hooks-def-h
build/genhooks "C Target Hook" \
				     > tmp-c-target-hooks-def.h
/bin/bash ../../src/gcc/../move-if-change tmp-c-target-hooks-def.h \
				     c-family/c-target-hooks-def.h
echo timestamp > s-c-target-hooks-def-h
build/genhooks "D Target Hook" \
				     > tmp-d-target-hooks-def.h
/bin/bash ../../src/gcc/../move-if-change tmp-d-target-hooks-def.h \
				     d/d-target-hooks-def.h
echo timestamp > s-d-target-hooks-def-h
build/genmodes > tmp-modes.cc
/bin/bash ../../src/gcc/../move-if-change tmp-modes.cc insn-modes.cc
echo timestamp > s-modes
build/genmodes -h > tmp-modes.h
/bin/bash ../../src/gcc/../move-if-change tmp-modes.h insn-modes.h
echo timestamp > s-modes-h
build/genmodes -i > tmp-modes-inline.h
/bin/bash ../../src/gcc/../move-if-change tmp-modes-inline.h \
  insn-modes-inline.h
echo timestamp > s-modes-inline-h
build/genversion > tmp-version.h
/bin/bash ../../src/gcc/../move-if-change tmp-version.h version.h
echo timestamp > s-version
if [ xinfo = xinfo ]; then \
	makeinfo --split-size=5000000 --split-size=5000000 --no-split -I . -I ../../src/gcc/doc \
		-I ../../src/gcc/doc/include -o doc/gccint.info ../../src/gcc/doc/gccint.texi; \
fi
gccint.texi: warning: document without nodes
arm-linux-gnueabihf-g++-12 -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include  -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \
	-o build/genconstants.o ../../src/gcc/genconstants.cc
/bin/bash ../../src/gcc/../move-if-change tmp-options.h options.h
echo timestamp > s-options-h
arm-linux-gnueabihf-g++-12 -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include  -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \
	-o build/min-insn-modes.o min-insn-modes.cc
arm-linux-gnueabihf-g++-12 -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include  -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \
	-o build/inchash.o ../../src/gcc/inchash.cc
arm-linux-gnueabihf-g++-12 -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include  -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \
	-o build/genenums.o ../../src/gcc/genenums.cc
arm-linux-gnueabihf-g++-12 -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include  -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \
	-o build/genmddeps.o ../../src/gcc/genmddeps.cc
arm-linux-gnueabihf-g++-12 -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include  -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \
	-o build/read-md.o ../../src/gcc/read-md.cc
arm-linux-gnueabihf-g++-12 -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include  -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \
	-o build/gengtype.o ../../src/gcc/gengtype.cc
arm-linux-gnueabihf-g++-12 -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include  -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \
	-o build/gengtype-state.o ../../src/gcc/gengtype-state.cc
arm-linux-gnueabihf-g++-12   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -static-libstdc++ -static-libgcc  -o build/genconstants \
    build/genconstants.o build/read-md.o build/errors.o ../build-arm-linux-gnueabihf/libiberty/libiberty.a
arm-linux-gnueabihf-g++-12   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -static-libstdc++ -static-libgcc  -o build/genenums \
    build/genenums.o build/read-md.o build/errors.o ../build-arm-linux-gnueabihf/libiberty/libiberty.a
arm-linux-gnueabihf-g++-12   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -static-libstdc++ -static-libgcc  -o build/genmddeps \
    build/genmddeps.o build/read-md.o build/errors.o ../build-arm-linux-gnueabihf/libiberty/libiberty.a
build/genmddeps ../../src/gcc/common.md ../../src/gcc/config/arm/arm.md > tmp-mddeps
/bin/bash ../../src/gcc/../move-if-change tmp-mddeps mddeps.mk
echo timestamp > s-mddeps
build/genconstants ../../src/gcc/common.md ../../src/gcc/config/arm/arm.md \
   > tmp-constants.h
/bin/bash ../../src/gcc/../move-if-change tmp-constants.h insn-constants.h
echo timestamp > s-constants
build/genenums ../../src/gcc/common.md ../../src/gcc/config/arm/arm.md \
   > tmp-enums.cc
/bin/bash ../../src/gcc/../move-if-change tmp-enums.cc insn-enums.cc
echo timestamp > s-enums
arm-linux-gnueabihf-g++-12 -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include  -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \
	-o build/gencheck.o ../../src/gcc/gencheck.cc
arm-linux-gnueabihf-g++-12   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -static-libstdc++ -static-libgcc  -o build/gencheck \
    build/gencheck.o ../build-arm-linux-gnueabihf/libiberty/libiberty.a
build/gencheck > tmp-check.h
/bin/bash ../../src/gcc/../move-if-change tmp-check.h tree-check.h
echo timestamp > s-check
arm-linux-gnueabihf-g++-12   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -static-libstdc++ -static-libgcc  -o build/gengtype \
    build/gengtype.o build/errors.o build/gengtype-lex.o build/gengtype-parse.o build/gengtype-state.o ../build-arm-linux-gnueabihf/libiberty/libiberty.a
build/gengtype  \
                    -S ../../src/gcc -I gtyp-input.list -w tmp-gtype.state
/bin/bash ../../src/gcc/../move-if-change tmp-gtype.state gtype.state
build/gengtype  \
                    -r gtype.state
echo timestamp > s-gtype
arm-linux-gnueabihf-g++-12 -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include  -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \
	-o build/genopinit.o ../../src/gcc/genopinit.cc
arm-linux-gnueabihf-g++-12 -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include  -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \
	-o build/gencfn-macros.o ../../src/gcc/gencfn-macros.cc
arm-linux-gnueabihf-g++-12 -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include  -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \
	-o build/genattrtab.o ../../src/gcc/genattrtab.cc
arm-linux-gnueabihf-g++-12 -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include  -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \
	-o build/genautomata.o ../../src/gcc/genautomata.cc
arm-linux-gnueabihf-g++-12 -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include  -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \
	-o build/genemit.o ../../src/gcc/genemit.cc
arm-linux-gnueabihf-g++-12 -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include  -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \
	-o build/genextract.o ../../src/gcc/genextract.cc
arm-linux-gnueabihf-g++-12 -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include  -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \
	-o build/genoutput.o ../../src/gcc/genoutput.cc
ghdl.texi:555: warning: could not find @image file `GHDL-figures/internals.txt' nor alternate text
ghdl.texi:56890: warning: could not find @image file `GHDL-figures/internals.txt' nor alternate text
arm-linux-gnueabihf-g++-12 -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include  -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \
	-o build/genpeep.o ../../src/gcc/genpeep.cc
arm-linux-gnueabihf-g++-12 -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include  -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \
	-o build/genrecog.o ../../src/gcc/genrecog.cc
arm-linux-gnueabihf-g++-12 -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include  -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \
	-o build/genpreds.o ../../src/gcc/genpreds.cc
arm-linux-gnueabihf-g++-12 -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include  -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \
	-o build/rtl.o ../../src/gcc/rtl.cc
arm-linux-gnueabihf-g++-12 -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include  -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \
	-o build/read-rtl.o ../../src/gcc/read-rtl.cc
arm-linux-gnueabihf-g++-12 -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include  -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \
	-o build/ggc-none.o ../../src/gcc/ggc-none.cc
arm-linux-gnueabihf-g++-12 -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include  -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \
	-o build/vec.o ../../src/gcc/vec.cc
arm-linux-gnueabihf-g++-12 -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include  -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \
	-o build/gensupport.o ../../src/gcc/gensupport.cc
arm-linux-gnueabihf-g++-12 -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include  -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \
	-o build/print-rtl.o ../../src/gcc/print-rtl.cc
arm-linux-gnueabihf-g++-12 -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include  -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \
	-o build/hash-table.o ../../src/gcc/hash-table.cc
arm-linux-gnueabihf-g++-12 -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include  -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \
	-o build/genflags.o ../../src/gcc/genflags.cc
arm-linux-gnueabihf-g++-12 -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include  -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \
	-o build/genconditions.o ../../src/gcc/genconditions.cc
arm-linux-gnueabihf-g++-12 -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include  -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \
	-o build/genattr.o ../../src/gcc/genattr.cc
arm-linux-gnueabihf-g++-12 -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include  -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \
	-o build/genattr-common.o ../../src/gcc/genattr-common.cc
arm-linux-gnueabihf-g++-12 -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include  -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \
	-o build/gencodes.o ../../src/gcc/gencodes.cc
arm-linux-gnueabihf-g++-12 -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include  -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \
	-o build/genconfig.o ../../src/gcc/genconfig.cc
arm-linux-gnueabihf-g++-12 -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include  -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \
	-o build/gentarget-def.o ../../src/gcc/gentarget-def.cc
arm-linux-gnueabihf-g++-12   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -static-libstdc++ -static-libgcc  -o build/genopinit \
    build/genopinit.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-arm-linux-gnueabihf/libiberty/libiberty.a
arm-linux-gnueabihf-g++-12   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -static-libstdc++ -static-libgcc  -o build/gencfn-macros \
    build/gencfn-macros.o build/errors.o build/hash-table.o build/vec.o build/ggc-none.o build/sort.o ../build-arm-linux-gnueabihf/libiberty/libiberty.a
arm-linux-gnueabihf-g++-12   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -static-libstdc++ -static-libgcc  -o build/genattrtab \
    build/genattrtab.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-arm-linux-gnueabihf/libiberty/libiberty.a
arm-linux-gnueabihf-g++-12   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -static-libstdc++ -static-libgcc  -o build/genautomata \
    build/genautomata.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-arm-linux-gnueabihf/libiberty/libiberty.a -lm
arm-linux-gnueabihf-g++-12   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -static-libstdc++ -static-libgcc  -o build/genemit \
    build/genemit.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-arm-linux-gnueabihf/libiberty/libiberty.a
arm-linux-gnueabihf-g++-12   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -static-libstdc++ -static-libgcc  -o build/genextract \
    build/genextract.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-arm-linux-gnueabihf/libiberty/libiberty.a
arm-linux-gnueabihf-g++-12   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -static-libstdc++ -static-libgcc  -o build/genoutput \
    build/genoutput.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-arm-linux-gnueabihf/libiberty/libiberty.a
arm-linux-gnueabihf-g++-12   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -static-libstdc++ -static-libgcc  -o build/genpeep \
    build/genpeep.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-arm-linux-gnueabihf/libiberty/libiberty.a
arm-linux-gnueabihf-g++-12   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -static-libstdc++ -static-libgcc  -o build/genrecog \
    build/genrecog.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o build/inchash.o ../build-arm-linux-gnueabihf/libiberty/libiberty.a
arm-linux-gnueabihf-g++-12   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -static-libstdc++ -static-libgcc  -o build/genpreds \
    build/genpreds.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-arm-linux-gnueabihf/libiberty/libiberty.a
arm-linux-gnueabihf-g++-12   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -static-libstdc++ -static-libgcc  -o build/genflags \
    build/genflags.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-arm-linux-gnueabihf/libiberty/libiberty.a
arm-linux-gnueabihf-g++-12   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -static-libstdc++ -static-libgcc  -o build/genconditions \
    build/genconditions.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-arm-linux-gnueabihf/libiberty/libiberty.a
build/genpreds -c ../../src/gcc/common.md ../../src/gcc/config/arm/arm.md > tmp-constrs.h
arm-linux-gnueabihf-g++-12   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -static-libstdc++ -static-libgcc  -o build/genattr \
    build/genattr.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-arm-linux-gnueabihf/libiberty/libiberty.a
arm-linux-gnueabihf-g++-12   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -static-libstdc++ -static-libgcc  -o build/genattr-common \
    build/genattr-common.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-arm-linux-gnueabihf/libiberty/libiberty.a
arm-linux-gnueabihf-g++-12   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -static-libstdc++ -static-libgcc  -o build/gencodes \
    build/gencodes.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-arm-linux-gnueabihf/libiberty/libiberty.a
/bin/bash ../../src/gcc/../move-if-change tmp-constrs.h tm-constrs.h
echo timestamp > s-constrs-h
arm-linux-gnueabihf-g++-12   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -static-libstdc++ -static-libgcc  -o build/genconfig \
    build/genconfig.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-arm-linux-gnueabihf/libiberty/libiberty.a
build/gencfn-macros -c \
  > tmp-case-cfn-macros.h
build/gencfn-macros -o \
  > tmp-cfn-operators.pd
/bin/bash ../../src/gcc/../move-if-change tmp-case-cfn-macros.h \
  case-cfn-macros.h
/bin/bash ../../src/gcc/../move-if-change tmp-cfn-operators.pd \
  cfn-operators.pd
echo timestamp > s-case-cfn-macros
echo timestamp > s-cfn-operators
build/genpreds ../../src/gcc/common.md ../../src/gcc/config/arm/arm.md > tmp-preds.cc
build/genpreds -h ../../src/gcc/common.md ../../src/gcc/config/arm/arm.md > tmp-preds.h
build/genconditions ../../src/gcc/common.md ../../src/gcc/config/arm/arm.md > tmp-condmd.cc
/bin/bash ../../src/gcc/../move-if-change tmp-preds.h tm-preds.h
/bin/bash ../../src/gcc/../move-if-change tmp-preds.cc insn-preds.cc
echo timestamp > s-preds-h
echo timestamp > s-preds
arm-linux-gnueabihf-g++-12 -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include  -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \
	-o build/genmatch.o ../../src/gcc/genmatch.cc
/bin/bash ../../src/gcc/../move-if-change tmp-condmd.cc build/gencondmd.cc
echo timestamp > s-conditions
arm-linux-gnueabihf-g++-12 -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include  -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \
	-o build/gencondmd.o build/gencondmd.cc
arm-linux-gnueabihf-g++-12   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -static-libstdc++ -static-libgcc  -o build/gentarget-def \
    build/gentarget-def.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-arm-linux-gnueabihf/libiberty/libiberty.a
arm-linux-gnueabihf-g++-12   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -static-libstdc++ -static-libgcc  -o build/gencondmd \
    build/gencondmd.o build/errors.o ../build-arm-linux-gnueabihf/libiberty/libiberty.a
build/gencondmd > tmp-cond.md
/bin/bash ../../src/gcc/../move-if-change tmp-cond.md insn-conditions.md
echo timestamp > s-condmd
build/genflags ../../src/gcc/common.md ../../src/gcc/config/arm/arm.md \
  insn-conditions.md > tmp-flags.h
build/genattr ../../src/gcc/common.md ../../src/gcc/config/arm/arm.md \
  insn-conditions.md > tmp-attr.h
build/genattr-common ../../src/gcc/common.md ../../src/gcc/config/arm/arm.md \
  insn-conditions.md > tmp-attr-common.h
/bin/bash ../../src/gcc/../move-if-change tmp-attr.h insn-attr.h
/bin/bash ../../src/gcc/../move-if-change tmp-attr-common.h insn-attr-common.h
echo timestamp > s-attr
build/gencodes ../../src/gcc/common.md ../../src/gcc/config/arm/arm.md \
  insn-conditions.md > tmp-codes.h
echo timestamp > s-attr-common
/bin/bash ../../src/gcc/../move-if-change tmp-flags.h insn-flags.h
build/genconfig ../../src/gcc/common.md ../../src/gcc/config/arm/arm.md \
  insn-conditions.md > tmp-config.h
echo timestamp > s-flags
build/gentarget-def ../../src/gcc/common.md ../../src/gcc/config/arm/arm.md \
  insn-conditions.md > tmp-target-def.h
/bin/bash ../../src/gcc/../move-if-change tmp-codes.h insn-codes.h
/bin/bash ../../src/gcc/../move-if-change tmp-config.h insn-config.h
echo timestamp > s-codes
echo timestamp > s-config
build/genopinit ../../src/gcc/common.md ../../src/gcc/config/arm/arm.md \
  insn-conditions.md -htmp-opinit.h -ctmp-opinit.cc
build/genattrtab ../../src/gcc/common.md ../../src/gcc/config/arm/arm.md insn-conditions.md \
	-Atmp-attrtab.cc -Dtmp-dfatab.cc -Ltmp-latencytab.cc
/bin/bash ../../src/gcc/../move-if-change tmp-target-def.h insn-target-def.h
echo timestamp > s-target-def
build/genautomata ../../src/gcc/common.md ../../src/gcc/config/arm/arm.md \
  insn-conditions.md > tmp-automata.cc
/bin/bash ../../src/gcc/../move-if-change tmp-opinit.h insn-opinit.h
/bin/bash ../../src/gcc/../move-if-change tmp-opinit.cc insn-opinit.cc
echo timestamp > s-opinit
build/genemit ../../src/gcc/common.md ../../src/gcc/config/arm/arm.md \
  insn-conditions.md > tmp-emit.cc
/bin/bash ../../src/gcc/../move-if-change tmp-emit.cc insn-emit.cc
echo timestamp > s-emit
build/genextract ../../src/gcc/common.md ../../src/gcc/config/arm/arm.md \
  insn-conditions.md > tmp-extract.cc
/bin/bash ../../src/gcc/../move-if-change tmp-extract.cc insn-extract.cc
echo timestamp > s-extract
build/genoutput ../../src/gcc/common.md ../../src/gcc/config/arm/arm.md \
  insn-conditions.md > tmp-output.cc
/bin/bash ../../src/gcc/../move-if-change tmp-output.cc insn-output.cc
echo timestamp > s-output
build/genpeep ../../src/gcc/common.md ../../src/gcc/config/arm/arm.md \
  insn-conditions.md > tmp-peep.cc
/bin/bash ../../src/gcc/../move-if-change tmp-peep.cc insn-peep.cc
echo timestamp > s-peep
build/genrecog ../../src/gcc/common.md ../../src/gcc/config/arm/arm.md \
  insn-conditions.md > tmp-recog.cc
Statistics for recog:
  Number of decisions:  34926
  longest path:           335 (code:    432)
  longest backtrack:       25 (code:    346)
Statistics for split_insns:
  Number of decisions:   1614
  longest path:            67 (code:     97)
  longest backtrack:       10 (code:     65)
Statistics for peephole2_insns:
  Number of decisions:    437
  longest path:           141 (code:     18)
  longest backtrack:       12 (code:     34)
Shared 27935 out of 56343 states by creating 5122 new states, saving 22813
/bin/bash ../../src/gcc/../move-if-change tmp-recog.cc insn-recog.cc
echo timestamp > s-recog
arm-linux-gnueabihf-g++-12   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -static-libstdc++ -static-libgcc  -o build/genmatch \
    build/genmatch.o ../build-arm-linux-gnueabihf/libcpp/libcpp.a build/errors.o build/vec.o build/hash-table.o build/sort.o ../build-arm-linux-gnueabihf/libiberty/libiberty.a
build/genmatch --gimple ../../src/gcc/match.pd \
    > tmp-gimple-match.cc
GIMPLE decision tree has 4127 leafs, maximum depth 28 and a total number of 17761 nodes
removed 2724 duplicate tails
build/genmatch --generic ../../src/gcc/match.pd \
    > tmp-generic-match.cc
GENERIC decision tree has 3770 leafs, maximum depth 13 and a total number of 15889 nodes
removed 2560 duplicate tails
/bin/bash ../../src/gcc/../move-if-change tmp-gimple-match.cc \
    					gimple-match.cc
/bin/bash ../../src/gcc/../move-if-change tmp-generic-match.cc \
    					generic-match.cc
echo timestamp > s-match
arm-linux-gnueabihf-g++-12  -fno-PIE -c  -DIN_GCC_FRONTEND -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -Ic -I../../src/gcc -I../../src/gcc/c -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o c/c-lang.o -MT c/c-lang.o -MMD -MP -MF c/.deps/c-lang.TPo ../../src/gcc/c/c-lang.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c  -DIN_GCC_FRONTEND -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/stub-objc.o -MT c-family/stub-objc.o -MMD -MP -MF c-family/.deps/stub-objc.TPo ../../src/gcc/c-family/stub-objc.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c  -DIN_GCC_FRONTEND -DIN_GCC_FRONTEND -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o attribs.o -MT attribs.o -MMD -MP -MF ./.deps/attribs.TPo ../../src/gcc/attribs.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c  -DIN_GCC_FRONTEND -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -Ic -I../../src/gcc -I../../src/gcc/c -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o c/c-errors.o -MT c/c-errors.o -MMD -MP -MF c/.deps/c-errors.TPo ../../src/gcc/c/c-errors.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c  -DIN_GCC_FRONTEND -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -Ic -I../../src/gcc -I../../src/gcc/c -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o c/c-decl.o -MT c/c-decl.o -MMD -MP -MF c/.deps/c-decl.TPo ../../src/gcc/c/c-decl.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c  -DIN_GCC_FRONTEND -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -Ic -I../../src/gcc -I../../src/gcc/c -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o c/c-typeck.o -MT c/c-typeck.o -MMD -MP -MF c/.deps/c-typeck.TPo ../../src/gcc/c/c-typeck.cc
/bin/bash ../../src/gcc/../move-if-change tmp-automata.cc insn-automata.cc
echo timestamp > s-automata
arm-linux-gnueabihf-g++-12  -fno-PIE -c  -DIN_GCC_FRONTEND -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -Ic -I../../src/gcc -I../../src/gcc/c -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o c/c-convert.o -MT c/c-convert.o -MMD -MP -MF c/.deps/c-convert.TPo ../../src/gcc/c/c-convert.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c  -DIN_GCC_FRONTEND -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -Ic -I../../src/gcc -I../../src/gcc/c -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o c/c-aux-info.o -MT c/c-aux-info.o -MMD -MP -MF c/.deps/c-aux-info.TPo ../../src/gcc/c/c-aux-info.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c  -DIN_GCC_FRONTEND -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -Ic -I../../src/gcc -I../../src/gcc/c -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o c/c-objc-common.o -MT c/c-objc-common.o -MMD -MP -MF c/.deps/c-objc-common.TPo ../../src/gcc/c/c-objc-common.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c  -DIN_GCC_FRONTEND -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -Ic -I../../src/gcc -I../../src/gcc/c -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o c/c-parser.o -MT c/c-parser.o -MMD -MP -MF c/.deps/c-parser.TPo ../../src/gcc/c/c-parser.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c  -DIN_GCC_FRONTEND -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -Ic -I../../src/gcc -I../../src/gcc/c -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o c/c-fold.o -MT c/c-fold.o -MMD -MP -MF c/.deps/c-fold.TPo ../../src/gcc/c/c-fold.cc
/bin/bash ../../src/gcc/../move-if-change tmp-attrtab.cc    insn-attrtab.cc
/bin/bash ../../src/gcc/../move-if-change tmp-dfatab.cc     insn-dfatab.cc
/bin/bash ../../src/gcc/../move-if-change tmp-latencytab.cc insn-latencytab.cc
echo timestamp > s-attrtab
arm-linux-gnueabihf-g++-12  -fno-PIE -c  -DIN_GCC_FRONTEND -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -Ic -I../../src/gcc -I../../src/gcc/c -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o c/gimple-parser.o -MT c/gimple-parser.o -MMD -MP -MF c/.deps/gimple-parser.TPo ../../src/gcc/c/gimple-parser.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c  -DIN_GCC_FRONTEND -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-common.o -MT c-family/c-common.o -MMD -MP -MF c-family/.deps/c-common.TPo ../../src/gcc/c-family/c-common.cc
../../src/gcc/c/gimple-parser.cc: In function 'void c_parser_parse_gimple_body(c_parser*, char*, c_declspec_il, profile_count)':
../../src/gcc/c/gimple-parser.cc:212:1: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
  212 | c_parser_parse_gimple_body (c_parser *cparser, char *gimple_pass,
      | ^~~~~~~~~~~~~~~~~~~~~~~~~~
arm-linux-gnueabihf-g++-12  -fno-PIE -c  -DIN_GCC_FRONTEND -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-cppbuiltin.o -MT c-family/c-cppbuiltin.o -MMD -MP -MF c-family/.deps/c-cppbuiltin.TPo ../../src/gcc/c-family/c-cppbuiltin.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c  -DIN_GCC_FRONTEND -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-dump.o -MT c-family/c-dump.o -MMD -MP -MF c-family/.deps/c-dump.TPo ../../src/gcc/c-family/c-dump.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c  -DIN_GCC_FRONTEND -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-format.o -MT c-family/c-format.o -MMD -MP -MF c-family/.deps/c-format.TPo ../../src/gcc/c-family/c-format.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c  -DIN_GCC_FRONTEND -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-gimplify.o -MT c-family/c-gimplify.o -MMD -MP -MF c-family/.deps/c-gimplify.TPo ../../src/gcc/c-family/c-gimplify.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c  -DIN_GCC_FRONTEND -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-indentation.o -MT c-family/c-indentation.o -MMD -MP -MF c-family/.deps/c-indentation.TPo ../../src/gcc/c-family/c-indentation.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c  -DIN_GCC_FRONTEND -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-lex.o -MT c-family/c-lex.o -MMD -MP -MF c-family/.deps/c-lex.TPo ../../src/gcc/c-family/c-lex.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c  -DIN_GCC_FRONTEND -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-omp.o -MT c-family/c-omp.o -MMD -MP -MF c-family/.deps/c-omp.TPo ../../src/gcc/c-family/c-omp.cc
../../src/gcc/c/c-parser.cc: In function 'void c_parser_declaration_or_fndef(c_parser*, bool, bool, bool, bool, bool, tree_node**, vec<c_token>*, bool, tree, oacc_routine_data*, bool*)':
../../src/gcc/c/c-parser.cc:2538:38: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 2538 |           c_parser_parse_gimple_body (parser, specs->gimple_or_rtl_pass,
      |           ~~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
 2539 |                                       specs->declspec_il,
      |                                       ~~~~~~~~~~~~~~~~~~~
 2540 |                                       specs->entry_bb_count);
      |                                       ~~~~~~~~~~~~~~~~~~~~~~
arm-linux-gnueabihf-g++-12  -fno-PIE -c  -DIN_GCC_FRONTEND -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-opts.o -MT c-family/c-opts.o -MMD -MP -MF c-family/.deps/c-opts.TPo ../../src/gcc/c-family/c-opts.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c  -DIN_GCC_FRONTEND -DHOST_MACHINE=\"arm-unknown-linux-gnueabihf\" -DTARGET_MACHINE=\"arm-unknown-linux-gnueabihf\" -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-pch.o -MT c-family/c-pch.o -MMD -MP -MF c-family/.deps/c-pch.TPo ../../src/gcc/c-family/c-pch.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c  -DIN_GCC_FRONTEND -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-ppoutput.o -MT c-family/c-ppoutput.o -MMD -MP -MF c-family/.deps/c-ppoutput.TPo ../../src/gcc/c-family/c-ppoutput.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c  -DIN_GCC_FRONTEND -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-pragma.o -MT c-family/c-pragma.o -MMD -MP -MF c-family/.deps/c-pragma.TPo ../../src/gcc/c-family/c-pragma.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c  -DIN_GCC_FRONTEND -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-pretty-print.o -MT c-family/c-pretty-print.o -MMD -MP -MF c-family/.deps/c-pretty-print.TPo ../../src/gcc/c-family/c-pretty-print.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c  -DIN_GCC_FRONTEND -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-semantics.o -MT c-family/c-semantics.o -MMD -MP -MF c-family/.deps/c-semantics.TPo ../../src/gcc/c-family/c-semantics.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c  -DIN_GCC_FRONTEND -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-ada-spec.o -MT c-family/c-ada-spec.o -MMD -MP -MF c-family/.deps/c-ada-spec.TPo ../../src/gcc/c-family/c-ada-spec.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c  -DIN_GCC_FRONTEND -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-ubsan.o -MT c-family/c-ubsan.o -MMD -MP -MF c-family/.deps/c-ubsan.TPo ../../src/gcc/c-family/c-ubsan.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c  -DIN_GCC_FRONTEND -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/known-headers.o -MT c-family/known-headers.o -MMD -MP -MF c-family/.deps/known-headers.TPo ../../src/gcc/c-family/known-headers.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c  -DIN_GCC_FRONTEND -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-attribs.o -MT c-family/c-attribs.o -MMD -MP -MF c-family/.deps/c-attribs.TPo ../../src/gcc/c-family/c-attribs.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c  -DIN_GCC_FRONTEND -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-warn.o -MT c-family/c-warn.o -MMD -MP -MF c-family/.deps/c-warn.TPo ../../src/gcc/c-family/c-warn.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c  -DIN_GCC_FRONTEND -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-spellcheck.o -MT c-family/c-spellcheck.o -MMD -MP -MF c-family/.deps/c-spellcheck.TPo ../../src/gcc/c-family/c-spellcheck.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c  -DIN_GCC_FRONTEND -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  \
	../../src/gcc/config/arm/arm-c.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c  -DIN_GCC_FRONTEND -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o glibc-c.o -MT glibc-c.o -MMD -MP -MF ./.deps/glibc-c.TPo ../../src/gcc/config/glibc-c.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings  -Wno-unused -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-match.o -MT gimple-match.o -MMD -MP -MF ./.deps/gimple-match.TPo gimple-match.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings  -Wno-unused -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o generic-match.o -MT generic-match.o -MMD -MP -MF ./.deps/generic-match.TPo generic-match.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o insn-emit.o -MT insn-emit.o -MMD -MP -MF ./.deps/insn-emit.TPo insn-emit.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o insn-extract.o -MT insn-extract.o -MMD -MP -MF ./.deps/insn-extract.TPo insn-extract.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o insn-modes.o -MT insn-modes.o -MMD -MP -MF ./.deps/insn-modes.TPo insn-modes.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o insn-opinit.o -MT insn-opinit.o -MMD -MP -MF ./.deps/insn-opinit.TPo insn-opinit.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o insn-output.o -MT insn-output.o -MMD -MP -MF ./.deps/insn-output.TPo insn-output.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o insn-peep.o -MT insn-peep.o -MMD -MP -MF ./.deps/insn-peep.TPo insn-peep.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o insn-preds.o -MT insn-preds.o -MMD -MP -MF ./.deps/insn-preds.TPo insn-preds.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o insn-recog.o -MT insn-recog.o -MMD -MP -MF ./.deps/insn-recog.TPo insn-recog.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o insn-enums.o -MT insn-enums.o -MMD -MP -MF ./.deps/insn-enums.TPo insn-enums.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o ggc-page.o -MT ggc-page.o -MMD -MP -MF ./.deps/ggc-page.TPo ../../src/gcc/ggc-page.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o adjust-alignment.o -MT adjust-alignment.o -MMD -MP -MF ./.deps/adjust-alignment.TPo ../../src/gcc/adjust-alignment.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o alias.o -MT alias.o -MMD -MP -MF ./.deps/alias.TPo ../../src/gcc/alias.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o alloc-pool.o -MT alloc-pool.o -MMD -MP -MF ./.deps/alloc-pool.TPo ../../src/gcc/alloc-pool.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o auto-inc-dec.o -MT auto-inc-dec.o -MMD -MP -MF ./.deps/auto-inc-dec.TPo ../../src/gcc/auto-inc-dec.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o auto-profile.o -MT auto-profile.o -MMD -MP -MF ./.deps/auto-profile.TPo ../../src/gcc/auto-profile.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o bb-reorder.o -MT bb-reorder.o -MMD -MP -MF ./.deps/bb-reorder.TPo ../../src/gcc/bb-reorder.cc
In file included from ../../src/gcc/dumpfile.h:24,
                 from ../../src/gcc/coretypes.h:491,
                 from ../../src/gcc/bb-reorder.cc:95:
../../src/gcc/profile-count.h: In member function 'profile_count profile_count::max(profile_count) const':
../../src/gcc/profile-count.h:1017:17: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 1017 |   profile_count max (profile_count other) const
      |                 ^~~
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o bitmap.o -MT bitmap.o -MMD -MP -MF ./.deps/bitmap.TPo ../../src/gcc/bitmap.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o builtins.o -MT builtins.o -MMD -MP -MF ./.deps/builtins.TPo ../../src/gcc/builtins.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o caller-save.o -MT caller-save.o -MMD -MP -MF ./.deps/caller-save.TPo ../../src/gcc/caller-save.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o calls.o -MT calls.o -MMD -MP -MF ./.deps/calls.TPo ../../src/gcc/calls.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o ccmp.o -MT ccmp.o -MMD -MP -MF ./.deps/ccmp.TPo ../../src/gcc/ccmp.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o cfg.o -MT cfg.o -MMD -MP -MF ./.deps/cfg.TPo ../../src/gcc/cfg.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o cfganal.o -MT cfganal.o -MMD -MP -MF ./.deps/cfganal.TPo ../../src/gcc/cfganal.cc
../../src/gcc/cfg.cc: In function 'void update_bb_profile_for_threading(basic_block, profile_count, edge)':
../../src/gcc/cfg.cc:912:1: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
  912 | update_bb_profile_for_threading (basic_block bb,
      | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
../../src/gcc/cfg.cc: In function 'void scale_bbs_frequencies_profile_count(basic_block_def**, int, profile_count, profile_count)':
../../src/gcc/cfg.cc:976:1: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
  976 | scale_bbs_frequencies_profile_count (basic_block *bbs, int nbbs,
      | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
../../src/gcc/cfg.cc:976:1: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o cfgbuild.o -MT cfgbuild.o -MMD -MP -MF ./.deps/cfgbuild.TPo ../../src/gcc/cfgbuild.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o cfgcleanup.o -MT cfgcleanup.o -MMD -MP -MF ./.deps/cfgcleanup.TPo ../../src/gcc/cfgcleanup.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o cfgexpand.o -MT cfgexpand.o -MMD -MP -MF ./.deps/cfgexpand.TPo ../../src/gcc/cfgexpand.cc
../../src/gcc/cfgcleanup.cc: In function 'bool try_crossjump_to_edge(int, edge, edge, replace_direction)':
../../src/gcc/cfgcleanup.cc:2116:27: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 2115 |       s->probability = s->probability.combine_with_count
      |                        ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
 2116 |                           (redirect_edges_to->count,
      |                           ^~~~~~~~~~~~~~~~~~~~~~~~~~
 2117 |                            s2->probability, src1->count);
      |                            ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o cfghooks.o -MT cfghooks.o -MMD -MP -MF ./.deps/cfghooks.TPo ../../src/gcc/cfghooks.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o cfgloop.o -MT cfgloop.o -MMD -MP -MF ./.deps/cfgloop.TPo ../../src/gcc/cfgloop.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o cfgloopanal.o -MT cfgloopanal.o -MMD -MP -MF ./.deps/cfgloopanal.TPo ../../src/gcc/cfgloopanal.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o cfgloopmanip.o -MT cfgloopmanip.o -MMD -MP -MF ./.deps/cfgloopmanip.TPo ../../src/gcc/cfgloopmanip.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o cfgrtl.o -MT cfgrtl.o -MMD -MP -MF ./.deps/cfgrtl.TPo ../../src/gcc/cfgrtl.cc
../../src/gcc/cfgloopmanip.cc: In function 'bool duplicate_loop_body_to_header_edge(loop*, edge, unsigned int, sbitmap, edge, vec<edge_def*>*, int)':
../../src/gcc/cfgloopmanip.cc:1299:53: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 1299 |                 scale_bbs_frequencies_profile_count (new_bbs + i, 1, after_exit_num,
      |                 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
 1300 |                                                      after_exit_den);
      |                                                      ~~~~~~~~~~~~~~~
../../src/gcc/cfgloopmanip.cc:1333:49: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 1333 |             scale_bbs_frequencies_profile_count (bbs + i, 1, after_exit_num,
      |             ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~
 1334 |                                                  after_exit_den);
      |                                                  ~~~~~~~~~~~~~~~
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o ctfc.o -MT ctfc.o -MMD -MP -MF ./.deps/ctfc.TPo ../../src/gcc/ctfc.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o ctfout.o -MT ctfout.o -MMD -MP -MF ./.deps/ctfout.TPo ../../src/gcc/ctfout.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o btfout.o -MT btfout.o -MMD -MP -MF ./.deps/btfout.TPo ../../src/gcc/btfout.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o symtab.o -MT symtab.o -MMD -MP -MF ./.deps/symtab.TPo ../../src/gcc/symtab.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o symtab-thunks.o -MT symtab-thunks.o -MMD -MP -MF ./.deps/symtab-thunks.TPo ../../src/gcc/symtab-thunks.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o symtab-clones.o -MT symtab-clones.o -MMD -MP -MF ./.deps/symtab-clones.TPo ../../src/gcc/symtab-clones.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o cgraph.o -MT cgraph.o -MMD -MP -MF ./.deps/cgraph.TPo ../../src/gcc/cgraph.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o cgraphbuild.o -MT cgraphbuild.o -MMD -MP -MF ./.deps/cgraphbuild.TPo ../../src/gcc/cgraphbuild.cc
In file included from ../../src/gcc/dumpfile.h:24,
                 from ../../src/gcc/coretypes.h:491,
                 from ../../src/gcc/cgraph.cc:29:
../../src/gcc/profile-count.h: In member function 'bool profile_count::compatible_p(profile_count) const':
../../src/gcc/profile-count.h:722:8: note: parameter passing for argument of type 'const profile_count' changed in GCC 9.1
  722 |   bool compatible_p (const profile_count other) const
      |        ^~~~~~~~~~~~
../../src/gcc/cgraphbuild.cc: In member function 'virtual unsigned int {anonymous}::pass_build_cgraph_edges::execute(function*)':
../../src/gcc/cgraphbuild.cc:322:35: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
  322 |                 node->create_edge (cgraph_node::get_create (decl), call_stmt, bb->count);
      |                 ~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
../../src/gcc/cgraphbuild.cc:326:44: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
  326 |                 node->create_indirect_edge (call_stmt,
      |                 ~~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~
  327 |                                             gimple_call_flags (call_stmt),
      |                                             ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
  328 |                                             bb->count);
      |                                             ~~~~~~~~~~
../../src/gcc/cgraphbuild.cc: In static member function 'static unsigned int cgraph_edge::rebuild_edges()':
../../src/gcc/cgraphbuild.cc:416:35: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
  416 |                 node->create_edge (cgraph_node::get_create (decl), call_stmt,
      |                 ~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
  417 |                                    bb->count);
      |                                    ~~~~~~~~~~
../../src/gcc/cgraphbuild.cc:421:44: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
  421 |                 node->create_indirect_edge (call_stmt,
      |                 ~~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~
  422 |                                             gimple_call_flags (call_stmt),
      |                                             ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
  423 |                                             bb->count);
      |                                             ~~~~~~~~~~
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o cgraphunit.o -MT cgraphunit.o -MMD -MP -MF ./.deps/cgraphunit.TPo ../../src/gcc/cgraphunit.cc
../../src/gcc/cgraph.cc: In member function 'cgraph_edge* symbol_table::create_edge(cgraph_node*, cgraph_node*, gcall*, profile_count, bool, bool)':
../../src/gcc/cgraph.cc:872:1: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
  872 | symbol_table::create_edge (cgraph_node *caller, cgraph_node *callee,
      | ^~~~~~~~~~~~
../../src/gcc/cgraph.cc: In member function 'cgraph_edge* cgraph_node::create_edge(cgraph_node*, gcall*, profile_count, bool)':
../../src/gcc/cgraph.cc:947:1: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
  947 | cgraph_node::create_edge (cgraph_node *callee,
      | ^~~~~~~~~~~
../../src/gcc/cgraph.cc:950:43: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
  950 |   cgraph_edge *edge = symtab->create_edge (this, callee, call_stmt, count,
      |                       ~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
  951 |                                            false, cloning_p);
      |                                            ~~~~~~~~~~~~~~~~~
../../src/gcc/cgraph.cc: In member function 'cgraph_edge* cgraph_edge::make_speculative(cgraph_node*, profile_count, unsigned int)':
../../src/gcc/cgraph.cc:1105:1: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 1105 | cgraph_edge::make_speculative (cgraph_node *n2, profile_count direct_count,
      | ^~~~~~~~~~~
../../src/gcc/cgraph.cc:1116:23: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 1116 |   e2 = n->create_edge (n2, call_stmt, direct_count);
      |        ~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~
../../src/gcc/cgraph.cc: In member function 'cgraph_edge* cgraph_node::create_indirect_edge(gcall*, int, profile_count, bool)':
../../src/gcc/cgraph.cc:987:1: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
  987 | cgraph_node::create_indirect_edge (gcall *call_stmt, int ecf_flags,
      | ^~~~~~~~~~~
../../src/gcc/cgraph.cc:991:43: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
  991 |   cgraph_edge *edge = symtab->create_edge (this, NULL, call_stmt, count, true,
      |                       ~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
  992 |                                            cloning_p);
      |                                            ~~~~~~~~~~
../../src/gcc/cgraph.cc: In function 'void cgraph_update_edges_for_call_stmt_node(cgraph_node*, gimple*, tree, gimple*)':
../../src/gcc/cgraph.cc:1681:34: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 1681 |           ne = node->create_edge (cgraph_node::get_create (new_call),
      |                ~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
 1682 |                                   as_a <gcall *> (new_stmt), count);
      |                                   ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
../../src/gcc/cgraphunit.cc: In function 'basic_block_def* init_lowered_empty_function(tree, bool, profile_count)':
../../src/gcc/cgraphunit.cc:1699:1: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 1699 | init_lowered_empty_function (tree decl, bool in_ssa, profile_count count)
      | ^~~~~~~~~~~~~~~~~~~~~~~~~~~
../../src/gcc/cgraphunit.cc: In member function 'void cgraph_node::analyze()':
../../src/gcc/cgraphunit.cc:631:19: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
  631 |       create_edge (t, NULL, t->count);
      |       ~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~
../../src/gcc/cgraphunit.cc:631:19: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o cgraphclones.o -MT cgraphclones.o -MMD -MP -MF ./.deps/cgraphclones.TPo ../../src/gcc/cgraphclones.cc
../../src/gcc/cgraphunit.cc: In member function 'void cgraph_node::create_wrapper(cgraph_node*)':
../../src/gcc/cgraphunit.cc:2579:15: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 2579 |   create_edge (target, NULL, count);
      |   ~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o combine.o -MT combine.o -MMD -MP -MF ./.deps/combine.TPo ../../src/gcc/combine.cc
../../src/gcc/cgraphclones.cc: In member function 'cgraph_edge* cgraph_edge::clone(cgraph_node*, gcall*, unsigned int, profile_count, profile_count, bool)':
../../src/gcc/cgraphclones.cc:95:1: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
   95 | cgraph_edge::clone (cgraph_node *n, gcall *call_stmt, unsigned stmt_uid,
      | ^~~~~~~~~~~
../../src/gcc/cgraphclones.cc:95:1: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
../../src/gcc/cgraphclones.cc:118:46: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
  118 |           new_edge = n->create_indirect_edge (call_stmt,
      |                      ~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~
  119 |                                               indirect_info->ecf_flags,
      |                                               ~~~~~~~~~~~~~~~~~~~~~~~~~
  120 |                                               prof_count, true);
      |                                               ~~~~~~~~~~~~~~~~~
../../src/gcc/cgraphclones.cc:114:37: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
  114 |           new_edge = n->create_edge (callee, call_stmt, prof_count, true);
      |                      ~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
../../src/gcc/cgraphclones.cc:126:33: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
  126 |       new_edge = n->create_edge (callee, call_stmt, prof_count, true);
      |                  ~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
../../src/gcc/cgraphclones.cc:148:49: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
  148 |     count = count.combine_with_ipa_count_within (count.ipa ()
      |             ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~
  149 |                                                  - new_edge->count.ipa (),
      |                                                  ~~~~~~~~~~~~~~~~~~~~~~~~~
  150 |                                                  caller->count);
      |                                                  ~~~~~~~~~~~~~~
../../src/gcc/cgraphclones.cc: In member function 'void cgraph_node::create_edge_including_clones(cgraph_node*, gimple*, gcall*, profile_count, cgraph_inline_failed_t)':
../../src/gcc/cgraphclones.cc:816:1: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
  816 | cgraph_node::create_edge_including_clones (cgraph_node *callee,
      | ^~~~~~~~~~~
../../src/gcc/cgraphclones.cc:825:39: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
  825 |       cgraph_edge *edge = create_edge (callee, stmt, count);
      |                           ~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~
../../src/gcc/cgraphclones.cc:845:40: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
  845 |               edge = node->create_edge (callee, stmt, count);
      |                      ~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~
../../src/gcc/cgraphclones.cc: In member function 'cgraph_node* cgraph_node::create_version_clone(tree, vec<cgraph_edge*>, bitmap, const char*)':
../../src/gcc/cgraphclones.cc:949:17: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
  949 |        e->clone (new_version, e->call_stmt,
      |        ~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~
  950 |                  e->lto_stmt_uid, count, count,
      |                  ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
  951 |                  true);
      |                  ~~~~~
../../src/gcc/cgraphclones.cc:949:17: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
../../src/gcc/cgraphclones.cc:955:17: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
  955 |        e->clone (new_version, e->call_stmt,
      |        ~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~
  956 |                  e->lto_stmt_uid, count, count,
      |                  ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
  957 |                  true);
      |                  ~~~~~
../../src/gcc/cgraphclones.cc:955:17: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
../../src/gcc/cgraphclones.cc: In function 'cgraph_node* duplicate_thunk_for_node(cgraph_node*, cgraph_node*)':
../../src/gcc/cgraphclones.cc:250:43: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
  250 |   cgraph_edge *e = new_thunk->create_edge (node, NULL, new_thunk->count);
      |                    ~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
../../src/gcc/cgraphclones.cc: In member function 'cgraph_node* cgraph_node::create_clone(tree, profile_count, bool, vec<cgraph_edge*>, bool, cgraph_node*, ipa_param_adjustments*, const char*)':
../../src/gcc/cgraphclones.cc:356:1: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
  356 | cgraph_node::create_clone (tree new_decl, profile_count prof_count,
      | ^~~~~~~~~~~
../../src/gcc/cgraphclones.cc:383:53: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
  383 |         count = count.combine_with_ipa_count_within (count.ipa ()
      |                 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~
  384 |                                                      - prof_count.ipa (),
      |                                                      ~~~~~~~~~~~~~~~~~~~~
  385 |                                                      inlined_to->count);
      |                                                      ~~~~~~~~~~~~~~~~~~
../../src/gcc/cgraphclones.cc:433:14: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
  433 |     e->clone (new_node, e->call_stmt, e->lto_stmt_uid, new_node->count, old_count,
      |     ~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
  434 |               update_original);
      |               ~~~~~~~~~~~~~~~~
../../src/gcc/cgraphclones.cc:433:14: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
../../src/gcc/cgraphclones.cc:437:14: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
  437 |     e->clone (new_node, e->call_stmt, e->lto_stmt_uid,
      |     ~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
  438 |               new_node->count, old_count, update_original);
      |               ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
../../src/gcc/cgraphclones.cc:437:14: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o combine-stack-adj.o -MT combine-stack-adj.o -MMD -MP -MF ./.deps/combine-stack-adj.TPo ../../src/gcc/combine-stack-adj.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o compare-elim.o -MT compare-elim.o -MMD -MP -MF ./.deps/compare-elim.TPo ../../src/gcc/compare-elim.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o context.o -MT context.o -MMD -MP -MF ./.deps/context.TPo ../../src/gcc/context.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o convert.o -MT convert.o -MMD -MP -MF ./.deps/convert.TPo ../../src/gcc/convert.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o coroutine-passes.o -MT coroutine-passes.o -MMD -MP -MF ./.deps/coroutine-passes.TPo ../../src/gcc/coroutine-passes.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o coverage.o -MT coverage.o -MMD -MP -MF ./.deps/coverage.TPo ../../src/gcc/coverage.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c  -DGCC_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/include\" -DFIXED_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/include-fixed\" -DGPLUSPLUS_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/../../../../include/c++/12\" -DGPLUSPLUS_INCLUDE_DIR_ADD_SYSROOT=0 -DGPLUSPLUS_TOOL_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/../../../../include/arm-linux-gnueabihf/c++/12\" -DGPLUSPLUS_BACKWARD_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/../../../../include/c++/12/backward\" -DGPLUSPLUS_LIBCXX_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/../../../../libc++_include/c++/12/v1\" -DGPLUSPLUS_LIBCXX_INCLUDE_DIR_ADD_SYSROOT=0 -DLOCAL_INCLUDE_DIR=\"/usr/local/include\" -DCROSS_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/../../../../arm-linux-gnueabihf/sys-include\" -DTOOL_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/../../../../arm-linux-gnueabihf/include\" -DNATIVE_SYSTEM_HEADER_DIR=\"/usr/include\" -DPREFIX=\"/usr/lib/ghdl/gcc/\" -DSTANDARD_EXEC_PREFIX=\"/usr/lib/ghdl/gcc/lib/gcc/\"  -DBASEVER="\"12.2.0\"" -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o cppbuiltin.o -MT cppbuiltin.o -MMD -MP -MF ./.deps/cppbuiltin.TPo ../../src/gcc/cppbuiltin.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c  -DGCC_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/include\" -DFIXED_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/include-fixed\" -DGPLUSPLUS_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/../../../../include/c++/12\" -DGPLUSPLUS_INCLUDE_DIR_ADD_SYSROOT=0 -DGPLUSPLUS_TOOL_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/../../../../include/arm-linux-gnueabihf/c++/12\" -DGPLUSPLUS_BACKWARD_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/../../../../include/c++/12/backward\" -DGPLUSPLUS_LIBCXX_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/../../../../libc++_include/c++/12/v1\" -DGPLUSPLUS_LIBCXX_INCLUDE_DIR_ADD_SYSROOT=0 -DLOCAL_INCLUDE_DIR=\"/usr/local/include\" -DCROSS_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/../../../../arm-linux-gnueabihf/sys-include\" -DTOOL_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/../../../../arm-linux-gnueabihf/include\" -DNATIVE_SYSTEM_HEADER_DIR=\"/usr/include\" -DPREFIX=\"/usr/lib/ghdl/gcc/\" -DSTANDARD_EXEC_PREFIX=\"/usr/lib/ghdl/gcc/lib/gcc/\"  -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o cppdefault.o -MT cppdefault.o -MMD -MP -MF ./.deps/cppdefault.TPo ../../src/gcc/cppdefault.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o cprop.o -MT cprop.o -MMD -MP -MF ./.deps/cprop.TPo ../../src/gcc/cprop.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o cse.o -MT cse.o -MMD -MP -MF ./.deps/cse.TPo ../../src/gcc/cse.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o cselib.o -MT cselib.o -MMD -MP -MF ./.deps/cselib.TPo ../../src/gcc/cselib.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o data-streamer.o -MT data-streamer.o -MMD -MP -MF ./.deps/data-streamer.TPo ../../src/gcc/data-streamer.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o data-streamer-in.o -MT data-streamer-in.o -MMD -MP -MF ./.deps/data-streamer-in.TPo ../../src/gcc/data-streamer-in.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o data-streamer-out.o -MT data-streamer-out.o -MMD -MP -MF ./.deps/data-streamer-out.TPo ../../src/gcc/data-streamer-out.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o dbxout.o -MT dbxout.o -MMD -MP -MF ./.deps/dbxout.TPo ../../src/gcc/dbxout.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o dbgcnt.o -MT dbgcnt.o -MMD -MP -MF ./.deps/dbgcnt.TPo ../../src/gcc/dbgcnt.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o dce.o -MT dce.o -MMD -MP -MF ./.deps/dce.TPo ../../src/gcc/dce.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o ddg.o -MT ddg.o -MMD -MP -MF ./.deps/ddg.TPo ../../src/gcc/ddg.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o debug.o -MT debug.o -MMD -MP -MF ./.deps/debug.TPo ../../src/gcc/debug.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o df-core.o -MT df-core.o -MMD -MP -MF ./.deps/df-core.TPo ../../src/gcc/df-core.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o df-problems.o -MT df-problems.o -MMD -MP -MF ./.deps/df-problems.TPo ../../src/gcc/df-problems.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o df-scan.o -MT df-scan.o -MMD -MP -MF ./.deps/df-scan.TPo ../../src/gcc/df-scan.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings  -Wno-strict-aliasing -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o dfp.o -MT dfp.o -MMD -MP -MF ./.deps/dfp.TPo ../../src/gcc/dfp.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o digraph.o -MT digraph.o -MMD -MP -MF ./.deps/digraph.TPo ../../src/gcc/digraph.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o dojump.o -MT dojump.o -MMD -MP -MF ./.deps/dojump.TPo ../../src/gcc/dojump.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o dominance.o -MT dominance.o -MMD -MP -MF ./.deps/dominance.TPo ../../src/gcc/dominance.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o domwalk.o -MT domwalk.o -MMD -MP -MF ./.deps/domwalk.TPo ../../src/gcc/domwalk.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o double-int.o -MT double-int.o -MMD -MP -MF ./.deps/double-int.TPo ../../src/gcc/double-int.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o dse.o -MT dse.o -MMD -MP -MF ./.deps/dse.TPo ../../src/gcc/dse.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o dumpfile.o -MT dumpfile.o -MMD -MP -MF ./.deps/dumpfile.TPo ../../src/gcc/dumpfile.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o dwarf2asm.o -MT dwarf2asm.o -MMD -MP -MF ./.deps/dwarf2asm.TPo ../../src/gcc/dwarf2asm.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o dwarf2cfi.o -MT dwarf2cfi.o -MMD -MP -MF ./.deps/dwarf2cfi.TPo ../../src/gcc/dwarf2cfi.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o dwarf2ctf.o -MT dwarf2ctf.o -MMD -MP -MF ./.deps/dwarf2ctf.TPo ../../src/gcc/dwarf2ctf.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o dwarf2out.o -MT dwarf2out.o -MMD -MP -MF ./.deps/dwarf2out.TPo ../../src/gcc/dwarf2out.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o early-remat.o -MT early-remat.o -MMD -MP -MF ./.deps/early-remat.TPo ../../src/gcc/early-remat.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o emit-rtl.o -MT emit-rtl.o -MMD -MP -MF ./.deps/emit-rtl.TPo ../../src/gcc/emit-rtl.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o et-forest.o -MT et-forest.o -MMD -MP -MF ./.deps/et-forest.TPo ../../src/gcc/et-forest.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o except.o -MT except.o -MMD -MP -MF ./.deps/except.TPo ../../src/gcc/except.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o explow.o -MT explow.o -MMD -MP -MF ./.deps/explow.TPo ../../src/gcc/explow.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o expmed.o -MT expmed.o -MMD -MP -MF ./.deps/expmed.TPo ../../src/gcc/expmed.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o expr.o -MT expr.o -MMD -MP -MF ./.deps/expr.TPo ../../src/gcc/expr.cc
../../src/gcc/expmed.cc: In function 'rtx_def* extract_bit_field_1(rtx, poly_uint64, poly_uint64, int, rtx, machine_mode, machine_mode, bool, bool, rtx_def**)':
../../src/gcc/expmed.cc:1832:45: warning: '*(unsigned int*)((char*)&imode + offsetof(scalar_int_mode, scalar_int_mode::m_mode))' may be used uninitialized [-Wmaybe-uninitialized]
 1832 |       rtx sub = extract_bit_field_as_subreg (mode1, op0, imode,
      |                 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~
 1833 |                                              bitsize, bitnum);
      |                                              ~~~~~~~~~~~~~~~~
../../src/gcc/expmed.cc:1792:19: note: '*(unsigned int*)((char*)&imode + offsetof(scalar_int_mode, scalar_int_mode::m_mode))' was declared here
 1792 |   scalar_int_mode imode;
      |                   ^~~~~
../../src/gcc/expmed.cc: In function 'rtx_def* emit_store_flag_1(rtx, rtx_code, rtx, rtx, machine_mode, int, int, machine_mode)':
../../src/gcc/expmed.cc:5661:19: warning: '*(unsigned int*)((char*)&int_mode + offsetof(scalar_int_mode, scalar_int_mode::m_mode))' may be used uninitialized [-Wmaybe-uninitialized]
 5661 |   scalar_int_mode int_mode;
      |                   ^~~~~~~~
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o fibonacci_heap.o -MT fibonacci_heap.o -MMD -MP -MF ./.deps/fibonacci_heap.TPo ../../src/gcc/fibonacci_heap.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o file-prefix-map.o -MT file-prefix-map.o -MMD -MP -MF ./.deps/file-prefix-map.TPo ../../src/gcc/file-prefix-map.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o final.o -MT final.o -MMD -MP -MF ./.deps/final.TPo ../../src/gcc/final.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o fixed-value.o -MT fixed-value.o -MMD -MP -MF ./.deps/fixed-value.TPo ../../src/gcc/fixed-value.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o fold-const.o -MT fold-const.o -MMD -MP -MF ./.deps/fold-const.TPo ../../src/gcc/fold-const.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o fold-const-call.o -MT fold-const-call.o -MMD -MP -MF ./.deps/fold-const-call.TPo ../../src/gcc/fold-const-call.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o function.o -MT function.o -MMD -MP -MF ./.deps/function.TPo ../../src/gcc/function.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o function-abi.o -MT function-abi.o -MMD -MP -MF ./.deps/function-abi.TPo ../../src/gcc/function-abi.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o function-tests.o -MT function-tests.o -MMD -MP -MF ./.deps/function-tests.TPo ../../src/gcc/function-tests.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o fwprop.o -MT fwprop.o -MMD -MP -MF ./.deps/fwprop.TPo ../../src/gcc/fwprop.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o gcc-rich-location.o -MT gcc-rich-location.o -MMD -MP -MF ./.deps/gcc-rich-location.TPo ../../src/gcc/gcc-rich-location.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o gcse.o -MT gcse.o -MMD -MP -MF ./.deps/gcse.TPo ../../src/gcc/gcse.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o gcse-common.o -MT gcse-common.o -MMD -MP -MF ./.deps/gcse-common.TPo ../../src/gcc/gcse-common.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o ggc-common.o -MT ggc-common.o -MMD -MP -MF ./.deps/ggc-common.TPo ../../src/gcc/ggc-common.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o ggc-tests.o -MT ggc-tests.o -MMD -MP -MF ./.deps/ggc-tests.TPo ../../src/gcc/ggc-tests.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple.o -MT gimple.o -MMD -MP -MF ./.deps/gimple.TPo ../../src/gcc/gimple.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-array-bounds.o -MT gimple-array-bounds.o -MMD -MP -MF ./.deps/gimple-array-bounds.TPo ../../src/gcc/gimple-array-bounds.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-builder.o -MT gimple-builder.o -MMD -MP -MF ./.deps/gimple-builder.TPo ../../src/gcc/gimple-builder.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-expr.o -MT gimple-expr.o -MMD -MP -MF ./.deps/gimple-expr.TPo ../../src/gcc/gimple-expr.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-if-to-switch.o -MT gimple-if-to-switch.o -MMD -MP -MF ./.deps/gimple-if-to-switch.TPo ../../src/gcc/gimple-if-to-switch.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-iterator.o -MT gimple-iterator.o -MMD -MP -MF ./.deps/gimple-iterator.TPo ../../src/gcc/gimple-iterator.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-fold.o -MT gimple-fold.o -MMD -MP -MF ./.deps/gimple-fold.TPo ../../src/gcc/gimple-fold.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-harden-conditionals.o -MT gimple-harden-conditionals.o -MMD -MP -MF ./.deps/gimple-harden-conditionals.TPo ../../src/gcc/gimple-harden-conditionals.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-laddress.o -MT gimple-laddress.o -MMD -MP -MF ./.deps/gimple-laddress.TPo ../../src/gcc/gimple-laddress.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-loop-interchange.o -MT gimple-loop-interchange.o -MMD -MP -MF ./.deps/gimple-loop-interchange.TPo ../../src/gcc/gimple-loop-interchange.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-loop-jam.o -MT gimple-loop-jam.o -MMD -MP -MF ./.deps/gimple-loop-jam.TPo ../../src/gcc/gimple-loop-jam.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-loop-versioning.o -MT gimple-loop-versioning.o -MMD -MP -MF ./.deps/gimple-loop-versioning.TPo ../../src/gcc/gimple-loop-versioning.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-low.o -MT gimple-low.o -MMD -MP -MF ./.deps/gimple-low.TPo ../../src/gcc/gimple-low.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-predicate-analysis.o -MT gimple-predicate-analysis.o -MMD -MP -MF ./.deps/gimple-predicate-analysis.TPo ../../src/gcc/gimple-predicate-analysis.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-pretty-print.o -MT gimple-pretty-print.o -MMD -MP -MF ./.deps/gimple-pretty-print.TPo ../../src/gcc/gimple-pretty-print.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-range.o -MT gimple-range.o -MMD -MP -MF ./.deps/gimple-range.TPo ../../src/gcc/gimple-range.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-range-cache.o -MT gimple-range-cache.o -MMD -MP -MF ./.deps/gimple-range-cache.TPo ../../src/gcc/gimple-range-cache.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-range-edge.o -MT gimple-range-edge.o -MMD -MP -MF ./.deps/gimple-range-edge.TPo ../../src/gcc/gimple-range-edge.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-range-fold.o -MT gimple-range-fold.o -MMD -MP -MF ./.deps/gimple-range-fold.TPo ../../src/gcc/gimple-range-fold.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-range-gori.o -MT gimple-range-gori.o -MMD -MP -MF ./.deps/gimple-range-gori.TPo ../../src/gcc/gimple-range-gori.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-range-trace.o -MT gimple-range-trace.o -MMD -MP -MF ./.deps/gimple-range-trace.TPo ../../src/gcc/gimple-range-trace.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-ssa-backprop.o -MT gimple-ssa-backprop.o -MMD -MP -MF ./.deps/gimple-ssa-backprop.TPo ../../src/gcc/gimple-ssa-backprop.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-ssa-evrp.o -MT gimple-ssa-evrp.o -MMD -MP -MF ./.deps/gimple-ssa-evrp.TPo ../../src/gcc/gimple-ssa-evrp.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-ssa-evrp-analyze.o -MT gimple-ssa-evrp-analyze.o -MMD -MP -MF ./.deps/gimple-ssa-evrp-analyze.TPo ../../src/gcc/gimple-ssa-evrp-analyze.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-ssa-isolate-paths.o -MT gimple-ssa-isolate-paths.o -MMD -MP -MF ./.deps/gimple-ssa-isolate-paths.TPo ../../src/gcc/gimple-ssa-isolate-paths.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-ssa-nonnull-compare.o -MT gimple-ssa-nonnull-compare.o -MMD -MP -MF ./.deps/gimple-ssa-nonnull-compare.TPo ../../src/gcc/gimple-ssa-nonnull-compare.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-ssa-split-paths.o -MT gimple-ssa-split-paths.o -MMD -MP -MF ./.deps/gimple-ssa-split-paths.TPo ../../src/gcc/gimple-ssa-split-paths.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-ssa-store-merging.o -MT gimple-ssa-store-merging.o -MMD -MP -MF ./.deps/gimple-ssa-store-merging.TPo ../../src/gcc/gimple-ssa-store-merging.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-ssa-strength-reduction.o -MT gimple-ssa-strength-reduction.o -MMD -MP -MF ./.deps/gimple-ssa-strength-reduction.TPo ../../src/gcc/gimple-ssa-strength-reduction.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-ssa-sprintf.o -MT gimple-ssa-sprintf.o -MMD -MP -MF ./.deps/gimple-ssa-sprintf.TPo ../../src/gcc/gimple-ssa-sprintf.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-ssa-warn-access.o -MT gimple-ssa-warn-access.o -MMD -MP -MF ./.deps/gimple-ssa-warn-access.TPo ../../src/gcc/gimple-ssa-warn-access.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-ssa-warn-alloca.o -MT gimple-ssa-warn-alloca.o -MMD -MP -MF ./.deps/gimple-ssa-warn-alloca.TPo ../../src/gcc/gimple-ssa-warn-alloca.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-ssa-warn-restrict.o -MT gimple-ssa-warn-restrict.o -MMD -MP -MF ./.deps/gimple-ssa-warn-restrict.TPo ../../src/gcc/gimple-ssa-warn-restrict.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-streamer-in.o -MT gimple-streamer-in.o -MMD -MP -MF ./.deps/gimple-streamer-in.TPo ../../src/gcc/gimple-streamer-in.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-streamer-out.o -MT gimple-streamer-out.o -MMD -MP -MF ./.deps/gimple-streamer-out.TPo ../../src/gcc/gimple-streamer-out.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-walk.o -MT gimple-walk.o -MMD -MP -MF ./.deps/gimple-walk.TPo ../../src/gcc/gimple-walk.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-warn-recursion.o -MT gimple-warn-recursion.o -MMD -MP -MF ./.deps/gimple-warn-recursion.TPo ../../src/gcc/gimple-warn-recursion.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o gimplify.o -MT gimplify.o -MMD -MP -MF ./.deps/gimplify.TPo ../../src/gcc/gimplify.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o gimplify-me.o -MT gimplify-me.o -MMD -MP -MF ./.deps/gimplify-me.TPo ../../src/gcc/gimplify-me.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o godump.o -MT godump.o -MMD -MP -MF ./.deps/godump.TPo ../../src/gcc/godump.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o graph.o -MT graph.o -MMD -MP -MF ./.deps/graph.TPo ../../src/gcc/graph.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o graphds.o -MT graphds.o -MMD -MP -MF ./.deps/graphds.TPo ../../src/gcc/graphds.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o graphviz.o -MT graphviz.o -MMD -MP -MF ./.deps/graphviz.TPo ../../src/gcc/graphviz.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o graphite.o -MT graphite.o -MMD -MP -MF ./.deps/graphite.TPo ../../src/gcc/graphite.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o graphite-isl-ast-to-gimple.o -MT graphite-isl-ast-to-gimple.o -MMD -MP -MF ./.deps/graphite-isl-ast-to-gimple.TPo ../../src/gcc/graphite-isl-ast-to-gimple.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o graphite-dependences.o -MT graphite-dependences.o -MMD -MP -MF ./.deps/graphite-dependences.TPo ../../src/gcc/graphite-dependences.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o graphite-optimize-isl.o -MT graphite-optimize-isl.o -MMD -MP -MF ./.deps/graphite-optimize-isl.TPo ../../src/gcc/graphite-optimize-isl.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o graphite-poly.o -MT graphite-poly.o -MMD -MP -MF ./.deps/graphite-poly.TPo ../../src/gcc/graphite-poly.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o graphite-scop-detection.o -MT graphite-scop-detection.o -MMD -MP -MF ./.deps/graphite-scop-detection.TPo ../../src/gcc/graphite-scop-detection.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o graphite-sese-to-poly.o -MT graphite-sese-to-poly.o -MMD -MP -MF ./.deps/graphite-sese-to-poly.TPo ../../src/gcc/graphite-sese-to-poly.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o gtype-desc.o -MT gtype-desc.o -MMD -MP -MF ./.deps/gtype-desc.TPo gtype-desc.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o haifa-sched.o -MT haifa-sched.o -MMD -MP -MF ./.deps/haifa-sched.TPo ../../src/gcc/haifa-sched.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o hash-map-tests.o -MT hash-map-tests.o -MMD -MP -MF ./.deps/hash-map-tests.TPo ../../src/gcc/hash-map-tests.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o hash-set-tests.o -MT hash-set-tests.o -MMD -MP -MF ./.deps/hash-set-tests.TPo ../../src/gcc/hash-set-tests.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o hw-doloop.o -MT hw-doloop.o -MMD -MP -MF ./.deps/hw-doloop.TPo ../../src/gcc/hw-doloop.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o hwint.o -MT hwint.o -MMD -MP -MF ./.deps/hwint.TPo ../../src/gcc/hwint.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o ifcvt.o -MT ifcvt.o -MMD -MP -MF ./.deps/ifcvt.TPo ../../src/gcc/ifcvt.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o ree.o -MT ree.o -MMD -MP -MF ./.deps/ree.TPo ../../src/gcc/ree.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o inchash.o -MT inchash.o -MMD -MP -MF ./.deps/inchash.TPo ../../src/gcc/inchash.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o incpath.o -MT incpath.o -MMD -MP -MF ./.deps/incpath.TPo ../../src/gcc/incpath.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o init-regs.o -MT init-regs.o -MMD -MP -MF ./.deps/init-regs.TPo ../../src/gcc/init-regs.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o internal-fn.o -MT internal-fn.o -MMD -MP -MF ./.deps/internal-fn.TPo ../../src/gcc/internal-fn.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-cp.o -MT ipa-cp.o -MMD -MP -MF ./.deps/ipa-cp.TPo ../../src/gcc/ipa-cp.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-sra.o -MT ipa-sra.o -MMD -MP -MF ./.deps/ipa-sra.TPo ../../src/gcc/ipa-sra.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-devirt.o -MT ipa-devirt.o -MMD -MP -MF ./.deps/ipa-devirt.TPo ../../src/gcc/ipa-devirt.cc
In file included from ../../src/gcc/dumpfile.h:24,
                 from ../../src/gcc/coretypes.h:491,
                 from ../../src/gcc/ipa-cp.cc:105:
../../src/gcc/profile-count.h: In member function 'profile_count profile_count::apply_scale(profile_count, profile_count) const':
../../src/gcc/profile-count.h:1092:17: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 1092 |   profile_count apply_scale (profile_count num, profile_count den) const
      |                 ^~~~~~~~~~~
../../src/gcc/profile-count.h:1092:17: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
../../src/gcc/ipa-cp.cc: In function 'void update_specialized_profile(cgraph_node*, cgraph_node*, profile_count)':
../../src/gcc/ipa-cp.cc:4880:1: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 4880 | update_specialized_profile (struct cgraph_node *new_node,
      | ^~~~~~~~~~~~~~~~~~~~~~~~~~
../../src/gcc/ipa-cp.cc:4903:40: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 4903 |     cs->count += cs->count.apply_scale (redirected_sum, new_node_count);
      |                  ~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
../../src/gcc/ipa-cp.cc:4907:49: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 4907 |       profile_count dec = cs->count.apply_scale (redirected_sum,
      |                           ~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~
 4908 |                                                  orig_node_count);
      |                                                  ~~~~~~~~~~~~~~~~
../../src/gcc/ipa-cp.cc: In function 'profile_count lenient_count_portion_handling(profile_count, cgraph_node*)':
../../src/gcc/ipa-cp.cc:4510:1: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 4510 | lenient_count_portion_handling (profile_count remainder, cgraph_node *orig_node)
      | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
In file included from ../../src/gcc/ipa-cp.cc:104:
../../src/gcc/ipa-cp.cc: In function 'void update_profiling_info(cgraph_node*, cgraph_node*)':
../../src/gcc/ipa-cp.cc:4830:38: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 4830 |         = MAX(MIN (unexp.apply_scale (new_sum,
      |                    ~~~~~~~~~~~~~~~~~~^~~~~~~~~
 4831 |                                       new_sum + orig_nonrec_call_count),
      |                                       ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
../../src/gcc/system.h:396:20: note: in definition of macro 'MAX'
  396 | #define MAX(X,Y) ((X) > (Y) ? (X) : (Y))
      |                    ^
../../src/gcc/ipa-cp.cc:4830:15: note: in expansion of macro 'MIN'
 4830 |         = MAX(MIN (unexp.apply_scale (new_sum,
      |               ^~~
../../src/gcc/ipa-cp.cc:4830:38: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 4830 |         = MAX(MIN (unexp.apply_scale (new_sum,
      |                    ~~~~~~~~~~~~~~~~~~^~~~~~~~~
 4831 |                                       new_sum + orig_nonrec_call_count),
      |                                       ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
../../src/gcc/system.h:396:20: note: in definition of macro 'MAX'
  396 | #define MAX(X,Y) ((X) > (Y) ? (X) : (Y))
      |                    ^
../../src/gcc/ipa-cp.cc:4830:15: note: in expansion of macro 'MIN'
 4830 |         = MAX(MIN (unexp.apply_scale (new_sum,
      |               ^~~
../../src/gcc/ipa-cp.cc:4830:38: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 4830 |         = MAX(MIN (unexp.apply_scale (new_sum,
      |                    ~~~~~~~~~~~~~~~~~~^~~~~~~~~
 4831 |                                       new_sum + orig_nonrec_call_count),
      |                                       ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
../../src/gcc/system.h:396:32: note: in definition of macro 'MAX'
  396 | #define MAX(X,Y) ((X) > (Y) ? (X) : (Y))
      |                                ^
../../src/gcc/ipa-cp.cc:4830:15: note: in expansion of macro 'MIN'
 4830 |         = MAX(MIN (unexp.apply_scale (new_sum,
      |               ^~~
../../src/gcc/ipa-cp.cc:4830:38: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 4830 |         = MAX(MIN (unexp.apply_scale (new_sum,
      |                    ~~~~~~~~~~~~~~~~~~^~~~~~~~~
 4831 |                                       new_sum + orig_nonrec_call_count),
      |                                       ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
../../src/gcc/system.h:396:32: note: in definition of macro 'MAX'
  396 | #define MAX(X,Y) ((X) > (Y) ? (X) : (Y))
      |                                ^
../../src/gcc/ipa-cp.cc:4830:15: note: in expansion of macro 'MIN'
 4830 |         = MAX(MIN (unexp.apply_scale (new_sum,
      |               ^~~
../../src/gcc/ipa-cp.cc:4858:39: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 4858 |     cs->count = cs->count.apply_scale (new_sum, orig_new_node_count);
      |                 ~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
../../src/gcc/ipa-cp.cc:4860:39: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 4860 |     cs->count = cs->count.apply_scale (new_sum, orig_new_node_count);
      |                 ~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
../../src/gcc/ipa-cp.cc:4864:39: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 4864 |     cs->count = cs->count.apply_scale (remainder, orig_node_count);
      |                 ~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~
../../src/gcc/ipa-cp.cc:4866:39: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 4866 |     cs->count = cs->count.apply_scale (remainder, orig_node_count);
      |                 ~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-fnsummary.o -MT ipa-fnsummary.o -MMD -MP -MF ./.deps/ipa-fnsummary.TPo ../../src/gcc/ipa-fnsummary.cc
../../src/gcc/ipa-cp.cc: In function 'bool good_cloning_opportunity_p(cgraph_node*, sreal, sreal, profile_count, int)':
../../src/gcc/ipa-cp.cc:3327:1: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 3327 | good_cloning_opportunity_p (struct cgraph_node *node, sreal time_benefit,
      | ^~~~~~~~~~~~~~~~~~~~~~~~~~
../../src/gcc/ipa-cp.cc: In function 'void estimate_local_effects(cgraph_node*)':
../../src/gcc/ipa-cp.cc:3586:43: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 3586 |       else if (good_cloning_opportunity_p (node, time, stats.freq_sum,
      |                ~~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~
 3587 |                                            stats.count_sum, size))
      |                                            ~~~~~~~~~~~~~~~~~~~~~~
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-polymorphic-call.o -MT ipa-polymorphic-call.o -MMD -MP -MF ./.deps/ipa-polymorphic-call.TPo ../../src/gcc/ipa-polymorphic-call.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-split.o -MT ipa-split.o -MMD -MP -MF ./.deps/ipa-split.TPo ../../src/gcc/ipa-split.cc
../../src/gcc/ipa-cp.cc: In function 'bool decide_about_value(cgraph_node*, int, long long int, ipcp_value<valtype>*, ipa_auto_call_arg_values*, vec<cgraph_node*>*) [with valtype = tree_node*]':
../../src/gcc/ipa-cp.cc:6106:35: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 6106 |   if (!good_cloning_opportunity_p (node, val->local_time_benefit,
      |        ~~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
 6107 |                                    freq_sum, count_sum,
      |                                    ~~~~~~~~~~~~~~~~~~~~
 6108 |                                    val->local_size_cost)
      |                                    ~~~~~~~~~~~~~~~~~~~~~
../../src/gcc/ipa-cp.cc:6109:38: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 6109 |       && !good_cloning_opportunity_p (node, val->prop_time_benefit,
      |           ~~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
 6110 |                                       freq_sum, count_sum, val->prop_size_cost))
      |                                       ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
In function 'bool decide_about_value(cgraph_node*, int, long long int, ipcp_value<valtype>*, ipa_auto_call_arg_values*, vec<cgraph_node*>*) [with valtype = ipa_polymorphic_call_context]',
    inlined from 'bool decide_whether_version_node(cgraph_node*)' at ../../src/gcc/ipa-cp.cc:6232:32:
../../src/gcc/ipa-cp.cc:6106:35: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 6106 |   if (!good_cloning_opportunity_p (node, val->local_time_benefit,
      |        ~~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
 6107 |                                    freq_sum, count_sum,
      |                                    ~~~~~~~~~~~~~~~~~~~~
 6108 |                                    val->local_size_cost)
      |                                    ~~~~~~~~~~~~~~~~~~~~~
../../src/gcc/ipa-cp.cc:6109:38: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 6109 |       && !good_cloning_opportunity_p (node, val->prop_time_benefit,
      |           ~~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
 6110 |                                       freq_sum, count_sum, val->prop_size_cost))
      |                                       ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
In function 'void update_counts_for_self_gen_clones(cgraph_node*, const vec<cgraph_node*>&)',
    inlined from 'bool decide_whether_version_node(cgraph_node*)' at ../../src/gcc/ipa-cp.cc:6240:41:
../../src/gcc/ipa-cp.cc:4661:45: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 4661 |           cs->count = cs->count.apply_scale (new_count, orig_count);
      |                       ~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~
../../src/gcc/ipa-cp.cc:4665:43: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 4665 |         cs->count = cs->count.apply_scale (new_count, orig_count);
      |                     ~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-inline.o -MT ipa-inline.o -MMD -MP -MF ./.deps/ipa-inline.TPo ../../src/gcc/ipa-inline.cc
In file included from ../../src/gcc/dumpfile.h:24,
                 from ../../src/gcc/coretypes.h:491,
                 from ../../src/gcc/ipa-fnsummary.cc:57:
../../src/gcc/profile-count.h: In member function 'profile_count profile_count::max(profile_count) const':
../../src/gcc/profile-count.h:1017:17: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 1017 |   profile_count max (profile_count other) const
      |                 ^~~
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-comdats.o -MT ipa-comdats.o -MMD -MP -MF ./.deps/ipa-comdats.TPo ../../src/gcc/ipa-comdats.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-free-lang-data.o -MT ipa-free-lang-data.o -MMD -MP -MF ./.deps/ipa-free-lang-data.TPo ../../src/gcc/ipa-free-lang-data.cc
In file included from ../../src/gcc/dumpfile.h:24,
                 from ../../src/gcc/coretypes.h:491,
                 from ../../src/gcc/ipa-inline.cc:94:
../../src/gcc/profile-count.h: In member function 'profile_count profile_count::max(profile_count) const':
../../src/gcc/profile-count.h:1017:17: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 1017 |   profile_count max (profile_count other) const
      |                 ^~~
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-visibility.o -MT ipa-visibility.o -MMD -MP -MF ./.deps/ipa-visibility.TPo ../../src/gcc/ipa-visibility.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-inline-analysis.o -MT ipa-inline-analysis.o -MMD -MP -MF ./.deps/ipa-inline-analysis.TPo ../../src/gcc/ipa-inline-analysis.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-inline-transform.o -MT ipa-inline-transform.o -MMD -MP -MF ./.deps/ipa-inline-transform.TPo ../../src/gcc/ipa-inline-transform.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-modref.o -MT ipa-modref.o -MMD -MP -MF ./.deps/ipa-modref.TPo ../../src/gcc/ipa-modref.cc
In file included from ../../src/gcc/dumpfile.h:24,
                 from ../../src/gcc/coretypes.h:491,
                 from ../../src/gcc/ipa-inline-transform.cc:33:
../../src/gcc/profile-count.h: In member function 'profile_count profile_count::max(profile_count) const':
../../src/gcc/profile-count.h:1017:17: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 1017 |   profile_count max (profile_count other) const
      |                 ^~~
../../src/gcc/profile-count.h: In member function 'profile_count profile_count::apply_scale(profile_count, profile_count) const':
../../src/gcc/profile-count.h:1092:17: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 1092 |   profile_count apply_scale (profile_count num, profile_count den) const
      |                 ^~~~~~~~~~~
../../src/gcc/profile-count.h:1092:17: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
../../src/gcc/ipa-inline-transform.cc: In function 'void update_noncloned_counts(cgraph_node*, profile_count, profile_count)':
../../src/gcc/ipa-inline-transform.cc:62:1: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
   62 | update_noncloned_counts (struct cgraph_node *node,
      | ^~~~~~~~~~~~~~~~~~~~~~~
../../src/gcc/ipa-inline-transform.cc:62:1: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
../../src/gcc/ipa-inline-transform.cc:72:33: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
   72 |         update_noncloned_counts (e->callee, num, den);
      |         ~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~
../../src/gcc/ipa-inline-transform.cc:73:39: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
   73 |       e->count = e->count.apply_scale (num, den);
      |                  ~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~
../../src/gcc/ipa-inline-transform.cc:76:37: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
   76 |     e->count = e->count.apply_scale (num, den);
      |                ~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~
../../src/gcc/ipa-inline-transform.cc:77:41: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
   77 |   node->count = node->count.apply_scale (num, den);
      |                 ~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~
../../src/gcc/ipa-inline-transform.cc: In function 'void clone_inlined_nodes(cgraph_edge*, bool, bool, int*)':
../../src/gcc/ipa-inline-transform.cc:213:35: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
  213 |           update_noncloned_counts (e->callee, e->count, e->callee->count);
      |           ~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-modref-tree.o -MT ipa-modref-tree.o -MMD -MP -MF ./.deps/ipa-modref-tree.TPo ../../src/gcc/ipa-modref-tree.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-predicate.o -MT ipa-predicate.o -MMD -MP -MF ./.deps/ipa-predicate.TPo ../../src/gcc/ipa-predicate.cc
../../src/gcc/ipa-inline-transform.cc: In function 'unsigned int inline_transform(cgraph_node*)':
../../src/gcc/ipa-inline-transform.cc:771:45: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
  771 |           bb->count = bb->count.apply_scale (num, den);
      |                       ~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-profile.o -MT ipa-profile.o -MMD -MP -MF ./.deps/ipa-profile.TPo ../../src/gcc/ipa-profile.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-prop.o -MT ipa-prop.o -MMD -MP -MF ./.deps/ipa-prop.TPo ../../src/gcc/ipa-prop.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-param-manipulation.o -MT ipa-param-manipulation.o -MMD -MP -MF ./.deps/ipa-param-manipulation.TPo ../../src/gcc/ipa-param-manipulation.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-pure-const.o -MT ipa-pure-const.o -MMD -MP -MF ./.deps/ipa-pure-const.TPo ../../src/gcc/ipa-pure-const.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-icf.o -MT ipa-icf.o -MMD -MP -MF ./.deps/ipa-icf.TPo ../../src/gcc/ipa-icf.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-icf-gimple.o -MT ipa-icf-gimple.o -MMD -MP -MF ./.deps/ipa-icf-gimple.TPo ../../src/gcc/ipa-icf-gimple.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-reference.o -MT ipa-reference.o -MMD -MP -MF ./.deps/ipa-reference.TPo ../../src/gcc/ipa-reference.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-ref.o -MT ipa-ref.o -MMD -MP -MF ./.deps/ipa-ref.TPo ../../src/gcc/ipa-ref.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-utils.o -MT ipa-utils.o -MMD -MP -MF ./.deps/ipa-utils.TPo ../../src/gcc/ipa-utils.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa.o -MT ipa.o -MMD -MP -MF ./.deps/ipa.TPo ../../src/gcc/ipa.cc
In file included from ../../src/gcc/dumpfile.h:24,
                 from ../../src/gcc/coretypes.h:491,
                 from ../../src/gcc/ipa-utils.cc:23:
../../src/gcc/profile-count.h: In member function 'profile_count profile_count::apply_scale(profile_count, profile_count) const':
../../src/gcc/profile-count.h:1092:17: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 1092 |   profile_count apply_scale (profile_count num, profile_count den) const
      |                 ^~~~~~~~~~~
../../src/gcc/profile-count.h:1092:17: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
../../src/gcc/profile-count.h: In member function 'profile_probability profile_count::probability_in(profile_count) const':
../../src/gcc/profile-count.h:1180:23: note: parameter passing for argument of type 'const profile_count' changed in GCC 9.1
 1180 |   profile_probability probability_in (const profile_count overall) const
      |                       ^~~~~~~~~~~~~~
../../src/gcc/ipa-utils.cc: In function 'void scale_ipa_profile_for_fn(cgraph_node*, profile_count)':
../../src/gcc/ipa-utils.cc:377:1: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
  377 | scale_ipa_profile_for_fn (struct cgraph_node *node, profile_count orig_count)
      | ^~~~~~~~~~~~~~~~~~~~~~~~
../../src/gcc/ipa-utils.cc:384:37: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
  384 |     e->count = e->count.apply_scale (to, orig_count);
      |                ~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~
../../src/gcc/ipa-utils.cc:386:37: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
  386 |     e->count = e->count.apply_scale (to, orig_count);
      |                ~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~
../../src/gcc/ipa-utils.cc: In function 'void ipa_merge_profiles(cgraph_node*, cgraph_node*, bool)':
../../src/gcc/ipa-utils.cc:632:45: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
  632 |             srccount = srccount.apply_scale (srcnum, srcden);
      |                        ~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~
../../src/gcc/ipa-utils.cc:634:53: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
  634 |             dstbb->count = dstbb->count.apply_scale (dstnum, dstden);
      |                            ~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o ira.o -MT ira.o -MMD -MP -MF ./.deps/ira.TPo ../../src/gcc/ira.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o ira-build.o -MT ira-build.o -MMD -MP -MF ./.deps/ira-build.TPo ../../src/gcc/ira-build.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o ira-costs.o -MT ira-costs.o -MMD -MP -MF ./.deps/ira-costs.TPo ../../src/gcc/ira-costs.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o ira-conflicts.o -MT ira-conflicts.o -MMD -MP -MF ./.deps/ira-conflicts.TPo ../../src/gcc/ira-conflicts.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o ira-color.o -MT ira-color.o -MMD -MP -MF ./.deps/ira-color.TPo ../../src/gcc/ira-color.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o ira-emit.o -MT ira-emit.o -MMD -MP -MF ./.deps/ira-emit.TPo ../../src/gcc/ira-emit.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o ira-lives.o -MT ira-lives.o -MMD -MP -MF ./.deps/ira-lives.TPo ../../src/gcc/ira-lives.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o jump.o -MT jump.o -MMD -MP -MF ./.deps/jump.TPo ../../src/gcc/jump.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o langhooks.o -MT langhooks.o -MMD -MP -MF ./.deps/langhooks.TPo ../../src/gcc/langhooks.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o lcm.o -MT lcm.o -MMD -MP -MF ./.deps/lcm.TPo ../../src/gcc/lcm.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o lists.o -MT lists.o -MMD -MP -MF ./.deps/lists.TPo ../../src/gcc/lists.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o loop-doloop.o -MT loop-doloop.o -MMD -MP -MF ./.deps/loop-doloop.TPo ../../src/gcc/loop-doloop.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o loop-init.o -MT loop-init.o -MMD -MP -MF ./.deps/loop-init.TPo ../../src/gcc/loop-init.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o loop-invariant.o -MT loop-invariant.o -MMD -MP -MF ./.deps/loop-invariant.TPo ../../src/gcc/loop-invariant.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o loop-iv.o -MT loop-iv.o -MMD -MP -MF ./.deps/loop-iv.TPo ../../src/gcc/loop-iv.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o loop-unroll.o -MT loop-unroll.o -MMD -MP -MF ./.deps/loop-unroll.TPo ../../src/gcc/loop-unroll.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o lower-subreg.o -MT lower-subreg.o -MMD -MP -MF ./.deps/lower-subreg.TPo ../../src/gcc/lower-subreg.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o lra.o -MT lra.o -MMD -MP -MF ./.deps/lra.TPo ../../src/gcc/lra.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o lra-assigns.o -MT lra-assigns.o -MMD -MP -MF ./.deps/lra-assigns.TPo ../../src/gcc/lra-assigns.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o lra-coalesce.o -MT lra-coalesce.o -MMD -MP -MF ./.deps/lra-coalesce.TPo ../../src/gcc/lra-coalesce.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o lra-constraints.o -MT lra-constraints.o -MMD -MP -MF ./.deps/lra-constraints.TPo ../../src/gcc/lra-constraints.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o lra-eliminations.o -MT lra-eliminations.o -MMD -MP -MF ./.deps/lra-eliminations.TPo ../../src/gcc/lra-eliminations.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o lra-lives.o -MT lra-lives.o -MMD -MP -MF ./.deps/lra-lives.TPo ../../src/gcc/lra-lives.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o lra-remat.o -MT lra-remat.o -MMD -MP -MF ./.deps/lra-remat.TPo ../../src/gcc/lra-remat.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o lra-spills.o -MT lra-spills.o -MMD -MP -MF ./.deps/lra-spills.TPo ../../src/gcc/lra-spills.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o lto-cgraph.o -MT lto-cgraph.o -MMD -MP -MF ./.deps/lto-cgraph.TPo ../../src/gcc/lto-cgraph.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o lto-streamer.o -MT lto-streamer.o -MMD -MP -MF ./.deps/lto-streamer.TPo ../../src/gcc/lto-streamer.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c  -DTARGET_MACHINE=\"arm-linux-gnueabihf\" -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o lto-streamer-in.o -MT lto-streamer-in.o -MMD -MP -MF ./.deps/lto-streamer-in.TPo ../../src/gcc/lto-streamer-in.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o lto-streamer-out.o -MT lto-streamer-out.o -MMD -MP -MF ./.deps/lto-streamer-out.TPo ../../src/gcc/lto-streamer-out.cc
../../src/gcc/lto-cgraph.cc: In function 'void input_edge(lto_input_block*, vec<symtab_node*>, bool)':
../../src/gcc/lto-cgraph.cc:1485:41: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 1485 |     edge = caller->create_indirect_edge (NULL, 0, count);
      |            ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~
../../src/gcc/lto-cgraph.cc:1487:32: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 1487 |     edge = caller->create_edge (callee, NULL, count);
      |            ~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o lto-section-in.o -MT lto-section-in.o -MMD -MP -MF ./.deps/lto-section-in.TPo ../../src/gcc/lto-section-in.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o lto-section-out.o -MT lto-section-out.o -MMD -MP -MF ./.deps/lto-section-out.TPo ../../src/gcc/lto-section-out.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o lto-opts.o -MT lto-opts.o -MMD -MP -MF ./.deps/lto-opts.TPo ../../src/gcc/lto-opts.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c    -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o lto-compress.o -MT lto-compress.o -MMD -MP -MF ./.deps/lto-compress.TPo ../../src/gcc/lto-compress.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o mcf.o -MT mcf.o -MMD -MP -MF ./.deps/mcf.TPo ../../src/gcc/mcf.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o mode-switching.o -MT mode-switching.o -MMD -MP -MF ./.deps/mode-switching.TPo ../../src/gcc/mode-switching.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o modulo-sched.o -MT modulo-sched.o -MMD -MP -MF ./.deps/modulo-sched.TPo ../../src/gcc/modulo-sched.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o multiple_target.o -MT multiple_target.o -MMD -MP -MF ./.deps/multiple_target.TPo ../../src/gcc/multiple_target.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o omp-offload.o -MT omp-offload.o -MMD -MP -MF ./.deps/omp-offload.TPo ../../src/gcc/omp-offload.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o omp-expand.o -MT omp-expand.o -MMD -MP -MF ./.deps/omp-expand.TPo ../../src/gcc/omp-expand.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o omp-general.o -MT omp-general.o -MMD -MP -MF ./.deps/omp-general.TPo ../../src/gcc/omp-general.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o omp-low.o -MT omp-low.o -MMD -MP -MF ./.deps/omp-low.TPo ../../src/gcc/omp-low.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o omp-oacc-kernels-decompose.o -MT omp-oacc-kernels-decompose.o -MMD -MP -MF ./.deps/omp-oacc-kernels-decompose.TPo ../../src/gcc/omp-oacc-kernels-decompose.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o omp-oacc-neuter-broadcast.o -MT omp-oacc-neuter-broadcast.o -MMD -MP -MF ./.deps/omp-oacc-neuter-broadcast.TPo ../../src/gcc/omp-oacc-neuter-broadcast.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o omp-simd-clone.o -MT omp-simd-clone.o -MMD -MP -MF ./.deps/omp-simd-clone.TPo ../../src/gcc/omp-simd-clone.cc
In function 'void simd_clone_adjust(cgraph_node*)',
    inlined from 'void expand_simd_clones(cgraph_node*)' at ../../src/gcc/omp-simd-clone.cc:1774:24:
../../src/gcc/omp-simd-clone.cc:1486:31: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 1486 |             node->create_edge (cgraph_node::get_create (fn),
      |             ~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
 1487 |                                call, entry_bb->count);
      |                                ~~~~~~~~~~~~~~~~~~~~~~
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o opt-problem.o -MT opt-problem.o -MMD -MP -MF ./.deps/opt-problem.TPo ../../src/gcc/opt-problem.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o optabs.o -MT optabs.o -MMD -MP -MF ./.deps/optabs.TPo ../../src/gcc/optabs.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o optabs-libfuncs.o -MT optabs-libfuncs.o -MMD -MP -MF ./.deps/optabs-libfuncs.TPo ../../src/gcc/optabs-libfuncs.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o optabs-query.o -MT optabs-query.o -MMD -MP -MF ./.deps/optabs-query.TPo ../../src/gcc/optabs-query.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o optabs-tree.o -MT optabs-tree.o -MMD -MP -MF ./.deps/optabs-tree.TPo ../../src/gcc/optabs-tree.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o optinfo.o -MT optinfo.o -MMD -MP -MF ./.deps/optinfo.TPo ../../src/gcc/optinfo.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c  -DTARGET_NAME=\"arm-linux-gnueabihf\"  -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o optinfo-emit-json.o -MT optinfo-emit-json.o -MMD -MP -MF ./.deps/optinfo-emit-json.TPo ../../src/gcc/optinfo-emit-json.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o options-save.o -MT options-save.o -MMD -MP -MF ./.deps/options-save.TPo options-save.cc
../../src/gcc/optinfo-emit-json.cc: In member function 'json::object* optrecord_json_writer::profile_count_to_json(profile_count)':
../../src/gcc/optinfo-emit-json.cc:207:1: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
  207 | optrecord_json_writer::profile_count_to_json (profile_count count)
      | ^~~~~~~~~~~~~~~~~~~~~
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o opts-global.o -MT opts-global.o -MMD -MP -MF ./.deps/opts-global.TPo ../../src/gcc/opts-global.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o ordered-hash-map-tests.o -MT ordered-hash-map-tests.o -MMD -MP -MF ./.deps/ordered-hash-map-tests.TPo ../../src/gcc/ordered-hash-map-tests.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o passes.o -MT passes.o -MMD -MP -MF ./.deps/passes.TPo ../../src/gcc/passes.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o plugin.o -MT plugin.o -MMD -MP -MF ./.deps/plugin.TPo ../../src/gcc/plugin.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o pointer-query.o -MT pointer-query.o -MMD -MP -MF ./.deps/pointer-query.TPo ../../src/gcc/pointer-query.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o postreload-gcse.o -MT postreload-gcse.o -MMD -MP -MF ./.deps/postreload-gcse.TPo ../../src/gcc/postreload-gcse.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o postreload.o -MT postreload.o -MMD -MP -MF ./.deps/postreload.TPo ../../src/gcc/postreload.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o predict.o -MT predict.o -MMD -MP -MF ./.deps/predict.TPo ../../src/gcc/predict.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o print-rtl.o -MT print-rtl.o -MMD -MP -MF ./.deps/print-rtl.TPo ../../src/gcc/print-rtl.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o print-rtl-function.o -MT print-rtl-function.o -MMD -MP -MF ./.deps/print-rtl-function.TPo ../../src/gcc/print-rtl-function.cc
../../src/gcc/predict.cc: In function 'bool probably_never_executed(function*, profile_count)':
../../src/gcc/predict.cc:209:1: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
  209 | probably_never_executed (struct function *fun, profile_count count)
      | ^~~~~~~~~~~~~~~~~~~~~~~
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o print-tree.o -MT print-tree.o -MMD -MP -MF ./.deps/print-tree.TPo ../../src/gcc/print-tree.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o profile.o -MT profile.o -MMD -MP -MF ./.deps/profile.TPo ../../src/gcc/profile.cc
In file included from ../../src/gcc/dumpfile.h:24,
                 from ../../src/gcc/coretypes.h:491,
                 from ../../src/gcc/predict.cc:32:
../../src/gcc/profile-count.h: In member function 'profile_count profile_count::max(profile_count) const':
../../src/gcc/profile-count.h:1017:17: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 1017 |   profile_count max (profile_count other) const
      |                 ^~~
../../src/gcc/predict.cc: In function 'bool maybe_hot_count_p(function*, profile_count)':
../../src/gcc/predict.cc:154:1: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
  154 | maybe_hot_count_p (struct function *fun, profile_count count)
      | ^~~~~~~~~~~~~~~~~
../../src/gcc/predict.cc: In function 'void drop_profile(cgraph_node*, profile_count)':
../../src/gcc/predict.cc:3508:1: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 3508 | drop_profile (struct cgraph_node *node, profile_count call_count)
      | ^~~~~~~~~~~~
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o profile-count.o -MT profile-count.o -MMD -MP -MF ./.deps/profile-count.TPo ../../src/gcc/profile-count.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o range.o -MT range.o -MMD -MP -MF ./.deps/range.TPo ../../src/gcc/range.cc
../../src/gcc/profile-count.cc: In member function 'bool profile_count::differs_from_p(profile_count) const':
../../src/gcc/profile-count.cc:121:1: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
  121 | profile_count::differs_from_p (profile_count other) const
      | ^~~~~~~~~~~~~
../../src/gcc/profile-count.cc: In member function 'int profile_count::to_cgraph_frequency(profile_count) const':
../../src/gcc/profile-count.cc:308:1: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
  308 | profile_count::to_cgraph_frequency (profile_count entry_bb_count) const
      | ^~~~~~~~~~~~~
../../src/gcc/profile-count.cc: In member function 'sreal profile_count::to_sreal_scale(profile_count, bool*) const':
../../src/gcc/profile-count.cc:326:1: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
  326 | profile_count::to_sreal_scale (profile_count in, bool *known) const
      | ^~~~~~~~~~~~~
../../src/gcc/profile-count.cc: In member function 'profile_count profile_count::combine_with_ipa_count(profile_count)':
../../src/gcc/profile-count.cc:398:1: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
  398 | profile_count::combine_with_ipa_count (profile_count ipa)
      | ^~~~~~~~~~~~~
../../src/gcc/profile-count.cc: In member function 'profile_count profile_count::combine_with_ipa_count_within(profile_count, profile_count)':
../../src/gcc/profile-count.cc:415:1: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
  415 | profile_count::combine_with_ipa_count_within (profile_count ipa,
      | ^~~~~~~~~~~~~
../../src/gcc/profile-count.cc:415:1: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
../../src/gcc/profile-count.cc: In member function 'profile_probability profile_probability::combine_with_count(profile_count, profile_probability, profile_count) const':
../../src/gcc/profile-count.cc:452:1: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
  452 | profile_probability::combine_with_count (profile_count count1,
      | ^~~~~~~~~~~~~~~~~~~
../../src/gcc/profile-count.cc:452:1: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o range-op.o -MT range-op.o -MMD -MP -MF ./.deps/range-op.TPo ../../src/gcc/range-op.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o read-md.o -MT read-md.o -MMD -MP -MF ./.deps/read-md.TPo ../../src/gcc/read-md.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o read-rtl.o -MT read-rtl.o -MMD -MP -MF ./.deps/read-rtl.TPo ../../src/gcc/read-rtl.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o read-rtl-function.o -MT read-rtl-function.o -MMD -MP -MF ./.deps/read-rtl-function.TPo ../../src/gcc/read-rtl-function.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o real.o -MT real.o -MMD -MP -MF ./.deps/real.TPo ../../src/gcc/real.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o realmpfr.o -MT realmpfr.o -MMD -MP -MF ./.deps/realmpfr.TPo ../../src/gcc/realmpfr.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o recog.o -MT recog.o -MMD -MP -MF ./.deps/recog.TPo ../../src/gcc/recog.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o reg-stack.o -MT reg-stack.o -MMD -MP -MF ./.deps/reg-stack.TPo ../../src/gcc/reg-stack.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o regcprop.o -MT regcprop.o -MMD -MP -MF ./.deps/regcprop.TPo ../../src/gcc/regcprop.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o reginfo.o -MT reginfo.o -MMD -MP -MF ./.deps/reginfo.TPo ../../src/gcc/reginfo.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o regrename.o -MT regrename.o -MMD -MP -MF ./.deps/regrename.TPo ../../src/gcc/regrename.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o regstat.o -MT regstat.o -MMD -MP -MF ./.deps/regstat.TPo ../../src/gcc/regstat.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o reload.o -MT reload.o -MMD -MP -MF ./.deps/reload.TPo ../../src/gcc/reload.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o reload1.o -MT reload1.o -MMD -MP -MF ./.deps/reload1.TPo ../../src/gcc/reload1.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o reorg.o -MT reorg.o -MMD -MP -MF ./.deps/reorg.TPo ../../src/gcc/reorg.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o resource.o -MT resource.o -MMD -MP -MF ./.deps/resource.TPo ../../src/gcc/resource.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o rtl-error.o -MT rtl-error.o -MMD -MP -MF ./.deps/rtl-error.TPo ../../src/gcc/rtl-error.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -Irtl-ssa -I../../src/gcc -I../../src/gcc/rtl-ssa -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o rtl-ssa/accesses.o -MT rtl-ssa/accesses.o -MMD -MP -MF rtl-ssa/.deps/accesses.TPo ../../src/gcc/rtl-ssa/accesses.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -Irtl-ssa -I../../src/gcc -I../../src/gcc/rtl-ssa -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o rtl-ssa/blocks.o -MT rtl-ssa/blocks.o -MMD -MP -MF rtl-ssa/.deps/blocks.TPo ../../src/gcc/rtl-ssa/blocks.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -Irtl-ssa -I../../src/gcc -I../../src/gcc/rtl-ssa -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o rtl-ssa/changes.o -MT rtl-ssa/changes.o -MMD -MP -MF rtl-ssa/.deps/changes.TPo ../../src/gcc/rtl-ssa/changes.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -Irtl-ssa -I../../src/gcc -I../../src/gcc/rtl-ssa -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o rtl-ssa/functions.o -MT rtl-ssa/functions.o -MMD -MP -MF rtl-ssa/.deps/functions.TPo ../../src/gcc/rtl-ssa/functions.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -Irtl-ssa -I../../src/gcc -I../../src/gcc/rtl-ssa -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o rtl-ssa/insns.o -MT rtl-ssa/insns.o -MMD -MP -MF rtl-ssa/.deps/insns.TPo ../../src/gcc/rtl-ssa/insns.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o rtl-tests.o -MT rtl-tests.o -MMD -MP -MF ./.deps/rtl-tests.TPo ../../src/gcc/rtl-tests.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o rtl.o -MT rtl.o -MMD -MP -MF ./.deps/rtl.TPo ../../src/gcc/rtl.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o rtlhash.o -MT rtlhash.o -MMD -MP -MF ./.deps/rtlhash.TPo ../../src/gcc/rtlhash.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o rtlanal.o -MT rtlanal.o -MMD -MP -MF ./.deps/rtlanal.TPo ../../src/gcc/rtlanal.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o rtlhooks.o -MT rtlhooks.o -MMD -MP -MF ./.deps/rtlhooks.TPo ../../src/gcc/rtlhooks.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o rtx-vector-builder.o -MT rtx-vector-builder.o -MMD -MP -MF ./.deps/rtx-vector-builder.TPo ../../src/gcc/rtx-vector-builder.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o run-rtl-passes.o -MT run-rtl-passes.o -MMD -MP -MF ./.deps/run-rtl-passes.TPo ../../src/gcc/run-rtl-passes.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o sched-deps.o -MT sched-deps.o -MMD -MP -MF ./.deps/sched-deps.TPo ../../src/gcc/sched-deps.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o sched-ebb.o -MT sched-ebb.o -MMD -MP -MF ./.deps/sched-ebb.TPo ../../src/gcc/sched-ebb.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o sched-rgn.o -MT sched-rgn.o -MMD -MP -MF ./.deps/sched-rgn.TPo ../../src/gcc/sched-rgn.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o sel-sched-ir.o -MT sel-sched-ir.o -MMD -MP -MF ./.deps/sel-sched-ir.TPo ../../src/gcc/sel-sched-ir.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o sel-sched-dump.o -MT sel-sched-dump.o -MMD -MP -MF ./.deps/sel-sched-dump.TPo ../../src/gcc/sel-sched-dump.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o sel-sched.o -MT sel-sched.o -MMD -MP -MF ./.deps/sel-sched.TPo ../../src/gcc/sel-sched.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o selftest-rtl.o -MT selftest-rtl.o -MMD -MP -MF ./.deps/selftest-rtl.TPo ../../src/gcc/selftest-rtl.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o selftest-run-tests.o -MT selftest-run-tests.o -MMD -MP -MF ./.deps/selftest-run-tests.TPo ../../src/gcc/selftest-run-tests.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o sese.o -MT sese.o -MMD -MP -MF ./.deps/sese.TPo ../../src/gcc/sese.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o shrink-wrap.o -MT shrink-wrap.o -MMD -MP -MF ./.deps/shrink-wrap.TPo ../../src/gcc/shrink-wrap.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o simplify-rtx.o -MT simplify-rtx.o -MMD -MP -MF ./.deps/simplify-rtx.TPo ../../src/gcc/simplify-rtx.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o sparseset.o -MT sparseset.o -MMD -MP -MF ./.deps/sparseset.TPo ../../src/gcc/sparseset.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o spellcheck.o -MT spellcheck.o -MMD -MP -MF ./.deps/spellcheck.TPo ../../src/gcc/spellcheck.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o spellcheck-tree.o -MT spellcheck-tree.o -MMD -MP -MF ./.deps/spellcheck-tree.TPo ../../src/gcc/spellcheck-tree.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o splay-tree-utils.o -MT splay-tree-utils.o -MMD -MP -MF ./.deps/splay-tree-utils.TPo ../../src/gcc/splay-tree-utils.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o sreal.o -MT sreal.o -MMD -MP -MF ./.deps/sreal.TPo ../../src/gcc/sreal.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o stack-ptr-mod.o -MT stack-ptr-mod.o -MMD -MP -MF ./.deps/stack-ptr-mod.TPo ../../src/gcc/stack-ptr-mod.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o statistics.o -MT statistics.o -MMD -MP -MF ./.deps/statistics.TPo ../../src/gcc/statistics.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o stmt.o -MT stmt.o -MMD -MP -MF ./.deps/stmt.TPo ../../src/gcc/stmt.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o stor-layout.o -MT stor-layout.o -MMD -MP -MF ./.deps/stor-layout.TPo ../../src/gcc/stor-layout.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o store-motion.o -MT store-motion.o -MMD -MP -MF ./.deps/store-motion.TPo ../../src/gcc/store-motion.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o streamer-hooks.o -MT streamer-hooks.o -MMD -MP -MF ./.deps/streamer-hooks.TPo ../../src/gcc/streamer-hooks.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o stringpool.o -MT stringpool.o -MMD -MP -MF ./.deps/stringpool.TPo ../../src/gcc/stringpool.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o substring-locations.o -MT substring-locations.o -MMD -MP -MF ./.deps/substring-locations.TPo ../../src/gcc/substring-locations.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o target-globals.o -MT target-globals.o -MMD -MP -MF ./.deps/target-globals.TPo ../../src/gcc/target-globals.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o targhooks.o -MT targhooks.o -MMD -MP -MF ./.deps/targhooks.TPo ../../src/gcc/targhooks.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o timevar.o -MT timevar.o -MMD -MP -MF ./.deps/timevar.TPo ../../src/gcc/timevar.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c  -DTARGET_NAME=\"arm-linux-gnueabihf\" -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o toplev.o -MT toplev.o -MMD -MP -MF ./.deps/toplev.TPo ../../src/gcc/toplev.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tracer.o -MT tracer.o -MMD -MP -MF ./.deps/tracer.TPo ../../src/gcc/tracer.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o trans-mem.o -MT trans-mem.o -MMD -MP -MF ./.deps/trans-mem.TPo ../../src/gcc/trans-mem.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-affine.o -MT tree-affine.o -MMD -MP -MF ./.deps/tree-affine.TPo ../../src/gcc/tree-affine.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o asan.o -MT asan.o -MMD -MP -MF ./.deps/asan.TPo ../../src/gcc/asan.cc
../../src/gcc/trans-mem.cc: In function 'bool ipa_tm_insert_gettmclone_call(cgraph_node*, tm_region*, gimple_stmt_iterator*, gcall*)':
../../src/gcc/trans-mem.cc:5103:21: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 5103 |   node->create_edge (cgraph_node::get_create (gettm_fn), g, gimple_bb (g)->count);
      |   ~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
In function 'void ipa_tm_insert_irr_call(cgraph_node*, tm_region*, basic_block)',
    inlined from 'bool ipa_tm_transform_calls_1(cgraph_node*, tm_region*, basic_block, bitmap)' at ../../src/gcc/trans-mem.cc:5252:30,
    inlined from 'bool ipa_tm_transform_calls(cgraph_node*, tm_region*, basic_block, bitmap)' at ../../src/gcc/trans-mem.cc:5292:27:
../../src/gcc/trans-mem.cc:5052:21: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 5052 |   node->create_edge (cgraph_node::get_create
      |   ~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~
 5053 |                        (builtin_decl_explicit (BUILT_IN_TM_IRREVOCABLE)),
      |                        ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
 5054 |                      g, gimple_bb (g)->count);
      |                      ~~~~~~~~~~~~~~~~~~~~~~~~
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tsan.o -MT tsan.o -MMD -MP -MF ./.deps/tsan.TPo ../../src/gcc/tsan.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o ubsan.o -MT ubsan.o -MMD -MP -MF ./.deps/ubsan.TPo ../../src/gcc/ubsan.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o sanopt.o -MT sanopt.o -MMD -MP -MF ./.deps/sanopt.TPo ../../src/gcc/sanopt.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o sancov.o -MT sancov.o -MMD -MP -MF ./.deps/sancov.TPo ../../src/gcc/sancov.cc
../../src/gcc/ubsan.cc: In function 'void ubsan_create_edge(gimple*)':
../../src/gcc/ubsan.cc:687:23: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
  687 |     node->create_edge (cgraph_node::get_create (decl), call_stmt, bb->count);
      |     ~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-call-cdce.o -MT tree-call-cdce.o -MMD -MP -MF ./.deps/tree-call-cdce.TPo ../../src/gcc/tree-call-cdce.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-cfg.o -MT tree-cfg.o -MMD -MP -MF ./.deps/tree-cfg.TPo ../../src/gcc/tree-cfg.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-cfgcleanup.o -MT tree-cfgcleanup.o -MMD -MP -MF ./.deps/tree-cfgcleanup.TPo ../../src/gcc/tree-cfgcleanup.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-chrec.o -MT tree-chrec.o -MMD -MP -MF ./.deps/tree-chrec.TPo ../../src/gcc/tree-chrec.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-complex.o -MT tree-complex.o -MMD -MP -MF ./.deps/tree-complex.TPo ../../src/gcc/tree-complex.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-data-ref.o -MT tree-data-ref.o -MMD -MP -MF ./.deps/tree-data-ref.TPo ../../src/gcc/tree-data-ref.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-dfa.o -MT tree-dfa.o -MMD -MP -MF ./.deps/tree-dfa.TPo ../../src/gcc/tree-dfa.cc
In file included from ../../src/gcc/dumpfile.h:24,
                 from ../../src/gcc/coretypes.h:491,
                 from ../../src/gcc/tree-cfg.cc:23:
../../src/gcc/profile-count.h: In member function 'profile_count profile_count::apply_scale(profile_count, profile_count) const':
../../src/gcc/profile-count.h:1092:17: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 1092 |   profile_count apply_scale (profile_count num, profile_count den) const
      |                 ^~~~~~~~~~~
../../src/gcc/profile-count.h:1092:17: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-diagnostic.o -MT tree-diagnostic.o -MMD -MP -MF ./.deps/tree-diagnostic.TPo ../../src/gcc/tree-diagnostic.cc
../../src/gcc/tree-cfg.cc: In function 'bool gimple_duplicate_sese_region(edge, edge, basic_block_def**, unsigned int, basic_block_def**, bool)':
../../src/gcc/tree-cfg.cc:6623:43: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 6623 |       scale_bbs_frequencies_profile_count (region, n_region,
      |       ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~
 6624 |                                            total_count - entry_count,
      |                                            ~~~~~~~~~~~~~~~~~~~~~~~~~~
 6625 |                                            total_count);
      |                                            ~~~~~~~~~~~~
../../src/gcc/tree-cfg.cc:6626:43: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 6626 |       scale_bbs_frequencies_profile_count (region_copy, n_region, entry_count,
      |       ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
 6627 |                                            total_count);
      |                                            ~~~~~~~~~~~~
../../src/gcc/tree-cfg.cc: In function 'bool gimple_duplicate_sese_tail(edge, edge, basic_block_def**, unsigned int, basic_block_def**)':
../../src/gcc/tree-cfg.cc:6771:43: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 6771 |       scale_bbs_frequencies_profile_count (region, n_region,
      |       ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~
 6772 |                                            total_count - exit_count,
      |                                            ~~~~~~~~~~~~~~~~~~~~~~~~~
 6773 |                                            total_count);
      |                                            ~~~~~~~~~~~~
../../src/gcc/tree-cfg.cc:6774:43: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 6774 |       scale_bbs_frequencies_profile_count (region_copy, n_region, exit_count,
      |       ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
 6775 |                                            total_count);
      |                                            ~~~~~~~~~~~~
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-diagnostic-path.o -MT tree-diagnostic-path.o -MMD -MP -MF ./.deps/tree-diagnostic-path.TPo ../../src/gcc/tree-diagnostic-path.cc
../../src/gcc/tree-cfg.cc: In function 'unsigned int execute_fixup_cfg()':
../../src/gcc/tree-cfg.cc:9750:59: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 9750 |         = EXIT_BLOCK_PTR_FOR_FN (cfun)->count.apply_scale (num, den);
../../src/gcc/tree-cfg.cc:9756:43: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 9756 |         bb->count = bb->count.apply_scale (num, den);
      |                     ~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~
../../src/gcc/tree-cfg.cc:9834:37: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 9834 |                   node->create_edge (cgraph_node::get_create (fndecl),
      |                   ~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
 9835 |                                      call_stmt, bb->count);
      |                                      ~~~~~~~~~~~~~~~~~~~~~
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-dump.o -MT tree-dump.o -MMD -MP -MF ./.deps/tree-dump.TPo ../../src/gcc/tree-dump.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-eh.o -MT tree-eh.o -MMD -MP -MF ./.deps/tree-eh.TPo ../../src/gcc/tree-eh.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-emutls.o -MT tree-emutls.o -MMD -MP -MF ./.deps/tree-emutls.TPo ../../src/gcc/tree-emutls.cc
In function 'tree_node* gen_emutls_addr(tree, lower_emutls_data*, bool)',
    inlined from 'tree_node* lower_emutls_1(tree_node**, int*, void*)' at ../../src/gcc/tree-emutls.cc:539:26:
../../src/gcc/tree-emutls.cc:422:33: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
  422 |       d->cfun_node->create_edge (d->builtin_node, x, d->bb->count);
      |       ~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-if-conv.o -MT tree-if-conv.o -MMD -MP -MF ./.deps/tree-if-conv.TPo ../../src/gcc/tree-if-conv.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-inline.o -MT tree-inline.o -MMD -MP -MF ./.deps/tree-inline.TPo ../../src/gcc/tree-inline.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-into-ssa.o -MT tree-into-ssa.o -MMD -MP -MF ./.deps/tree-into-ssa.TPo ../../src/gcc/tree-into-ssa.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-iterator.o -MT tree-iterator.o -MMD -MP -MF ./.deps/tree-iterator.TPo ../../src/gcc/tree-iterator.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-loop-distribution.o -MT tree-loop-distribution.o -MMD -MP -MF ./.deps/tree-loop-distribution.TPo ../../src/gcc/tree-loop-distribution.cc
In file included from ../../src/gcc/dumpfile.h:24,
                 from ../../src/gcc/coretypes.h:491,
                 from ../../src/gcc/tree-inline.cc:23:
../../src/gcc/profile-count.h: In member function 'profile_count profile_count::apply_scale(profile_count, profile_count) const':
../../src/gcc/profile-count.h:1092:17: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 1092 |   profile_count apply_scale (profile_count num, profile_count den) const
      |                 ^~~~~~~~~~~
../../src/gcc/profile-count.h:1092:17: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-nested.o -MT tree-nested.o -MMD -MP -MF ./.deps/tree-nested.TPo ../../src/gcc/tree-nested.cc
../../src/gcc/tree-inline.cc: In function 'basic_block_def* copy_bb(copy_body_data*, basic_block, profile_count, profile_count)':
../../src/gcc/tree-inline.cc:2022:1: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 2022 | copy_bb (copy_body_data *id, basic_block bb,
      | ^~~~~~~
../../src/gcc/tree-inline.cc:2022:1: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
../../src/gcc/tree-inline.cc:2040:51: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 2040 |   copy_basic_block->count = bb->count.apply_scale (num, den);
      |                             ~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~
../../src/gcc/tree-inline.cc:2279:47: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 2279 |                               edge = e->clone (id->dst_node, call_stmt,
      |                                      ~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~
 2280 |                                                gimple_uid (stmt), num, den,
      |                                                ~~~~~~~~~~~~~~~~~~~~~~~~~~~~
 2281 |                                                true);
      |                                                ~~~~~
../../src/gcc/tree-inline.cc:2279:47: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
../../src/gcc/tree-inline.cc:2296:54: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 2296 |                           indirect = indirect->clone (id->dst_node, call_stmt,
      |                                      ~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~
 2297 |                                                       gimple_uid (stmt),
      |                                                       ~~~~~~~~~~~~~~~~~~
 2298 |                                                       num, den,
      |                                                       ~~~~~~~~~
 2299 |                                                       true);
      |                                                       ~~~~~
../../src/gcc/tree-inline.cc:2296:54: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
../../src/gcc/tree-inline.cc:2309:46: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 2309 |                           edge = edge->clone (id->dst_node, call_stmt,
      |                                  ~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~
 2310 |                                               gimple_uid (stmt),
      |                                               ~~~~~~~~~~~~~~~~~~
 2311 |                                               num, den,
      |                                               ~~~~~~~~~
 2312 |                                               true);
      |                                               ~~~~~
../../src/gcc/tree-inline.cc:2309:46: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
../../src/gcc/tree-inline.cc:2356:23: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 2355 |                     id->dst_node->create_edge_including_clones
      |                     ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
 2356 |                       (dest, orig_stmt, call_stmt, bb->count,
      |                       ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
 2357 |                        CIF_ORIGINALLY_INDIRECT_CALL);
      |                        ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
../../src/gcc/tree-inline.cc:2359:47: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 2359 |                     id->dst_node->create_edge (dest, call_stmt,
      |                     ~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~
 2360 |                                         bb->count)->inline_failed
      |                                         ~~~~~~~~~~
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-nrv.o -MT tree-nrv.o -MMD -MP -MF ./.deps/tree-nrv.TPo ../../src/gcc/tree-nrv.cc
In function 'tree_node* copy_cfg_body(copy_body_data*, basic_block, basic_block, basic_block)',
    inlined from 'tree_node* copy_body(copy_body_data*, basic_block, basic_block, basic_block)' at ../../src/gcc/tree-inline.cc:3340:24:
../../src/gcc/tree-inline.cc:3087:38: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 3087 |         basic_block new_bb = copy_bb (id, bb, num, den);
      |                              ~~~~~~~~^~~~~~~~~~~~~~~~~~
In function 'bool copy_edges_for_bb(basic_block, profile_count, profile_count, basic_block, basic_block, copy_body_data*)',
    inlined from 'tree_node* copy_cfg_body(copy_body_data*, basic_block, basic_block, basic_block)' at ../../src/gcc/tree-inline.cc:3110:47,
    inlined from 'tree_node* copy_body(copy_body_data*, basic_block, basic_block, basic_block)' at ../../src/gcc/tree-inline.cc:3340:24:
../../src/gcc/tree-inline.cc:2567:57: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 2567 |         new_bb->count -= old_edge->count ().apply_scale (num, den);
      |                          ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-object-size.o -MT tree-object-size.o -MMD -MP -MF ./.deps/tree-object-size.TPo ../../src/gcc/tree-object-size.cc
In function 'void initialize_cfun(tree, tree, profile_count)',
    inlined from 'void tree_function_versioning(tree, tree, vec<ipa_replace_map*, va_gc>*, ipa_param_adjustments*, bool, bitmap, basic_block)' at ../../src/gcc/tree-inline.cc:6255:19:
../../src/gcc/tree-inline.cc:2842:58: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 2842 |     ENTRY_BLOCK_PTR_FOR_FN (src_cfun)->count.apply_scale (count,
../../src/gcc/tree-inline.cc:2845:57: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 2845 |     EXIT_BLOCK_PTR_FOR_FN (src_cfun)->count.apply_scale (count,
../../src/gcc/tree-inline.cc: In function 'bool expand_call_inline(basic_block, gimple*, copy_body_data*, bitmap)':
../../src/gcc/tree-inline.cc:4883:43: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 4883 |       edge = id->src_node->callees->clone (id->dst_node, call_stmt,
      |              ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~
 4884 |                                            gimple_uid (stmt),
      |                                            ~~~~~~~~~~~~~~~~~~
 4885 |                                            profile_count::one (),
      |                                            ~~~~~~~~~~~~~~~~~~~~~~
 4886 |                                            profile_count::one (),
      |                                            ~~~~~~~~~~~~~~~~~~~~~~
 4887 |                                            true);
      |                                            ~~~~~
../../src/gcc/tree-inline.cc:4883:43: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
../../src/gcc/tree-inline.cc:4883:43: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
../../src/gcc/tree-inline.cc:4883:43: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-outof-ssa.o -MT tree-outof-ssa.o -MMD -MP -MF ./.deps/tree-outof-ssa.TPo ../../src/gcc/tree-outof-ssa.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-parloops.o -MT tree-parloops.o -MMD -MP -MF ./.deps/tree-parloops.TPo ../../src/gcc/tree-parloops.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-phinodes.o -MT tree-phinodes.o -MMD -MP -MF ./.deps/tree-phinodes.TPo ../../src/gcc/tree-phinodes.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-predcom.o -MT tree-predcom.o -MMD -MP -MF ./.deps/tree-predcom.TPo ../../src/gcc/tree-predcom.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-pretty-print.o -MT tree-pretty-print.o -MMD -MP -MF ./.deps/tree-pretty-print.TPo ../../src/gcc/tree-pretty-print.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-profile.o -MT tree-profile.o -MMD -MP -MF ./.deps/tree-profile.TPo ../../src/gcc/tree-profile.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-scalar-evolution.o -MT tree-scalar-evolution.o -MMD -MP -MF ./.deps/tree-scalar-evolution.TPo ../../src/gcc/tree-scalar-evolution.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-sra.o -MT tree-sra.o -MMD -MP -MF ./.deps/tree-sra.TPo ../../src/gcc/tree-sra.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-switch-conversion.o -MT tree-switch-conversion.o -MMD -MP -MF ./.deps/tree-switch-conversion.TPo ../../src/gcc/tree-switch-conversion.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-address.o -MT tree-ssa-address.o -MMD -MP -MF ./.deps/tree-ssa-address.TPo ../../src/gcc/tree-ssa-address.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-alias.o -MT tree-ssa-alias.o -MMD -MP -MF ./.deps/tree-ssa-alias.TPo ../../src/gcc/tree-ssa-alias.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-ccp.o -MT tree-ssa-ccp.o -MMD -MP -MF ./.deps/tree-ssa-ccp.TPo ../../src/gcc/tree-ssa-ccp.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-coalesce.o -MT tree-ssa-coalesce.o -MMD -MP -MF ./.deps/tree-ssa-coalesce.TPo ../../src/gcc/tree-ssa-coalesce.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-copy.o -MT tree-ssa-copy.o -MMD -MP -MF ./.deps/tree-ssa-copy.TPo ../../src/gcc/tree-ssa-copy.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-dce.o -MT tree-ssa-dce.o -MMD -MP -MF ./.deps/tree-ssa-dce.TPo ../../src/gcc/tree-ssa-dce.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-dom.o -MT tree-ssa-dom.o -MMD -MP -MF ./.deps/tree-ssa-dom.TPo ../../src/gcc/tree-ssa-dom.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-dse.o -MT tree-ssa-dse.o -MMD -MP -MF ./.deps/tree-ssa-dse.TPo ../../src/gcc/tree-ssa-dse.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-forwprop.o -MT tree-ssa-forwprop.o -MMD -MP -MF ./.deps/tree-ssa-forwprop.TPo ../../src/gcc/tree-ssa-forwprop.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-ifcombine.o -MT tree-ssa-ifcombine.o -MMD -MP -MF ./.deps/tree-ssa-ifcombine.TPo ../../src/gcc/tree-ssa-ifcombine.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-live.o -MT tree-ssa-live.o -MMD -MP -MF ./.deps/tree-ssa-live.TPo ../../src/gcc/tree-ssa-live.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-loop-ch.o -MT tree-ssa-loop-ch.o -MMD -MP -MF ./.deps/tree-ssa-loop-ch.TPo ../../src/gcc/tree-ssa-loop-ch.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-loop-im.o -MT tree-ssa-loop-im.o -MMD -MP -MF ./.deps/tree-ssa-loop-im.TPo ../../src/gcc/tree-ssa-loop-im.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-loop-ivcanon.o -MT tree-ssa-loop-ivcanon.o -MMD -MP -MF ./.deps/tree-ssa-loop-ivcanon.TPo ../../src/gcc/tree-ssa-loop-ivcanon.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-loop-ivopts.o -MT tree-ssa-loop-ivopts.o -MMD -MP -MF ./.deps/tree-ssa-loop-ivopts.TPo ../../src/gcc/tree-ssa-loop-ivopts.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-loop-manip.o -MT tree-ssa-loop-manip.o -MMD -MP -MF ./.deps/tree-ssa-loop-manip.TPo ../../src/gcc/tree-ssa-loop-manip.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-loop-niter.o -MT tree-ssa-loop-niter.o -MMD -MP -MF ./.deps/tree-ssa-loop-niter.TPo ../../src/gcc/tree-ssa-loop-niter.cc
../../src/gcc/tree-ssa-loop-manip.cc: In function 'void scale_dominated_blocks_in_loop(loop*, basic_block, profile_count, profile_count)':
../../src/gcc/tree-ssa-loop-manip.cc:1124:1: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 1124 | scale_dominated_blocks_in_loop (class loop *loop, basic_block bb,
      | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
../../src/gcc/tree-ssa-loop-manip.cc:1124:1: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
../../src/gcc/tree-ssa-loop-manip.cc:1138:43: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 1138 |       scale_bbs_frequencies_profile_count (&son, 1, num, den);
      |       ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~
../../src/gcc/tree-ssa-loop-manip.cc:1139:38: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 1139 |       scale_dominated_blocks_in_loop (loop, son, num, den);
      |       ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~
../../src/gcc/tree-ssa-loop-manip.cc: In function 'void tree_transform_and_unroll_loop(loop*, unsigned int, tree_niter_desc*, transform_callback, void*)':
../../src/gcc/tree-ssa-loop-manip.cc:1305:40: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 1305 |         scale_dominated_blocks_in_loop (loop, exit->src,
      |         ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~
 1306 |                                         /* We are scaling up here so
      |                                         ~~~~~~~~~~~~~~~~~~~~~~~~~~~~
 1307 |                                            probability does not fit.  */
      |                                            ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
 1308 |                                         loop->header->count,
      |                                         ~~~~~~~~~~~~~~~~~~~~
 1309 |                                         loop->header->count
      |                                         ~~~~~~~~~~~~~~~~~~~
 1310 |                                         - loop->header->count.apply_probability
      |                                         ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
 1311 |                                             (exit->probability));
      |                                             ~~~~~~~~~~~~~~~~~~~~
../../src/gcc/tree-ssa-loop-manip.cc:1469:47: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 1469 |           scale_bbs_frequencies_profile_count (body, loop->num_nodes,
      |           ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~
 1470 |                                                new_header_count,
      |                                                ~~~~~~~~~~~~~~~~~
 1471 |                                                old_header_count);
      |                                                ~~~~~~~~~~~~~~~~~
../../src/gcc/tree-ssa-loop-manip.cc:1492:40: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 1492 |         scale_dominated_blocks_in_loop (loop, new_exit->src, new_latch_count,
      |         ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
 1493 |                                         old_latch_count);
      |                                         ~~~~~~~~~~~~~~~~
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-loop-prefetch.o -MT tree-ssa-loop-prefetch.o -MMD -MP -MF ./.deps/tree-ssa-loop-prefetch.TPo ../../src/gcc/tree-ssa-loop-prefetch.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-loop-split.o -MT tree-ssa-loop-split.o -MMD -MP -MF ./.deps/tree-ssa-loop-split.TPo ../../src/gcc/tree-ssa-loop-split.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-loop-unswitch.o -MT tree-ssa-loop-unswitch.o -MMD -MP -MF ./.deps/tree-ssa-loop-unswitch.TPo ../../src/gcc/tree-ssa-loop-unswitch.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-loop.o -MT tree-ssa-loop.o -MMD -MP -MF ./.deps/tree-ssa-loop.TPo ../../src/gcc/tree-ssa-loop.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-math-opts.o -MT tree-ssa-math-opts.o -MMD -MP -MF ./.deps/tree-ssa-math-opts.TPo ../../src/gcc/tree-ssa-math-opts.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-operands.o -MT tree-ssa-operands.o -MMD -MP -MF ./.deps/tree-ssa-operands.TPo ../../src/gcc/tree-ssa-operands.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-range-path.o -MT gimple-range-path.o -MMD -MP -MF ./.deps/gimple-range-path.TPo ../../src/gcc/gimple-range-path.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-phiopt.o -MT tree-ssa-phiopt.o -MMD -MP -MF ./.deps/tree-ssa-phiopt.TPo ../../src/gcc/tree-ssa-phiopt.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-phiprop.o -MT tree-ssa-phiprop.o -MMD -MP -MF ./.deps/tree-ssa-phiprop.TPo ../../src/gcc/tree-ssa-phiprop.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-pre.o -MT tree-ssa-pre.o -MMD -MP -MF ./.deps/tree-ssa-pre.TPo ../../src/gcc/tree-ssa-pre.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-propagate.o -MT tree-ssa-propagate.o -MMD -MP -MF ./.deps/tree-ssa-propagate.TPo ../../src/gcc/tree-ssa-propagate.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-reassoc.o -MT tree-ssa-reassoc.o -MMD -MP -MF ./.deps/tree-ssa-reassoc.TPo ../../src/gcc/tree-ssa-reassoc.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-sccvn.o -MT tree-ssa-sccvn.o -MMD -MP -MF ./.deps/tree-ssa-sccvn.TPo ../../src/gcc/tree-ssa-sccvn.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-scopedtables.o -MT tree-ssa-scopedtables.o -MMD -MP -MF ./.deps/tree-ssa-scopedtables.TPo ../../src/gcc/tree-ssa-scopedtables.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-sink.o -MT tree-ssa-sink.o -MMD -MP -MF ./.deps/tree-ssa-sink.TPo ../../src/gcc/tree-ssa-sink.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-strlen.o -MT tree-ssa-strlen.o -MMD -MP -MF ./.deps/tree-ssa-strlen.TPo ../../src/gcc/tree-ssa-strlen.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-structalias.o -MT tree-ssa-structalias.o -MMD -MP -MF ./.deps/tree-ssa-structalias.TPo ../../src/gcc/tree-ssa-structalias.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-tail-merge.o -MT tree-ssa-tail-merge.o -MMD -MP -MF ./.deps/tree-ssa-tail-merge.TPo ../../src/gcc/tree-ssa-tail-merge.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-ter.o -MT tree-ssa-ter.o -MMD -MP -MF ./.deps/tree-ssa-ter.TPo ../../src/gcc/tree-ssa-ter.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-threadbackward.o -MT tree-ssa-threadbackward.o -MMD -MP -MF ./.deps/tree-ssa-threadbackward.TPo ../../src/gcc/tree-ssa-threadbackward.cc
In function 'void replace_block_by(basic_block, basic_block)',
    inlined from 'int apply_clusters()' at ../../src/gcc/tree-ssa-tail-merge.cc:1661:21,
    inlined from 'unsigned int tail_merge_optimize(bool)' at ../../src/gcc/tree-ssa-tail-merge.cc:1773:39:
../../src/gcc/tree-ssa-tail-merge.cc:1598:30: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 1597 |         e2->probability = e1->probability.combine_with_count
      |                           ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
 1598 |                              (bb1->count, e2->probability, bb2->count);
      |                              ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-threadedge.o -MT tree-ssa-threadedge.o -MMD -MP -MF ./.deps/tree-ssa-threadedge.TPo ../../src/gcc/tree-ssa-threadedge.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-threadupdate.o -MT tree-ssa-threadupdate.o -MMD -MP -MF ./.deps/tree-ssa-threadupdate.TPo ../../src/gcc/tree-ssa-threadupdate.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-uncprop.o -MT tree-ssa-uncprop.o -MMD -MP -MF ./.deps/tree-ssa-uncprop.TPo ../../src/gcc/tree-ssa-uncprop.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-uninit.o -MT tree-ssa-uninit.o -MMD -MP -MF ./.deps/tree-ssa-uninit.TPo ../../src/gcc/tree-ssa-uninit.cc
../../src/gcc/tree-ssa-threadupdate.cc: In function 'void update_profile(edge, edge, profile_count, profile_count)':
../../src/gcc/tree-ssa-threadupdate.cc:946:1: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
  946 | update_profile (edge epath, edge edup, profile_count path_in_count,
      | ^~~~~~~~~~~~~~
../../src/gcc/tree-ssa-threadupdate.cc:946:1: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa.o -MT tree-ssa.o -MMD -MP -MF ./.deps/tree-ssa.TPo ../../src/gcc/tree-ssa.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssanames.o -MT tree-ssanames.o -MMD -MP -MF ./.deps/tree-ssanames.TPo ../../src/gcc/tree-ssanames.cc
../../src/gcc/tree-ssa-threadupdate.cc: In function 'void ssa_fix_duplicate_block_edges(redirection_data*, ssa_local_info_t*)':
../../src/gcc/tree-ssa-threadupdate.cc:1129:26: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 1129 |           update_profile (epath, e2, path_in_count, path_out_count);
      |           ~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
../../src/gcc/tree-ssa-threadupdate.cc:1148:26: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 1148 |           update_profile (epath, EDGE_SUCC (rd->dup_blocks[count], 0),
      |           ~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
 1149 |                           path_out_count, path_out_count);
      |                           ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
../../src/gcc/tree-ssa-threadupdate.cc:1166:27: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 1166 |            update_profile (epath, NULL, path_out_count, path_out_count);
      |            ~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
../../src/gcc/tree-ssa-threadupdate.cc: In member function 'bool back_jt_path_registry::duplicate_thread_path(edge, edge, basic_block_def**, unsigned int, unsigned int)':
../../src/gcc/tree-ssa-threadupdate.cc:2453:49: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 2453 |             scale_bbs_frequencies_profile_count (region + i, 1,
      |             ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~
 2454 |                                                  region[i]->count - curr_count,
      |                                                  ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
 2455 |                                                  region[i]->count);
      |                                                  ~~~~~~~~~~~~~~~~~
../../src/gcc/tree-ssa-threadupdate.cc:2460:47: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 2460 |           scale_bbs_frequencies_profile_count (region_copy + i, 1, curr_count,
      |           ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
 2461 |                                                region_copy[i]->count);
      |                                                ~~~~~~~~~~~~~~~~~~~~~~
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-stdarg.o -MT tree-stdarg.o -MMD -MP -MF ./.deps/tree-stdarg.TPo ../../src/gcc/tree-stdarg.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-streamer.o -MT tree-streamer.o -MMD -MP -MF ./.deps/tree-streamer.TPo ../../src/gcc/tree-streamer.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-streamer-in.o -MT tree-streamer-in.o -MMD -MP -MF ./.deps/tree-streamer-in.TPo ../../src/gcc/tree-streamer-in.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-streamer-out.o -MT tree-streamer-out.o -MMD -MP -MF ./.deps/tree-streamer-out.TPo ../../src/gcc/tree-streamer-out.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-tailcall.o -MT tree-tailcall.o -MMD -MP -MF ./.deps/tree-tailcall.TPo ../../src/gcc/tree-tailcall.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-vect-generic.o -MT tree-vect-generic.o -MMD -MP -MF ./.deps/tree-vect-generic.TPo ../../src/gcc/tree-vect-generic.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-isel.o -MT gimple-isel.o -MMD -MP -MF ./.deps/gimple-isel.TPo ../../src/gcc/gimple-isel.cc
../../src/gcc/tree-tailcall.cc: In function 'void decrease_profile(basic_block, profile_count)':
../../src/gcc/tree-tailcall.cc:915:1: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
  915 | decrease_profile (basic_block bb, profile_count count)
      | ^~~~~~~~~~~~~~~~
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-vect-patterns.o -MT tree-vect-patterns.o -MMD -MP -MF ./.deps/tree-vect-patterns.TPo ../../src/gcc/tree-vect-patterns.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-vect-data-refs.o -MT tree-vect-data-refs.o -MMD -MP -MF ./.deps/tree-vect-data-refs.TPo ../../src/gcc/tree-vect-data-refs.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-vect-stmts.o -MT tree-vect-stmts.o -MMD -MP -MF ./.deps/tree-vect-stmts.TPo ../../src/gcc/tree-vect-stmts.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-vect-loop.o -MT tree-vect-loop.o -MMD -MP -MF ./.deps/tree-vect-loop.TPo ../../src/gcc/tree-vect-loop.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-vect-loop-manip.o -MT tree-vect-loop-manip.o -MMD -MP -MF ./.deps/tree-vect-loop-manip.TPo ../../src/gcc/tree-vect-loop-manip.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-vect-slp.o -MT tree-vect-slp.o -MMD -MP -MF ./.deps/tree-vect-slp.TPo ../../src/gcc/tree-vect-slp.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-vect-slp-patterns.o -MT tree-vect-slp-patterns.o -MMD -MP -MF ./.deps/tree-vect-slp-patterns.TPo ../../src/gcc/tree-vect-slp-patterns.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-vectorizer.o -MT tree-vectorizer.o -MMD -MP -MF ./.deps/tree-vectorizer.TPo ../../src/gcc/tree-vectorizer.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-vector-builder.o -MT tree-vector-builder.o -MMD -MP -MF ./.deps/tree-vector-builder.TPo ../../src/gcc/tree-vector-builder.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-vrp.o -MT tree-vrp.o -MMD -MP -MF ./.deps/tree-vrp.TPo ../../src/gcc/tree-vrp.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree.o -MT tree.o -MMD -MP -MF ./.deps/tree.TPo ../../src/gcc/tree.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tristate.o -MT tristate.o -MMD -MP -MF ./.deps/tristate.TPo ../../src/gcc/tristate.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o typed-splay-tree.o -MT typed-splay-tree.o -MMD -MP -MF ./.deps/typed-splay-tree.TPo ../../src/gcc/typed-splay-tree.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o valtrack.o -MT valtrack.o -MMD -MP -MF ./.deps/valtrack.TPo ../../src/gcc/valtrack.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o value-pointer-equiv.o -MT value-pointer-equiv.o -MMD -MP -MF ./.deps/value-pointer-equiv.TPo ../../src/gcc/value-pointer-equiv.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o value-query.o -MT value-query.o -MMD -MP -MF ./.deps/value-query.TPo ../../src/gcc/value-query.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o value-range.o -MT value-range.o -MMD -MP -MF ./.deps/value-range.TPo ../../src/gcc/value-range.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o value-range-equiv.o -MT value-range-equiv.o -MMD -MP -MF ./.deps/value-range-equiv.TPo ../../src/gcc/value-range-equiv.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o value-relation.o -MT value-relation.o -MMD -MP -MF ./.deps/value-relation.TPo ../../src/gcc/value-relation.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o value-prof.o -MT value-prof.o -MMD -MP -MF ./.deps/value-prof.TPo ../../src/gcc/value-prof.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o var-tracking.o -MT var-tracking.o -MMD -MP -MF ./.deps/var-tracking.TPo ../../src/gcc/var-tracking.cc
../../src/gcc/value-prof.cc: In function 'bool check_counter(gimple*, const char*, gcov_type*, gcov_type*, profile_count)':
../../src/gcc/value-prof.cc:573:1: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
  573 | check_counter (gimple *stmt, const char * name,
      | ^~~~~~~~~~~~~
../../src/gcc/value-prof.cc: In function 'bool get_nth_most_common_value(gimple*, const char*, histogram_value, gcov_type*, gcov_type*, gcov_type*, unsigned int)':
../../src/gcc/value-prof.cc:799:24: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
  799 |       && check_counter (stmt, counter_type, &c, &read_all,
      |          ~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
  800 |                         gimple_bb (stmt)->count))
      |                         ~~~~~~~~~~~~~~~~~~~~~~~~
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o varasm.o -MT varasm.o -MMD -MP -MF ./.deps/varasm.TPo ../../src/gcc/varasm.cc
In function 'bool gimple_mod_subtract_transform(gimple_stmt_iterator*)',
    inlined from 'bool gimple_value_profile_transformations()' at ../../src/gcc/value-prof.cc:644:38:
../../src/gcc/value-prof.cc:1168:21: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 1168 |   if (check_counter (stmt, "interval", &count1, &all, gimple_bb (stmt)->count))
      |       ~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
In function 'bool gimple_mod_pow2_value_transform(gimple_stmt_iterator*)',
    inlined from 'bool gimple_value_profile_transformations()' at ../../src/gcc/value-prof.cc:646:43:
../../src/gcc/value-prof.cc:1005:21: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 1005 |   if (check_counter (stmt, "pow2", &count, &all, gimple_bb (stmt)->count))
      |       ~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
In function 'bool gimple_stringops_transform(gimple_stmt_iterator*)',
    inlined from 'bool gimple_value_profile_transformations()' at ../../src/gcc/value-prof.cc:647:38:
../../src/gcc/value-prof.cc:1678:21: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 1678 |   if (check_counter (stmt, "value", &count, &all, gimple_bb (stmt)->count))
      |       ~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o varpool.o -MT varpool.o -MMD -MP -MF ./.deps/varpool.TPo ../../src/gcc/varpool.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o vec-perm-indices.o -MT vec-perm-indices.o -MMD -MP -MF ./.deps/vec-perm-indices.TPo ../../src/gcc/vec-perm-indices.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o vmsdbgout.o -MT vmsdbgout.o -MMD -MP -MF ./.deps/vmsdbgout.TPo ../../src/gcc/vmsdbgout.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o vr-values.o -MT vr-values.o -MMD -MP -MF ./.deps/vr-values.TPo ../../src/gcc/vr-values.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o vtable-verify.o -MT vtable-verify.o -MMD -MP -MF ./.deps/vtable-verify.TPo ../../src/gcc/vtable-verify.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o warning-control.o -MT warning-control.o -MMD -MP -MF ./.deps/warning-control.TPo ../../src/gcc/warning-control.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o web.o -MT web.o -MMD -MP -MF ./.deps/web.TPo ../../src/gcc/web.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o wide-int.o -MT wide-int.o -MMD -MP -MF ./.deps/wide-int.TPo ../../src/gcc/wide-int.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o wide-int-print.o -MT wide-int-print.o -MMD -MP -MF ./.deps/wide-int-print.TPo ../../src/gcc/wide-int-print.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o xcoffout.o -MT xcoffout.o -MMD -MP -MF ./.deps/xcoffout.TPo ../../src/gcc/xcoffout.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o arm.o -MT arm.o -MMD -MP -MF ./.deps/arm.TPo ../../src/gcc/config/arm/arm.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual    -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/analysis-plan.o -MT analyzer/analysis-plan.o -MMD -MP -MF analyzer/.deps/analysis-plan.TPo ../../src/gcc/analyzer/analysis-plan.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual    -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/analyzer.o -MT analyzer/analyzer.o -MMD -MP -MF analyzer/.deps/analyzer.TPo ../../src/gcc/analyzer/analyzer.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual    -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/analyzer-logging.o -MT analyzer/analyzer-logging.o -MMD -MP -MF analyzer/.deps/analyzer-logging.TPo ../../src/gcc/analyzer/analyzer-logging.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual    -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/analyzer-pass.o -MT analyzer/analyzer-pass.o -MMD -MP -MF analyzer/.deps/analyzer-pass.TPo ../../src/gcc/analyzer/analyzer-pass.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual    -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/analyzer-selftests.o -MT analyzer/analyzer-selftests.o -MMD -MP -MF analyzer/.deps/analyzer-selftests.TPo ../../src/gcc/analyzer/analyzer-selftests.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual    -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/bar-chart.o -MT analyzer/bar-chart.o -MMD -MP -MF analyzer/.deps/bar-chart.TPo ../../src/gcc/analyzer/bar-chart.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual    -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/call-info.o -MT analyzer/call-info.o -MMD -MP -MF analyzer/.deps/call-info.TPo ../../src/gcc/analyzer/call-info.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual    -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/call-string.o -MT analyzer/call-string.o -MMD -MP -MF analyzer/.deps/call-string.TPo ../../src/gcc/analyzer/call-string.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual    -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/checker-path.o -MT analyzer/checker-path.o -MMD -MP -MF analyzer/.deps/checker-path.TPo ../../src/gcc/analyzer/checker-path.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual    -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/complexity.o -MT analyzer/complexity.o -MMD -MP -MF analyzer/.deps/complexity.TPo ../../src/gcc/analyzer/complexity.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual    -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/constraint-manager.o -MT analyzer/constraint-manager.o -MMD -MP -MF analyzer/.deps/constraint-manager.TPo ../../src/gcc/analyzer/constraint-manager.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual    -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/diagnostic-manager.o -MT analyzer/diagnostic-manager.o -MMD -MP -MF analyzer/.deps/diagnostic-manager.TPo ../../src/gcc/analyzer/diagnostic-manager.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual    -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/engine.o -MT analyzer/engine.o -MMD -MP -MF analyzer/.deps/engine.TPo ../../src/gcc/analyzer/engine.cc
../../src/gcc/analyzer/diagnostic-manager.cc: In member function 'void ana::saved_diagnostic::dump_as_dot_node(pretty_printer*) const':
../../src/gcc/analyzer/diagnostic-manager.cc:783:28: warning: unknown conversion type character 'E' in format [-Wformat=]
  783 |     pp_printf (pp, "var: %qE\n", m_var);
      |                            ^
../../src/gcc/analyzer/diagnostic-manager.cc:783:20: warning: too many arguments for format [-Wformat-extra-args]
  783 |     pp_printf (pp, "var: %qE\n", m_var);
      |                    ^~~~~~~~~~~~
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual    -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/feasible-graph.o -MT analyzer/feasible-graph.o -MMD -MP -MF analyzer/.deps/feasible-graph.TPo ../../src/gcc/analyzer/feasible-graph.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual    -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/function-set.o -MT analyzer/function-set.o -MMD -MP -MF analyzer/.deps/function-set.TPo ../../src/gcc/analyzer/function-set.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual    -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/pending-diagnostic.o -MT analyzer/pending-diagnostic.o -MMD -MP -MF analyzer/.deps/pending-diagnostic.TPo ../../src/gcc/analyzer/pending-diagnostic.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual    -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/program-point.o -MT analyzer/program-point.o -MMD -MP -MF analyzer/.deps/program-point.TPo ../../src/gcc/analyzer/program-point.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual    -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/program-state.o -MT analyzer/program-state.o -MMD -MP -MF analyzer/.deps/program-state.TPo ../../src/gcc/analyzer/program-state.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual    -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/region.o -MT analyzer/region.o -MMD -MP -MF analyzer/.deps/region.TPo ../../src/gcc/analyzer/region.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual    -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/region-model.o -MT analyzer/region-model.o -MMD -MP -MF analyzer/.deps/region-model.TPo ../../src/gcc/analyzer/region-model.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual    -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/region-model-asm.o -MT analyzer/region-model-asm.o -MMD -MP -MF analyzer/.deps/region-model-asm.TPo ../../src/gcc/analyzer/region-model-asm.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual    -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/region-model-impl-calls.o -MT analyzer/region-model-impl-calls.o -MMD -MP -MF analyzer/.deps/region-model-impl-calls.TPo ../../src/gcc/analyzer/region-model-impl-calls.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual    -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/region-model-manager.o -MT analyzer/region-model-manager.o -MMD -MP -MF analyzer/.deps/region-model-manager.TPo ../../src/gcc/analyzer/region-model-manager.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual    -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/region-model-reachability.o -MT analyzer/region-model-reachability.o -MMD -MP -MF analyzer/.deps/region-model-reachability.TPo ../../src/gcc/analyzer/region-model-reachability.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual    -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/sm.o -MT analyzer/sm.o -MMD -MP -MF analyzer/.deps/sm.TPo ../../src/gcc/analyzer/sm.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual    -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/sm-file.o -MT analyzer/sm-file.o -MMD -MP -MF analyzer/.deps/sm-file.TPo ../../src/gcc/analyzer/sm-file.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual    -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/sm-malloc.o -MT analyzer/sm-malloc.o -MMD -MP -MF analyzer/.deps/sm-malloc.TPo ../../src/gcc/analyzer/sm-malloc.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual    -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/sm-pattern-test.o -MT analyzer/sm-pattern-test.o -MMD -MP -MF analyzer/.deps/sm-pattern-test.TPo ../../src/gcc/analyzer/sm-pattern-test.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual    -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/sm-sensitive.o -MT analyzer/sm-sensitive.o -MMD -MP -MF analyzer/.deps/sm-sensitive.TPo ../../src/gcc/analyzer/sm-sensitive.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual    -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/sm-signal.o -MT analyzer/sm-signal.o -MMD -MP -MF analyzer/.deps/sm-signal.TPo ../../src/gcc/analyzer/sm-signal.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual    -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/sm-taint.o -MT analyzer/sm-taint.o -MMD -MP -MF analyzer/.deps/sm-taint.TPo ../../src/gcc/analyzer/sm-taint.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual    -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/state-purge.o -MT analyzer/state-purge.o -MMD -MP -MF analyzer/.deps/state-purge.TPo ../../src/gcc/analyzer/state-purge.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual    -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/store.o -MT analyzer/store.o -MMD -MP -MF analyzer/.deps/store.TPo ../../src/gcc/analyzer/store.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual    -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/supergraph.o -MT analyzer/supergraph.o -MMD -MP -MF analyzer/.deps/supergraph.TPo ../../src/gcc/analyzer/supergraph.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual    -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/svalue.o -MT analyzer/svalue.o -MMD -MP -MF analyzer/.deps/svalue.TPo ../../src/gcc/analyzer/svalue.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual    -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/trimmed-graph.o -MT analyzer/trimmed-graph.o -MMD -MP -MF analyzer/.deps/trimmed-graph.TPo ../../src/gcc/analyzer/trimmed-graph.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  \
	../../src/gcc/config/arm/arm-builtins.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  \
	../../src/gcc/config/arm/arm-mve-builtins.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  \
	../../src/gcc/config/arm/aarch-common.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o linux.o -MT linux.o -MMD -MP -MF ./.deps/linux.TPo ../../src/gcc/config/linux.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o host-linux.o -MT host-linux.o -MMD -MP -MF ./.deps/host-linux.TPo ../../src/gcc/config/host-linux.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o main.o -MT main.o -MMD -MP -MF ./.deps/main.TPo ../../src/gcc/main.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o arm-common.o -MT arm-common.o -MMD -MP -MF ./.deps/arm-common.TPo ../../src/gcc/common/config/arm/arm-common.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c  -DPREFIX=\"/usr/lib/ghdl/gcc\" -DBASEVER="\"12.2.0\"" -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o prefix.o -MT prefix.o -MMD -MP -MF ./.deps/prefix.TPo ../../src/gcc/prefix.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o opts.o -MT opts.o -MMD -MP -MF ./.deps/opts.TPo ../../src/gcc/opts.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o opts-common.o -MT opts-common.o -MMD -MP -MF ./.deps/opts-common.TPo ../../src/gcc/opts-common.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o options.o -MT options.o -MMD -MP -MF ./.deps/options.TPo options.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o vec.o -MT vec.o -MMD -MP -MF ./.deps/vec.TPo ../../src/gcc/vec.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o hooks.o -MT hooks.o -MMD -MP -MF ./.deps/hooks.TPo ../../src/gcc/hooks.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual    -DHAVE_CONFIG_H -I. -Icommon -I../../src/gcc -I../../src/gcc/common -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o common/common-targhooks.o -MT common/common-targhooks.o -MMD -MP -MF common/.deps/common-targhooks.TPo ../../src/gcc/common/common-targhooks.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o hash-table.o -MT hash-table.o -MMD -MP -MF ./.deps/hash-table.TPo ../../src/gcc/hash-table.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o file-find.o -MT file-find.o -MMD -MP -MF ./.deps/file-find.TPo ../../src/gcc/file-find.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o selftest.o -MT selftest.o -MMD -MP -MF ./.deps/selftest.TPo ../../src/gcc/selftest.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o opt-suggestions.o -MT opt-suggestions.o -MMD -MP -MF ./.deps/opt-suggestions.TPo ../../src/gcc/opt-suggestions.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o diagnostic-spec.o -MT diagnostic-spec.o -MMD -MP -MF ./.deps/diagnostic-spec.TPo ../../src/gcc/diagnostic-spec.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o diagnostic.o -MT diagnostic.o -MMD -MP -MF ./.deps/diagnostic.TPo ../../src/gcc/diagnostic.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o diagnostic-color.o -MT diagnostic-color.o -MMD -MP -MF ./.deps/diagnostic-color.TPo ../../src/gcc/diagnostic-color.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o diagnostic-show-locus.o -MT diagnostic-show-locus.o -MMD -MP -MF ./.deps/diagnostic-show-locus.TPo ../../src/gcc/diagnostic-show-locus.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o diagnostic-format-json.o -MT diagnostic-format-json.o -MMD -MP -MF ./.deps/diagnostic-format-json.TPo ../../src/gcc/diagnostic-format-json.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o json.o -MT json.o -MMD -MP -MF ./.deps/json.TPo ../../src/gcc/json.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o edit-context.o -MT edit-context.o -MMD -MP -MF ./.deps/edit-context.TPo ../../src/gcc/edit-context.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o pretty-print.o -MT pretty-print.o -MMD -MP -MF ./.deps/pretty-print.TPo ../../src/gcc/pretty-print.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c  -DLOCALEDIR=\"/usr/lib/ghdl/gcc/share/locale\" -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o intl.o -MT intl.o -MMD -MP -MF ./.deps/intl.TPo ../../src/gcc/intl.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o sbitmap.o -MT sbitmap.o -MMD -MP -MF ./.deps/sbitmap.TPo ../../src/gcc/sbitmap.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o input.o -MT input.o -MMD -MP -MF ./.deps/input.TPo ../../src/gcc/input.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o ggc-none.o -MT ggc-none.o -MMD -MP -MF ./.deps/ggc-none.TPo ../../src/gcc/ggc-none.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o memory-block.o -MT memory-block.o -MMD -MP -MF ./.deps/memory-block.TPo ../../src/gcc/memory-block.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o selftest-diagnostic.o -MT selftest-diagnostic.o -MMD -MP -MF ./.deps/selftest-diagnostic.TPo ../../src/gcc/selftest-diagnostic.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o sort.o -MT sort.o -MMD -MP -MF ./.deps/sort.TPo ../../src/gcc/sort.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c  -DIN_GCC_FRONTEND -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -Ilto -I../../src/gcc -I../../src/gcc/lto -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o lto/lto-lang.o -MT lto/lto-lang.o -MMD -MP -MF lto/.deps/lto-lang.TPo ../../src/gcc/lto/lto-lang.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c  -DIN_GCC_FRONTEND -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -Ilto -I../../src/gcc -I../../src/gcc/lto -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o lto/lto.o -MT lto/lto.o -MMD -MP -MF lto/.deps/lto.TPo ../../src/gcc/lto/lto.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c  -DIN_GCC_FRONTEND -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -Ilto -I../../src/gcc -I../../src/gcc/lto -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o lto/lto-object.o -MT lto/lto-object.o -MMD -MP -MF lto/.deps/lto-object.TPo ../../src/gcc/lto/lto-object.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c  -DIN_GCC_FRONTEND -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -Ilto -I../../src/gcc -I../../src/gcc/lto -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o lto/lto-partition.o -MT lto/lto-partition.o -MMD -MP -MF lto/.deps/lto-partition.TPo ../../src/gcc/lto/lto-partition.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c  -DIN_GCC_FRONTEND -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -Ilto -I../../src/gcc -I../../src/gcc/lto -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o lto/lto-symtab.o -MT lto/lto-symtab.o -MMD -MP -MF lto/.deps/lto-symtab.TPo ../../src/gcc/lto/lto-symtab.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c  -DIN_GCC_FRONTEND -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -Ilto -I../../src/gcc -I../../src/gcc/lto -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o lto/lto-common.o -MT lto/lto-common.o -MMD -MP -MF lto/.deps/lto-common.TPo ../../src/gcc/lto/lto-common.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c  -DIN_GCC_FRONTEND -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual    -DHAVE_CONFIG_H -I. -Ivhdl -I../../src/gcc -I../../src/gcc/vhdl -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o vhdl/ortho-lang.o -MT vhdl/ortho-lang.o -MMD -MP -MF vhdl/.deps/ortho-lang.TPo ../../src/gcc/vhdl/ortho-lang.c
../../src/gcc/lto/lto-common.cc: In function 'void lto_resolution_read(splay_tree, FILE*, lto_file*)':
../../src/gcc/lto/lto-common.cc:2075:10: warning: ignoring return value of 'int fscanf(FILE*, const char*, ...)' declared with attribute 'warn_unused_result' [-Wunused-result]
 2075 |   fscanf (resolution, " ");   /* Read white space.  */
      |   ~~~~~~~^~~~~~~~~~~~~~~~~
../../src/gcc/lto/lto-common.cc:2077:9: warning: ignoring return value of 'size_t fread(void*, size_t, size_t, FILE*)' declared with attribute 'warn_unused_result' [-Wunused-result]
 2077 |   fread (obj_name, sizeof (char), name_len, resolution);
      |   ~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
../../src/gcc/lto/lto-common.cc:2097:10: warning: ignoring return value of 'int fscanf(FILE*, const char*, ...)' declared with attribute 'warn_unused_result' [-Wunused-result]
 2097 |   fscanf (resolution, "%u", &num_symbols);
      |   ~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o gcov.o -MT gcov.o -MMD -MP -MF ./.deps/gcov.TPo ../../src/gcc/gcov.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o gcov-dump.o -MT gcov-dump.o -MMD -MP -MF ./.deps/gcov-dump.TPo ../../src/gcc/gcov-dump.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o gcov-tool.o -MT gcov-tool.o -MMD -MP -MF ./.deps/gcov-tool.TPo ../../src/gcc/gcov-tool.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings  -Wno-error -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -o libgcov-util.o ../../src/gcc/../libgcc/libgcov-util.c
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings  -Wno-error -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  \
  -DIN_GCOV_TOOL=1 -o libgcov-driver-tool.o ../../src/gcc/../libgcc/libgcov-driver.c
In file included from /usr/include/c++/12/vector:70,
                 from ../../src/gcc/system.h:233,
                 from ../../src/gcc/gcov.cc:39:
/usr/include/c++/12/bits/vector.tcc: In member function 'void std::vector<_Tp, _Alloc>::_M_realloc_insert(iterator, _Args&& ...) [with _Args = {long long int}; _Tp = long long int; _Alloc = std::allocator<long long int>]':
/usr/include/c++/12/bits/vector.tcc:439:7: note: parameter passing for argument of type 'std::vector<long long int>::iterator' changed in GCC 7.1
  439 |       vector<_Tp, _Alloc>::
      |       ^~~~~~~~~~~~~~~~~~~
../../src/gcc/../libgcc/libgcov-util.c: In function 'gcov_info* gcov_read_profile_dir(const char*, int)':
../../src/gcc/../libgcc/libgcov-util.c:465:9: warning: ignoring return value of 'int chdir(const char*)' declared with attribute 'warn_unused_result' [-Wunused-result]
  465 |   chdir (pwd);
      |   ~~~~~~^~~~~
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings  -Wno-error -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  \
  -DIN_GCOV_TOOL=1 -o libgcov-merge-tool.o ../../src/gcc/../libgcc/libgcov-merge.c
arm-linux-gnueabihf-g++-12  -fno-PIE -c  -DTARGET_MACHINE=\"arm-linux-gnueabihf\"  -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o collect2.o -MT collect2.o -MMD -MP -MF ./.deps/collect2.TPo ../../src/gcc/collect2.cc
In member function 'std::vector<_Tp, _Alloc>::reference std::vector<_Tp, _Alloc>::emplace_back(_Args&& ...) [with _Args = {long long int}; _Tp = long long int; _Alloc = std::allocator<long long int>]',
    inlined from 'void std::vector<_Tp, _Alloc>::push_back(value_type&&) [with _Tp = long long int; _Alloc = std::allocator<long long int>]' at /usr/include/c++/12/bits/stl_vector.h:1294:21,
    inlined from 'void read_graph_file()' at ../../src/gcc/gcov.cc:1942:24,
    inlined from 'void process_file(const char*)' at ../../src/gcc/gcov.cc:1361:19,
    inlined from 'int main(int, char**)' at ../../src/gcc/gcov.cc:900:20:
/usr/include/c++/12/bits/vector.tcc:123:28: note: parameter passing for argument of type '__gnu_cxx::__normal_iterator<long long int*, std::vector<long long int> >' changed in GCC 7.1
  123 |           _M_realloc_insert(end(), std::forward<_Args>(__args)...);
      |           ~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o collect2-aix.o -MT collect2-aix.o -MMD -MP -MF ./.deps/collect2-aix.TPo ../../src/gcc/collect2-aix.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o collect-utils.o -MT collect-utils.o -MMD -MP -MF ./.deps/collect-utils.TPo ../../src/gcc/collect-utils.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o lto-wrapper.o -MT lto-wrapper.o -MMD -MP -MF ./.deps/lto-wrapper.TPo ../../src/gcc/lto-wrapper.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c  -DSTANDARD_STARTFILE_PREFIX=\"../../../\" -DSTANDARD_EXEC_PREFIX=\"/usr/lib/ghdl/gcc/lib/gcc/\" -DSTANDARD_LIBEXEC_PREFIX=\"/usr/lib/ghdl/gcc/lib/gcc/\" -DDEFAULT_TARGET_VERSION=\"12\" -DDEFAULT_REAL_TARGET_MACHINE=\"arm-linux-gnueabihf\" -DDEFAULT_TARGET_MACHINE=\"arm-linux-gnueabihf\" -DSTANDARD_BINDIR_PREFIX=\"/usr/lib/ghdl/gcc/bin/\" -DTOOLDIR_BASE_PREFIX=\"../../../../\" -DACCEL_DIR_SUFFIX=\"\"  -DENABLE_SHARED_LIBGCC -DCONFIGURE_SPECS="\"\"" -DTOOL_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/../../../../arm-linux-gnueabihf/include\" -DNATIVE_SYSTEM_HEADER_DIR=\"/usr/include\" -DTARGET_MACHINE=\"arm-linux-gnueabihf\" -DPERSONALITY=\"ar\" -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o gcc-ar.o -MT gcc-ar.o -MMD -MP -MF ./.deps/gcc-ar.TPo ../../src/gcc/gcc-ar.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c  -DSTANDARD_STARTFILE_PREFIX=\"../../../\" -DSTANDARD_EXEC_PREFIX=\"/usr/lib/ghdl/gcc/lib/gcc/\" -DSTANDARD_LIBEXEC_PREFIX=\"/usr/lib/ghdl/gcc/lib/gcc/\" -DDEFAULT_TARGET_VERSION=\"12\" -DDEFAULT_REAL_TARGET_MACHINE=\"arm-linux-gnueabihf\" -DDEFAULT_TARGET_MACHINE=\"arm-linux-gnueabihf\" -DSTANDARD_BINDIR_PREFIX=\"/usr/lib/ghdl/gcc/bin/\" -DTOOLDIR_BASE_PREFIX=\"../../../../\" -DACCEL_DIR_SUFFIX=\"\"  -DENABLE_SHARED_LIBGCC -DCONFIGURE_SPECS="\"\"" -DTOOL_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/../../../../arm-linux-gnueabihf/include\" -DNATIVE_SYSTEM_HEADER_DIR=\"/usr/include\" -DTARGET_MACHINE=\"arm-linux-gnueabihf\" -DPERSONALITY=\"nm\" -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o gcc-nm.o -MT gcc-nm.o -MMD -MP -MF ./.deps/gcc-nm.TPo gcc-nm.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c  -DSTANDARD_STARTFILE_PREFIX=\"../../../\" -DSTANDARD_EXEC_PREFIX=\"/usr/lib/ghdl/gcc/lib/gcc/\" -DSTANDARD_LIBEXEC_PREFIX=\"/usr/lib/ghdl/gcc/lib/gcc/\" -DDEFAULT_TARGET_VERSION=\"12\" -DDEFAULT_REAL_TARGET_MACHINE=\"arm-linux-gnueabihf\" -DDEFAULT_TARGET_MACHINE=\"arm-linux-gnueabihf\" -DSTANDARD_BINDIR_PREFIX=\"/usr/lib/ghdl/gcc/bin/\" -DTOOLDIR_BASE_PREFIX=\"../../../../\" -DACCEL_DIR_SUFFIX=\"\"  -DENABLE_SHARED_LIBGCC -DCONFIGURE_SPECS="\"\"" -DTOOL_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/../../../../arm-linux-gnueabihf/include\" -DNATIVE_SYSTEM_HEADER_DIR=\"/usr/include\" -DTARGET_MACHINE=\"arm-linux-gnueabihf\" -DPERSONALITY=\"ranlib\" -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o gcc-ranlib.o -MT gcc-ranlib.o -MMD -MP -MF ./.deps/gcc-ranlib.TPo gcc-ranlib.cc
../../src/gcc/lto-wrapper.cc: In function 'bool find_and_merge_options(int, off_t, const char*, vec<cl_decoded_option>, bool, vec<cl_decoded_option>*, const char*)':
../../src/gcc/lto-wrapper.cc:1138:8: warning: ignoring return value of 'ssize_t read(int, void*, size_t)' declared with attribute 'warn_unused_result' [-Wunused-result]
 1138 |   read (fd, data, length);
      |   ~~~~~^~~~~~~~~~~~~~~~~~
arm-linux-gnueabihf-g++-12  -fno-PIE -c  -DHOST_GENERATOR_FILE -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o gengtype.o -MT gengtype.o -MMD -MP -MF ./.deps/gengtype.TPo ../../src/gcc/gengtype.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c  -DHOST_GENERATOR_FILE -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings  -Wno-error -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o gengtype-lex.o -MT gengtype-lex.o -MMD -MP -MF ./.deps/gengtype-lex.TPo ../../src/gcc/gengtype-lex.cc
/home/rguenther/src/releases/gcc-12.2.0/gcc-12.2.0/gcc/gengtype-lex.l: In function 'int yylex(const char**)':
gengtype-lex.cc:356:15: warning: this statement may fall through [-Wimplicit-fallthrough=]
/home/rguenther/src/releases/gcc-12.2.0/gcc-12.2.0/gcc/gengtype-lex.l:119:1: note: in expansion of macro 'YY_DO_BEFORE_ACTION'
/home/rguenther/src/releases/gcc-12.2.0/gcc-12.2.0/gcc/gengtype-lex.l:114:1: note: here
gengtype-lex.cc:356:15: warning: this statement may fall through [-Wimplicit-fallthrough=]
/home/rguenther/src/releases/gcc-12.2.0/gcc-12.2.0/gcc/gengtype-lex.l:139:1: note: in expansion of macro 'YY_DO_BEFORE_ACTION'
/home/rguenther/src/releases/gcc-12.2.0/gcc-12.2.0/gcc/gengtype-lex.l:135:1: note: here
arm-linux-gnueabihf-g++-12  -fno-PIE -c  -DHOST_GENERATOR_FILE -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o gengtype-parse.o -MT gengtype-parse.o -MMD -MP -MF ./.deps/gengtype-parse.TPo ../../src/gcc/gengtype-parse.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c  -DHOST_GENERATOR_FILE -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o gengtype-state.o -MT gengtype-state.o -MMD -MP -MF ./.deps/gengtype-state.TPo ../../src/gcc/gengtype-state.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c  -DHOST_GENERATOR_FILE -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o errors.o -MT errors.o -MMD -MP -MF ./.deps/errors.TPo ../../src/gcc/errors.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c  -DSTANDARD_STARTFILE_PREFIX=\"../../../\" -DSTANDARD_EXEC_PREFIX=\"/usr/lib/ghdl/gcc/lib/gcc/\" -DSTANDARD_LIBEXEC_PREFIX=\"/usr/lib/ghdl/gcc/lib/gcc/\" -DDEFAULT_TARGET_VERSION=\"12\" -DDEFAULT_REAL_TARGET_MACHINE=\"arm-linux-gnueabihf\" -DDEFAULT_TARGET_MACHINE=\"arm-linux-gnueabihf\" -DSTANDARD_BINDIR_PREFIX=\"/usr/lib/ghdl/gcc/bin/\" -DTOOLDIR_BASE_PREFIX=\"../../../../\" -DACCEL_DIR_SUFFIX=\"\"  -DENABLE_SHARED_LIBGCC -DCONFIGURE_SPECS="\"\"" -DTOOL_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/../../../../arm-linux-gnueabihf/include\" -DNATIVE_SYSTEM_HEADER_DIR=\"/usr/include\" -DBASEVER="\"12.2.0\"" -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o gcc.o -MT gcc.o -MMD -MP -MF ./.deps/gcc.TPo ../../src/gcc/gcc.cc
../../src/gcc/gcc.cc:32:21: warning: "/*" within comment [-Wcomment]
   32 |    gcc/config/<arch>/*.h instead?  */
      |                      
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o gcc-main.o -MT gcc-main.o -MMD -MP -MF ./.deps/gcc-main.TPo ../../src/gcc/gcc-main.cc
../../src/gcc/gcc.cc: In function 'long long unsigned int get_random_number()':
../../src/gcc/gcc.cc:10902:12: warning: ignoring return value of 'ssize_t read(int, void*, size_t)' declared with attribute 'warn_unused_result' [-Wunused-result]
10902 |       read (fd, &ret, sizeof (HOST_WIDE_INT));
      |       ~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
../../src/gcc/gcc.cc: In function 'void do_report_bug(const char**, int, char**, char**)':
../../src/gcc/gcc.cc:8090:9: warning: ignoring return value of 'ssize_t write(int, const void*, size_t)' declared with attribute 'warn_unused_result' [-Wunused-result]
 8090 |   write (fd, "\n//", 3);
      |   ~~~~~~^~~~~~~~~~~~~~~
../../src/gcc/gcc.cc:8093:13: warning: ignoring return value of 'ssize_t write(int, const void*, size_t)' declared with attribute 'warn_unused_result' [-Wunused-result]
 8093 |       write (fd, " ", 1);
      |       ~~~~~~^~~~~~~~~~~~
../../src/gcc/gcc.cc:8094:13: warning: ignoring return value of 'ssize_t write(int, const void*, size_t)' declared with attribute 'warn_unused_result' [-Wunused-result]
 8094 |       write (fd, new_argv[i], strlen (new_argv[i]));
      |       ~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
../../src/gcc/gcc.cc:8096:9: warning: ignoring return value of 'ssize_t write(int, const void*, size_t)' declared with attribute 'warn_unused_result' [-Wunused-result]
 8096 |   write (fd, "\n\n", 2);
      |   ~~~~~~^~~~~~~~~~~~~~~
../../src/gcc/gcc.cc:8116:17: warning: ignoring return value of 'int system(const char*)' declared with attribute 'warn_unused_result' [-Wunused-result]
 8116 |           system(cmd);
      |           ~~~~~~^~~~~
../../src/gcc/gcc.cc:8129:18: warning: ignoring return value of 'int system(const char*)' declared with attribute 'warn_unused_result' [-Wunused-result]
 8129 |           system (cmd);
      |           ~~~~~~~^~~~~
arm-linux-gnueabihf-g++-12  -fno-PIE -c  -DSTANDARD_STARTFILE_PREFIX=\"../../../\" -DSTANDARD_EXEC_PREFIX=\"/usr/lib/ghdl/gcc/lib/gcc/\" -DSTANDARD_LIBEXEC_PREFIX=\"/usr/lib/ghdl/gcc/lib/gcc/\" -DDEFAULT_TARGET_VERSION=\"12\" -DDEFAULT_REAL_TARGET_MACHINE=\"arm-linux-gnueabihf\" -DDEFAULT_TARGET_MACHINE=\"arm-linux-gnueabihf\" -DSTANDARD_BINDIR_PREFIX=\"/usr/lib/ghdl/gcc/bin/\" -DTOOLDIR_BASE_PREFIX=\"../../../../\" -DACCEL_DIR_SUFFIX=\"\"  -DENABLE_SHARED_LIBGCC -DCONFIGURE_SPECS="\"\"" -DTOOL_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/../../../../arm-linux-gnueabihf/include\" -DNATIVE_SYSTEM_HEADER_DIR=\"/usr/include\" -DIN_GCC_FRONTEND -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -Ic -I../../src/gcc -I../../src/gcc/c -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o c/gccspec.o -MT c/gccspec.o -MMD -MP -MF c/.deps/gccspec.TPo ../../src/gcc/c/gccspec.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  ../../src/gcc/config/arm/driver-arm.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/cppspec.o -MT c-family/cppspec.o -MMD -MP -MF c-family/.deps/cppspec.TPo ../../src/gcc/c-family/cppspec.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o insn-attrtab.o -MT insn-attrtab.o -MMD -MP -MF ./.deps/insn-attrtab.TPo insn-attrtab.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o insn-automata.o -MT insn-automata.o -MMD -MP -MF ./.deps/insn-automata.TPo insn-automata.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o insn-dfatab.o -MT insn-dfatab.o -MMD -MP -MF ./.deps/insn-dfatab.TPo insn-dfatab.cc
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o insn-latencytab.o -MT insn-latencytab.o -MMD -MP -MF ./.deps/insn-latencytab.TPo insn-latencytab.cc
rm -rf libcommon-target.a
arm-linux-gnueabihf-ar rc libcommon-target.a arm-common.o prefix.o opts.o opts-common.o options.o vec.o hooks.o common/common-targhooks.o hash-table.o file-find.o spellcheck.o selftest.o opt-suggestions.o
arm-linux-gnueabihf-ranlib  libcommon-target.a
rm -rf libcommon.a
arm-linux-gnueabihf-ar rc libcommon.a diagnostic-spec.o diagnostic.o diagnostic-color.o diagnostic-show-locus.o diagnostic-format-json.o json.o edit-context.o pretty-print.o intl.o sbitmap.o vec.o input.o hash-table.o ggc-none.o memory-block.o selftest.o selftest-diagnostic.o sort.o
arm-linux-gnueabihf-ranlib  libcommon.a
arm-linux-gnueabihf-g++-12 -no-pie   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc  gcov.o json.o \
	hash-table.o ggc-none.o libcommon.a ../libcpp/libcpp.a   ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a  -lz -o gcov
arm-linux-gnueabihf-g++-12 -no-pie   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc  gcov-dump.o \
	hash-table.o ggc-none.o\
	libcommon.a ../libcpp/libcpp.a   ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a  -o gcov-dump
arm-linux-gnueabihf-g++-12 -no-pie   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc  gcov-tool.o libgcov-util.o libgcov-driver-tool.o libgcov-merge-tool.o libcommon.a ../libcpp/libcpp.a   ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a  -o gcov-tool
arm-linux-gnueabihf-g++-12 -no-pie   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc  -o Tcollect2 \
	collect2.o collect2-aix.o vec.o ggc-none.o collect-utils.o file-find.o hash-table.o selftest.o libcommon.a ../libcpp/libcpp.a   ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a  
mv -f Tcollect2 collect2
arm-linux-gnueabihf-g++-12 -no-pie   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc  -o Tlto-wrapper \
   lto-wrapper.o collect-utils.o ggc-none.o libcommon-target.a libcommon.a ../libcpp/libcpp.a   ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a 
arm-linux-gnueabihf-g++-12 -no-pie   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc  gcc-ar.o -o gcc-ar \
	file-find.o libcommon.a ../libcpp/libcpp.a   ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a  
arm-linux-gnueabihf-g++-12 -no-pie   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc  gcc-nm.o -o gcc-nm \
	file-find.o libcommon.a ../libcpp/libcpp.a   ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a  
arm-linux-gnueabihf-g++-12 -no-pie   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc  gcc-ranlib.o -o gcc-ranlib \
	file-find.o libcommon.a ../libcpp/libcpp.a   ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a  
arm-linux-gnueabihf-g++-12 -no-pie   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc  -o gengtype \
    gengtype.o gengtype-lex.o gengtype-parse.o gengtype-state.o errors.o libcommon.a ../libcpp/libcpp.a   ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a 
mv -f Tlto-wrapper lto-wrapper
arm-linux-gnueabihf-g++-12 -no-pie   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc  -o xgcc gcc.o gcc-main.o ggc-none.o \
  c/gccspec.o driver-arm.o  libcommon-target.a \
   libcommon.a ../libcpp/libcpp.a   ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a 
arm-linux-gnueabihf-g++-12 -no-pie   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc  -o cpp gcc.o gcc-main.o ggc-none.o \
  c-family/cppspec.o driver-arm.o  libcommon-target.a \
   libcommon.a ../libcpp/libcpp.a   ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a 
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -dumpspecs > tmp-specs
mv tmp-specs specs
: > tmp-libgcc.mvars
if /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -print-sysroot-headers-suffix > /dev/null 2>&1; then \
  set -e; for ml in `/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -print-multi-lib`; do \
    multi_dir=`echo ${ml} | sed -e 's/;.*$//'`; \
    flags=`echo ${ml} | sed -e 's/^[^;]*;//' -e 's/@/ -/g'`; \
    sfx=`/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ ${flags} -print-sysroot-headers-suffix`; \
    if [ "${multi_dir}" = "." ]; \
      then multi_dir=""; \
    else \
      multi_dir=/${multi_dir}; \
    fi; \
    echo "${sfx};${multi_dir}"; \
  done; \
else \
  echo ";"; \
fi > tmp-fixinc_list
echo GCC_CFLAGS = '-g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include ' >> tmp-libgcc.mvars
/bin/bash ../../src/gcc/../move-if-change tmp-fixinc_list fixinc_list
echo INHIBIT_LIBC_CFLAGS = '' >> tmp-libgcc.mvars
echo TARGET_SYSTEM_ROOT = '' >> tmp-libgcc.mvars
if test no = yes; then \
  NO_PIE_CFLAGS="-fno-PIE"; \
else \
  NO_PIE_CFLAGS=; \
fi; \
echo NO_PIE_CFLAGS = "$NO_PIE_CFLAGS" >> tmp-libgcc.mvars
mv tmp-libgcc.mvars libgcc.mvars
echo timestamp > s-fixinc_list
rm -rf libbackend.a
arm-linux-gnueabihf-ar rcT libbackend.a gimple-match.o generic-match.o insn-attrtab.o insn-automata.o insn-dfatab.o insn-emit.o insn-extract.o insn-latencytab.o insn-modes.o insn-opinit.o insn-output.o insn-peep.o insn-preds.o insn-recog.o insn-enums.o ggc-page.o adjust-alignment.o alias.o alloc-pool.o auto-inc-dec.o auto-profile.o bb-reorder.o bitmap.o builtins.o caller-save.o calls.o ccmp.o cfg.o cfganal.o cfgbuild.o cfgcleanup.o cfgexpand.o cfghooks.o cfgloop.o cfgloopanal.o cfgloopmanip.o cfgrtl.o ctfc.o ctfout.o btfout.o symtab.o symtab-thunks.o symtab-clones.o cgraph.o cgraphbuild.o cgraphunit.o cgraphclones.o combine.o combine-stack-adj.o compare-elim.o context.o convert.o coroutine-passes.o coverage.o cppbuiltin.o cppdefault.o cprop.o cse.o cselib.o data-streamer.o data-streamer-in.o data-streamer-out.o dbxout.o dbgcnt.o dce.o ddg.o debug.o df-core.o df-problems.o df-scan.o dfp.o digraph.o dojump.o dominance.o domwalk.o double-int.o dse.o dumpfile.o dwarf2asm.o dwarf2cfi.o dwarf2ctf.o dwarf2out.o early-remat.o emit-rtl.o et-forest.o except.o explow.o expmed.o expr.o fibonacci_heap.o file-prefix-map.o final.o fixed-value.o fold-const.o fold-const-call.o function.o function-abi.o function-tests.o fwprop.o gcc-rich-location.o gcse.o gcse-common.o ggc-common.o ggc-tests.o gimple.o gimple-array-bounds.o gimple-builder.o gimple-expr.o gimple-if-to-switch.o gimple-iterator.o gimple-fold.o gimple-harden-conditionals.o gimple-laddress.o gimple-loop-interchange.o gimple-loop-jam.o gimple-loop-versioning.o gimple-low.o gimple-predicate-analysis.o gimple-pretty-print.o gimple-range.o gimple-range-cache.o gimple-range-edge.o gimple-range-fold.o gimple-range-gori.o gimple-range-trace.o gimple-ssa-backprop.o gimple-ssa-evrp.o gimple-ssa-evrp-analyze.o gimple-ssa-isolate-paths.o gimple-ssa-nonnull-compare.o gimple-ssa-split-paths.o gimple-ssa-store-merging.o gimple-ssa-strength-reduction.o gimple-ssa-sprintf.o gimple-ssa-warn-access.o gimple-ssa-warn-alloca.o gimple-ssa-warn-restrict.o gimple-streamer-in.o gimple-streamer-out.o gimple-walk.o gimple-warn-recursion.o gimplify.o gimplify-me.o godump.o graph.o graphds.o graphviz.o graphite.o graphite-isl-ast-to-gimple.o graphite-dependences.o graphite-optimize-isl.o graphite-poly.o graphite-scop-detection.o graphite-sese-to-poly.o gtype-desc.o haifa-sched.o hash-map-tests.o hash-set-tests.o hw-doloop.o hwint.o ifcvt.o ree.o inchash.o incpath.o init-regs.o internal-fn.o ipa-cp.o ipa-sra.o ipa-devirt.o ipa-fnsummary.o ipa-polymorphic-call.o ipa-split.o ipa-inline.o ipa-comdats.o ipa-free-lang-data.o ipa-visibility.o ipa-inline-analysis.o ipa-inline-transform.o ipa-modref.o ipa-modref-tree.o ipa-predicate.o ipa-profile.o ipa-prop.o ipa-param-manipulation.o ipa-pure-const.o ipa-icf.o ipa-icf-gimple.o ipa-reference.o ipa-ref.o ipa-utils.o ipa.o ira.o ira-build.o ira-costs.o ira-conflicts.o ira-color.o ira-emit.o ira-lives.o jump.o langhooks.o lcm.o lists.o loop-doloop.o loop-init.o loop-invariant.o loop-iv.o loop-unroll.o lower-subreg.o lra.o lra-assigns.o lra-coalesce.o lra-constraints.o lra-eliminations.o lra-lives.o lra-remat.o lra-spills.o lto-cgraph.o lto-streamer.o lto-streamer-in.o lto-streamer-out.o lto-section-in.o lto-section-out.o lto-opts.o lto-compress.o mcf.o mode-switching.o modulo-sched.o multiple_target.o omp-offload.o omp-expand.o omp-general.o omp-low.o omp-oacc-kernels-decompose.o omp-oacc-neuter-broadcast.o omp-simd-clone.o opt-problem.o optabs.o optabs-libfuncs.o optabs-query.o optabs-tree.o optinfo.o optinfo-emit-json.o options-save.o opts-global.o ordered-hash-map-tests.o passes.o plugin.o pointer-query.o postreload-gcse.o postreload.o predict.o print-rtl.o print-rtl-function.o print-tree.o profile.o profile-count.o range.o range-op.o read-md.o read-rtl.o read-rtl-function.o real.o realmpfr.o recog.o reg-stack.o regcprop.o reginfo.o regrename.o regstat.o reload.o reload1.o reorg.o resource.o rtl-error.o rtl-ssa/accesses.o rtl-ssa/blocks.o rtl-ssa/changes.o rtl-ssa/functions.o rtl-ssa/insns.o rtl-tests.o rtl.o rtlhash.o rtlanal.o rtlhooks.o rtx-vector-builder.o run-rtl-passes.o sched-deps.o sched-ebb.o sched-rgn.o sel-sched-ir.o sel-sched-dump.o sel-sched.o selftest-rtl.o selftest-run-tests.o sese.o shrink-wrap.o simplify-rtx.o sparseset.o spellcheck.o spellcheck-tree.o splay-tree-utils.o sreal.o stack-ptr-mod.o statistics.o stmt.o stor-layout.o store-motion.o streamer-hooks.o stringpool.o substring-locations.o target-globals.o targhooks.o timevar.o toplev.o tracer.o trans-mem.o tree-affine.o asan.o tsan.o ubsan.o sanopt.o sancov.o tree-call-cdce.o tree-cfg.o tree-cfgcleanup.o tree-chrec.o tree-complex.o tree-data-ref.o tree-dfa.o tree-diagnostic.o tree-diagnostic-path.o tree-dump.o tree-eh.o tree-emutls.o tree-if-conv.o tree-inline.o tree-into-ssa.o tree-iterator.o tree-loop-distribution.o tree-nested.o tree-nrv.o tree-object-size.o tree-outof-ssa.o tree-parloops.o tree-phinodes.o tree-predcom.o tree-pretty-print.o tree-profile.o tree-scalar-evolution.o tree-sra.o tree-switch-conversion.o tree-ssa-address.o tree-ssa-alias.o tree-ssa-ccp.o tree-ssa-coalesce.o tree-ssa-copy.o tree-ssa-dce.o tree-ssa-dom.o tree-ssa-dse.o tree-ssa-forwprop.o tree-ssa-ifcombine.o tree-ssa-live.o tree-ssa-loop-ch.o tree-ssa-loop-im.o tree-ssa-loop-ivcanon.o tree-ssa-loop-ivopts.o tree-ssa-loop-manip.o tree-ssa-loop-niter.o tree-ssa-loop-prefetch.o tree-ssa-loop-split.o tree-ssa-loop-unswitch.o tree-ssa-loop.o tree-ssa-math-opts.o tree-ssa-operands.o gimple-range-path.o tree-ssa-phiopt.o tree-ssa-phiprop.o tree-ssa-pre.o tree-ssa-propagate.o tree-ssa-reassoc.o tree-ssa-sccvn.o tree-ssa-scopedtables.o tree-ssa-sink.o tree-ssa-strlen.o tree-ssa-structalias.o tree-ssa-tail-merge.o tree-ssa-ter.o tree-ssa-threadbackward.o tree-ssa-threadedge.o tree-ssa-threadupdate.o tree-ssa-uncprop.o tree-ssa-uninit.o tree-ssa.o tree-ssanames.o tree-stdarg.o tree-streamer.o tree-streamer-in.o tree-streamer-out.o tree-tailcall.o tree-vect-generic.o gimple-isel.o tree-vect-patterns.o tree-vect-data-refs.o tree-vect-stmts.o tree-vect-loop.o tree-vect-loop-manip.o tree-vect-slp.o tree-vect-slp-patterns.o tree-vectorizer.o tree-vector-builder.o tree-vrp.o tree.o tristate.o typed-splay-tree.o valtrack.o value-pointer-equiv.o value-query.o value-range.o value-range-equiv.o value-relation.o value-prof.o var-tracking.o varasm.o varpool.o vec-perm-indices.o vmsdbgout.o vr-values.o vtable-verify.o warning-control.o web.o wide-int.o wide-int-print.o xcoffout.o arm.o analyzer/analysis-plan.o analyzer/analyzer.o analyzer/analyzer-logging.o analyzer/analyzer-pass.o analyzer/analyzer-selftests.o analyzer/bar-chart.o analyzer/call-info.o analyzer/call-string.o analyzer/checker-path.o analyzer/complexity.o analyzer/constraint-manager.o analyzer/diagnostic-manager.o analyzer/engine.o analyzer/feasible-graph.o analyzer/function-set.o analyzer/pending-diagnostic.o analyzer/program-point.o analyzer/program-state.o analyzer/region.o analyzer/region-model.o analyzer/region-model-asm.o analyzer/region-model-impl-calls.o analyzer/region-model-manager.o analyzer/region-model-reachability.o analyzer/sm.o analyzer/sm-file.o analyzer/sm-malloc.o analyzer/sm-pattern-test.o analyzer/sm-sensitive.o analyzer/sm-signal.o analyzer/sm-taint.o analyzer/state-purge.o analyzer/store.o analyzer/supergraph.o analyzer/svalue.o analyzer/trimmed-graph.o arm-builtins.o arm-mve-builtins.o aarch-common.o linux.o host-linux.o
if [ -f ../stage_final ] \
   && cmp -s ../stage_current ../stage_final; then \
  cp ../prev-gcc/cc1-checksum.cc cc1-checksum.cc; \
else \
  build/genchecksum c/c-lang.o c-family/stub-objc.o attribs.o c/c-errors.o c/c-decl.o c/c-typeck.o c/c-convert.o c/c-aux-info.o c/c-objc-common.o c/c-parser.o c/c-fold.o c/gimple-parser.o c-family/c-common.o c-family/c-cppbuiltin.o c-family/c-dump.o c-family/c-format.o c-family/c-gimplify.o c-family/c-indentation.o c-family/c-lex.o c-family/c-omp.o c-family/c-opts.o c-family/c-pch.o c-family/c-ppoutput.o c-family/c-pragma.o c-family/c-pretty-print.o c-family/c-semantics.o c-family/c-ada-spec.o c-family/c-ubsan.o c-family/known-headers.o c-family/c-attribs.o c-family/c-warn.o c-family/c-spellcheck.o arm-c.o glibc-c.o libbackend.a main.o libcommon-target.a libcommon.a ../libcpp/libcpp.a ../libdecnumber/libdecnumber.a libcommon.a ../libcpp/libcpp.a ../libiberty/libiberty.a   ../libdecnumber/libdecnumber.a ../libbacktrace/.libs/libbacktrace.a \
                     checksum-options > cc1-checksum.cc.tmp && 		 \
  ../../src/gcc/../move-if-change cc1-checksum.cc.tmp cc1-checksum.cc; \
fi
gnatmake -v -j4 -R -eS  -c -aI../../src/gcc/vhdl --subdirs=vhdl ortho_gcc-main \
 -cargs -g -O2     -gnata -gnaty3befhkmr -gnatwa -gnatwC

GNATMAKE 12.2.0
Copyright (C) 1992-2022, Free Software Foundation, Inc.
  "ortho_gcc-main.ali" being checked ...
  -> "ortho_gcc-main.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/ortho_gcc-main.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/ortho_gcc-main.adb
arm-linux-gnueabihf-g++-12  -fno-PIE -c  -DIN_GCC_FRONTEND -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o cc1-checksum.o -MT cc1-checksum.o -MMD -MP -MF ./.deps/cc1-checksum.TPo cc1-checksum.cc
  "ortho_gcc.ali" being checked ...
  -> "ortho_gcc.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/ortho_gcc.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/ortho_gcc.adb
  "ortho_gcc_front.ali" being checked ...
  -> "ortho_gcc_front.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/ortho_gcc_front.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/ortho_gcc_front.ads
  "ortho_front.ali" being checked ...
  -> "ortho_front.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/ortho_front.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/ortho_front.adb
  "ortho_ident.ali" being checked ...
  -> "ortho_ident.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/ortho_ident.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/ortho_ident.adb
arm-linux-gnueabihf-g++-12 -no-pie   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc  -o cc1 c/c-lang.o c-family/stub-objc.o attribs.o c/c-errors.o c/c-decl.o c/c-typeck.o c/c-convert.o c/c-aux-info.o c/c-objc-common.o c/c-parser.o c/c-fold.o c/gimple-parser.o c-family/c-common.o c-family/c-cppbuiltin.o c-family/c-dump.o c-family/c-format.o c-family/c-gimplify.o c-family/c-indentation.o c-family/c-lex.o c-family/c-omp.o c-family/c-opts.o c-family/c-pch.o c-family/c-ppoutput.o c-family/c-pragma.o c-family/c-pretty-print.o c-family/c-semantics.o c-family/c-ada-spec.o c-family/c-ubsan.o c-family/known-headers.o c-family/c-attribs.o c-family/c-warn.o c-family/c-spellcheck.o arm-c.o glibc-c.o \
  cc1-checksum.o libbackend.a main.o libcommon-target.a libcommon.a ../libcpp/libcpp.a ../libdecnumber/libdecnumber.a libcommon.a ../libcpp/libcpp.a   ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a  -lisl -lmpc -lmpfr -lgmp -rdynamic  -lz 
  "bug.ali" being checked ...
  -> "bug.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/bug.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/bug.adb
  "dyn_interning.ali" being checked ...
  -> "dyn_interning.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/dyn_interning.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/dyn_interning.adb
  "dyn_maps.ali" being checked ...
  -> "dyn_maps.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/dyn_maps.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/dyn_maps.adb
  "dyn_tables.ali" being checked ...
  -> "dyn_tables.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/dyn_tables.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/dyn_tables.adb
  "errorout.ali" being checked ...
  -> "errorout.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/errorout.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/errorout.adb
  "errorout-console.ali" being checked ...
  -> "errorout-console.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/errorout-console.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/errorout-console.adb
  "flags.ali" being checked ...
  -> "flags.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/flags.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/flags.adb
  "hash.ali" being checked ...
  -> "hash.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/hash.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/hash.adb
  "interning.ali" being checked ...
  -> "interning.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/interning.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/interning.adb
  "libraries.ali" being checked ...
  -> "libraries.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/libraries.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/libraries.adb
  "name_table.ali" being checked ...
  -> "name_table.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/name_table.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/name_table.adb
  "options.ali" being checked ...
  -> "options.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/options.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/options.adb
  "trans_be.ali" being checked ...
  -> "trans_be.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans_be.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/trans_be.adb
  "translation.ali" being checked ...
  -> "translation.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/translation.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/translation.adb
  "types.ali" being checked ...
  -> "types.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/types.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/types.ads
  "vhdl.ali" being checked ...
  -> "vhdl.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl.ads
  "vhdl-configuration.ali" being checked ...
  -> "vhdl-configuration.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-configuration.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-configuration.adb
  "vhdl-errors.ali" being checked ...
  -> "vhdl-errors.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-errors.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-errors.adb
  "vhdl-nodes.ali" being checked ...
  -> "vhdl-nodes.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-nodes.adb
  "vhdl-sem.ali" being checked ...
  -> "vhdl-sem.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-sem.adb
  "vhdl-sem_lib.ali" being checked ...
  -> "vhdl-sem_lib.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_lib.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-sem_lib.adb
  "vhdl-std_package.ali" being checked ...
  -> "vhdl-std_package.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-std_package.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-std_package.adb
  "vhdl-utils.ali" being checked ...
  -> "vhdl-utils.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-utils.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-utils.adb
  "simple_io.ali" being checked ...
  -> "simple_io.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/simple_io.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/simple_io.adb
  "version.ali" being checked ...
  -> "version.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/version.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/version.ads
  "files_map.ali" being checked ...
  -> "files_map.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/files_map.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/files_map.adb
  "str_table.ali" being checked ...
  -> "str_table.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/str_table.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/str_table.adb
  "vhdl-back_end.ali" being checked ...
  -> "vhdl-back_end.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-back_end.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-back_end.ads
  "psl.ali" being checked ...
  -> "psl.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/psl.ads
  "psl-dump_tree.ali" being checked ...
  -> "psl-dump_tree.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-dump_tree.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/psl-dump_tree.adb
  "psl-nodes.ali" being checked ...
  -> "psl-nodes.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nodes.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/psl-nodes.adb
  "std_names.ali" being checked ...
  -> "std_names.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/std_names.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/std_names.adb
  "vhdl-disp_tree.ali" being checked ...
  -> "vhdl-disp_tree.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-disp_tree.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-disp_tree.adb
  "vhdl-lists.ali" being checked ...
  -> "vhdl-lists.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-lists.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-lists.ads
echo | /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -E -dM - | \
  sed -n -e 's/^#define \([^_][a-zA-Z0-9_]*\).*/\1/p' \
	 -e 's/^#define \(_[^_A-Z][a-zA-Z0-9_]*\).*/\1/p' | \
  sort -u > tmp-macro_list
  "vhdl-parse.ali" being checked ...
  -> "vhdl-parse.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-parse.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-parse.adb
/bin/bash ../../src/gcc/../move-if-change tmp-macro_list macro_list
echo timestamp > s-macro_list
rm -rf include-fixed; mkdir include-fixed
chmod a+rx include-fixed
if [ -d ../prev-gcc ]; then \
  cd ../prev-gcc && \
  /usr/bin/make real-install-headers-tar DESTDIR=`pwd`/../gcc/ \
    libsubdir=. ; \
else \
  set -e; for ml in `cat fixinc_list`; do \
    sysroot_headers_suffix=`echo ${ml} | sed -e 's/;.*$//'`; \
    multi_dir=`echo ${ml} | sed -e 's/^[^;]*;//'`; \
    fix_dir=include-fixed${multi_dir}; \
    if ! false && test ! -d `echo /usr/include | sed -e :a -e 's,[^/]*/\.\.\/,,' -e ta`; then \
      echo The directory that should contain system headers does not exist: >&2 ; \
      echo "  `echo /usr/include | sed -e :a -e 's,[^/]*/\.\.\/,,' -e ta`" >&2 ; \
      tooldir_sysinc=`echo "/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/../../../../arm-linux-gnueabihf/sys-include" | sed -e :a -e "s,[^/]*/\.\.\/,," -e ta`; \
      if test "x`echo /usr/include | sed -e :a -e 's,[^/]*/\.\.\/,,' -e ta`" = "x${tooldir_sysinc}"; \
      then sleep 1; else exit 1; fi; \
    fi; \
    /bin/bash ../../src/gcc/../mkinstalldirs ${fix_dir}; \
    chmod a+rx ${fix_dir} || true; \
    (TARGET_MACHINE='arm-unknown-linux-gnueabihf'; srcdir=`cd ../../src/gcc; ${PWDCMD-pwd}`; \
      SHELL='/bin/bash'; MACRO_LIST=`${PWDCMD-pwd}`/macro_list ; \
      gcc_dir=`${PWDCMD-pwd}` ; \
      export TARGET_MACHINE srcdir SHELL MACRO_LIST && \
      cd ../build-arm-linux-gnueabihf/fixincludes && \
      /bin/bash ./fixinc.sh "${gcc_dir}/${fix_dir}" \
        `echo /usr/include | sed -e :a -e 's,[^/]*/\.\.\/,,' -e ta`  ); \
    rm -f ${fix_dir}/syslimits.h; \
    if [ -f ${fix_dir}/limits.h ]; then \
      mv ${fix_dir}/limits.h ${fix_dir}/syslimits.h; \
    else \
      cp ../../src/gcc/gsyslimits.h ${fix_dir}/syslimits.h; \
    fi; \
    chmod a+r ${fix_dir}/syslimits.h; \
  done; \
fi
Fixing headers into /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/include-fixed for arm-unknown-linux-gnueabihf target
Forbidden identifiers: linux unix 
Finding directories and links to directories
 Searching /usr/include/.
  "vhdl-scanner.ali" being checked ...
  -> "vhdl-scanner.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-scanner.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-scanner.adb
 Searching /usr/include/./clang/14/include
 Searching /usr/include/./clang/14.0.6/include
 Searching /usr/include/./llvm
 Searching /usr/include/./llvm-c
Making symbolic directory links
  "logging.ali" being checked ...
  -> "logging.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/logging.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/logging.adb
  "tables.ali" being checked ...
  -> "tables.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/tables.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/tables.adb
  "vhdl-tokens.ali" being checked ...
  -> "vhdl-tokens.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-tokens.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-tokens.adb
Fixing directory /usr/include into /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/include-fixed
Applying machine_name             to arm-linux-gnueabihf/bits/statx.h
Applying machine_name             to arm-linux-gnueabihf/bits/unistd_ext.h
Applying io_quotes_use            to arm-linux-gnueabihf/sys/mount.h
Applying machine_name             to arm-linux-gnueabihf/sys/mount.h
Applying io_quotes_use            to arm-linux-gnueabihf/sys/raw.h
Applying machine_name             to arm-linux-gnueabihf/sys/rseq.h
Applying io_quotes_use            to linux/genwqe/genwqe_card.h
Applying io_quotes_use            to linux/hsi/cs-protocol.h
Applying io_quotes_use            to linux/hsi/hsi_char.h
Applying io_quotes_use            to linux/mmc/ioctl.h
  "vhdl-canon.ali" being checked ...
  -> "vhdl-canon.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-canon.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-canon.adb
Applying io_quotes_use            to linux/raid/md_u.h
Applying io_quotes_use            to linux/spi/spidev.h
Applying io_quotes_use            to linux/usb/tmc.h
Applying io_quotes_use            to linux/misc/bcm_vk.h
Applying io_quotes_use            to linux/surface_aggregator/cdev.h
Applying io_quotes_use            to linux/surface_aggregator/dtx.h
Applying io_quotes_use            to linux/agpgart.h
Applying io_quotes_use            to linux/aspeed-lpc-ctrl.h
Applying io_quotes_use            to linux/aspeed-p2a-ctrl.h
Applying io_quotes_use            to linux/atmbr2684.h
Applying io_quotes_use            to linux/auto_fs.h
Applying io_quotes_use            to linux/blkpg.h
Applying io_quotes_use            to linux/blkzoned.h
Applying io_quotes_use            to linux/bt-bmc.h
Applying io_quotes_use            to linux/btrfs.h
Applying io_quotes_use            to linux/cachefiles.h
Applying io_quotes_use            to linux/cciss_ioctl.h
Applying io_quotes_use            to linux/cm4000_cs.h
Applying io_quotes_use            to linux/comedi.h
Applying io_quotes_use            to linux/counter.h
Applying io_quotes_use            to linux/cxl_mem.h
Applying io_quotes_use            to linux/dm-ioctl.h
Applying io_quotes_use            to linux/dma-buf.h
Applying io_quotes_use            to linux/dma-heap.h
Applying io_quotes_use            to linux/dn.h
Applying io_quotes_use            to linux/f2fs.h
Applying io_quotes_use            to linux/fd.h
Applying io_quotes_use            to linux/fpga-dfl.h
Applying io_quotes_use            to linux/fs.h
Applying io_quotes_use            to linux/fuse.h
Applying io_quotes_use            to linux/gpio.h
Applying io_quotes_use            to linux/i2o-dev.h
Applying io_quotes_use            to linux/if_pppox.h
Applying io_quotes_use            to linux/ipmi.h
Applying io_quotes_use            to linux/ipmi_bmc.h
Applying io_quotes_use            to linux/isst_if.h
Applying io_quotes_use            to linux/kfd_ioctl.h
Applying io_quotes_use            to linux/loadpin.h
Applying io_quotes_use            to linux/mmtimer.h
Applying io_quotes_use            to linux/nbd.h
Applying io_quotes_use            to linux/ndctl.h
Applying io_quotes_use            to linux/nitro_enclaves.h
Applying io_quotes_use            to linux/nsfs.h
Applying io_quotes_use            to linux/omapfb.h
Applying io_quotes_def            to linux/pci_regs.h
  "vhdl-evaluation.ali" being checked ...
  -> "vhdl-evaluation.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-evaluation.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-evaluation.adb
Applying io_quotes_use            to linux/pfrut.h
Applying io_quotes_use            to linux/phantom.h
Applying io_quotes_use            to linux/pktcdvd.h
Applying io_quotes_use            to linux/ppdev.h
Applying io_quotes_def            to linux/ppp-comp.h
Applying io_quotes_use            to linux/psp-sev.h
Applying io_quotes_use            to linux/ptp_clock.h
Applying io_quotes_use            to linux/random.h
Applying io_quotes_use            to linux/reiserfs_fs.h
Applying io_quotes_use            to linux/remoteproc_cdev.h
Applying io_quotes_use            to linux/rfkill.h
Applying io_quotes_use            to linux/rio_cm_cdev.h
Applying io_quotes_use            to linux/rpmsg.h
Applying io_quotes_use            to linux/seccomp.h
Applying io_quotes_use            to linux/sev-guest.h
Applying io_quotes_use            to linux/sockios.h
Applying io_quotes_def            to linux/soundcard.h
Applying io_quotes_use            to linux/suspend_ioctls.h
Applying io_quotes_use            to linux/sync_file.h
Applying io_quotes_use            to linux/synclink.h
Applying io_quotes_use            to linux/tee.h
Applying io_quotes_use            to linux/uinput.h
Applying io_quotes_use            to linux/userfaultfd.h
Applying io_quotes_use            to linux/vduse.h
Applying io_quotes_def            to linux/version.h
Applying io_quotes_use            to linux/vfio.h
Applying io_quotes_use            to linux/vhost.h
Applying io_quotes_use            to linux/vm_sockets.h
Applying io_quotes_use            to linux/vtpm_proxy.h
Applying io_quotes_use            to linux/watchdog.h
Applying io_quotes_use            to linux/wmi.h
Applying io_quotes_use            to misc/cxl.h
Applying io_quotes_use            to misc/ocxl.h
Applying io_quotes_use            to misc/xilinx_sdfec.h
Applying io_quotes_use            to mtd/ubi-user.h
Applying io_quotes_use            to rdma/rdma_user_ioctl.h
Applying io_quotes_use            to sound/asequencer.h
Applying io_quotes_use            to sound/asound.h
Applying io_quotes_use            to sound/compress_offload.h
Applying io_quotes_use            to sound/emu10k1.h
Applying io_quotes_use            to video/sisfb.h
  "vhdl-nodes_walk.ali" being checked ...
  -> "vhdl-nodes_walk.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_walk.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-nodes_walk.adb
  "vhdl-sem_scopes.ali" being checked ...
  -> "vhdl-sem_scopes.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_scopes.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-sem_scopes.adb
Applying sun_malloc               to malloc.h
Applying hpux8_bogus_inlines      to math.h
Applying pthread_incomplete_struct_argument to pthread.h
Fixed:  pthread.h
Applying glibc_stdint             to stdint.h
Applying sysv68_string            to string.h
Applying io_quotes_def            to unicode/platform.h
  "ortho_nodes.ali" being checked ...
  -> "ortho_nodes.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/ortho_nodes.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/ortho_nodes.ads
  "trans.ali" being checked ...
  -> "trans.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/trans.adb
  "trans-chap1.ali" being checked ...
  -> "trans-chap1.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap1.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/trans-chap1.adb
  "trans-chap12.ali" being checked ...
  -> "trans-chap12.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap12.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/trans-chap12.adb
Applying io_quotes_def            to llvm-14/llvm/Demangle/ItaniumDemangle.h
  "trans-chap2.ali" being checked ...
  -> "trans-chap2.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap2.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/trans-chap2.adb
  "trans-chap3.ali" being checked ...
  -> "trans-chap3.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap3.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/trans-chap3.adb
  "trans-chap4.ali" being checked ...
  -> "trans-chap4.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap4.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/trans-chap4.adb
Fixing directory /usr/include/clang/14/include into /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/include-fixed/root/usr/lib/llvm-14/lib/clang/14.0.6/include
  "trans-chap7.ali" being checked ...
  -> "trans-chap7.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap7.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/trans-chap7.adb
  "trans-helpers2.ali" being checked ...
  -> "trans-helpers2.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-helpers2.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/trans-helpers2.adb
Fixing directory /usr/include/llvm into /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/include-fixed/root/usr/lib/llvm-14/include/llvm
  "trans-rtis.ali" being checked ...
  -> "trans-rtis.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-rtis.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/trans-rtis.adb
Applying io_quotes_def            to llvm/Demangle/ItaniumDemangle.h
trans-rtis.adb:24:06: warning: unnecessary with of ancestor [-gnatwr]
Fixing directory /usr/include/llvm-c into /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/include-fixed/root/usr/lib/llvm-14/include/llvm-c
Cleaning up unneeded directories:
  "trans_decls.ali" being checked ...
  -> "trans_decls.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans_decls.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/trans_decls.ads
  "vhdl-sem_specs.ali" being checked ...
  -> "vhdl-sem_specs.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_specs.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-sem_specs.adb
  "vhdl-nodes_gc.ali" being checked ...
  -> "vhdl-nodes_gc.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_gc.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-nodes_gc.adb
fixincludes is done
echo timestamp > stmp-fixinc
if [ -d include ] ; then true; else mkdir include; chmod a+rx include; fi
if [ -d include-fixed ] ; then true; else mkdir include-fixed; chmod a+rx include-fixed; fi
for file in .. ../../src/gcc/ginclude/float.h ../../src/gcc/ginclude/iso646.h ../../src/gcc/ginclude/stdarg.h ../../src/gcc/ginclude/stdbool.h ../../src/gcc/ginclude/stddef.h ../../src/gcc/ginclude/varargs.h ../../src/gcc/ginclude/stdfix.h ../../src/gcc/ginclude/stdnoreturn.h ../../src/gcc/ginclude/stdalign.h ../../src/gcc/ginclude/stdatomic.h ../../src/gcc/config/arm/mmintrin.h ../../src/gcc/config/arm/arm_neon.h ../../src/gcc/config/arm/arm_acle.h ../../src/gcc/config/arm/arm_fp16.h ../../src/gcc/config/arm/arm_cmse.h ../../src/gcc/config/arm/arm_bf16.h ../../src/gcc/config/arm/arm_mve_types.h ../../src/gcc/config/arm/arm_mve.h ../../src/gcc/config/arm/arm_cde.h ../../src/gcc/ginclude/unwind-arm-common.h; do \
  if [ X$file != X.. ]; then \
    realfile=`echo $file | sed -e 's|.*/\([^/]*\)$|\1|'`; \
    echo timestamp > include/$realfile; \
    rm -f include/$realfile; \
    cp $file include; \
    chmod a+r include/$realfile; \
  fi; \
done
for file in .. ; do \
  if [ X$file != X.. ]; then \
            mv include/$file include/x_$file; \
            echo "#include_next <$file>" >include/$file; \
            cat include/x_$file >>include/$file; \
            rm -f include/x_$file; \
    chmod a+r include/$file; \
  fi; \
done
for file in .. ; do \
  if [ X$file != X.. ]; then \
    echo "#include_next <$file>" >>include/$file; \
    chmod a+r include/$file; \
  fi; \
done
rm -f include/stdint.h
  "vhdl-post_sems.ali" being checked ...
  -> "vhdl-post_sems.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-post_sems.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-post_sems.adb
if [ wrap = wrap ]; then \
  rm -f include/stdint-gcc.h; \
  cp ../../src/gcc/ginclude/stdint-gcc.h include/stdint-gcc.h; \
  chmod a+r include/stdint-gcc.h; \
  cp ../../src/gcc/ginclude/stdint-wrap.h include/stdint.h; \
  chmod a+r include/stdint.h; \
elif [ wrap = provide ]; then \
  cp ../../src/gcc/ginclude/stdint-gcc.h include/stdint.h; \
  chmod a+r include/stdint.h; \
fi
set -e; for ml in `cat fixinc_list`; do \
  sysroot_headers_suffix=`echo ${ml} | sed -e 's/;.*$//'`; \
  multi_dir=`echo ${ml} | sed -e 's/^[^;]*;//'`; \
  fix_dir=include-fixed${multi_dir}; \
  if [ -f `echo /usr/include | sed -e :a -e 's,[^/]*/\.\.\/,,' -e ta`/limits.h -o -f `echo /usr/include | sed -e :a -e 's,[^/]*/\.\.\/,,' -e ta`/arm-linux-gnueabihf/limits.h ] ; then \
    cat ../../src/gcc/limitx.h ../../src/gcc/glimits.h ../../src/gcc/limity.h > tmp-xlimits.h; \
  else \
    cat ../../src/gcc/glimits.h > tmp-xlimits.h; \
  fi; \
  /bin/bash ../../src/gcc/../mkinstalldirs ${fix_dir}; \
  chmod a+rx ${fix_dir} || true; \
  /bin/bash ../../src/gcc/../move-if-change \
    tmp-xlimits.h  tmp-limits.h; \
  rm -f ${fix_dir}/limits.h; \
  cp -p tmp-limits.h ${fix_dir}/limits.h; \
  chmod a+r ${fix_dir}/limits.h; \
done
rm -f include-fixed/README
cp ../../src/gcc/../fixincludes/README-fixinc include-fixed/README
chmod a+r include-fixed/README
echo timestamp > stmp-int-hdrs
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -xc -nostdinc /dev/null -S -o /dev/null -fself-test=../../src/gcc/testsuite/selftests
cc1: note: self-tests are not enabled in this build
echo timestamp > s-selftest-c
  "vhdl-prints.ali" being checked ...
  -> "vhdl-prints.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-prints.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-prints.adb
  "vhdl-elocations.ali" being checked ...
  -> "vhdl-elocations.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-elocations.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-elocations.adb
  "vhdl-ieee.ali" being checked ...
  -> "vhdl-ieee.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-ieee.adb
  "vhdl-ieee-std_logic_1164.ali" being checked ...
  -> "vhdl-ieee-std_logic_1164.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_1164.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-ieee-std_logic_1164.adb
  "vhdl-nodes_utils.ali" being checked ...
  -> "vhdl-nodes_utils.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_utils.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-nodes_utils.adb
  "vhdl-sem_assocs.ali" being checked ...
  -> "vhdl-sem_assocs.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_assocs.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-sem_assocs.adb
  "vhdl-sem_decls.ali" being checked ...
  -> "vhdl-sem_decls.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_decls.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-sem_decls.adb
  "vhdl-sem_expr.ali" being checked ...
  -> "vhdl-sem_expr.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_expr.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-sem_expr.adb
  "vhdl-sem_inst.ali" being checked ...
  -> "vhdl-sem_inst.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_inst.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-sem_inst.adb
  "vhdl-sem_names.ali" being checked ...
  -> "vhdl-sem_names.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_names.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-sem_names.adb
  "vhdl-sem_psl.ali" being checked ...
  -> "vhdl-sem_psl.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_psl.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-sem_psl.adb
arm-linux-gnueabihf-g++-12 -no-pie   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc  -o lto1 \
	lto/lto-lang.o lto/lto.o lto/lto-object.o attribs.o lto/lto-partition.o lto/lto-symtab.o lto/lto-common.o libbackend.a main.o libcommon-target.a libcommon.a ../libcpp/libcpp.a ../libdecnumber/libdecnumber.a -lisl -lmpc -lmpfr -lgmp -rdynamic  -lz  libcommon.a ../libcpp/libcpp.a   ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a 
arm-linux-gnueabihf-g++-12  -fno-PIE -c   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -Ilto -I../../src/gcc -I../../src/gcc/lto -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o lto/lto-dump.o -MT lto/lto-dump.o -MMD -MP -MF lto/.deps/lto-dump.TPo ../../src/gcc/lto/lto-dump.cc
  "vhdl-sem_stmts.ali" being checked ...
  -> "vhdl-sem_stmts.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_stmts.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-sem_stmts.adb
  "vhdl-sem_utils.ali" being checked ...
  -> "vhdl-sem_utils.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_utils.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-sem_utils.adb
  "vhdl-xrefs.ali" being checked ...
  -> "vhdl-xrefs.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-xrefs.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-xrefs.adb
  "psl-types.ali" being checked ...
  -> "psl-types.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-types.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/psl-types.ads
  "psl-errors.ali" being checked ...
  -> "psl-errors.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-errors.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/psl-errors.adb
  "psl-nodes_meta.ali" being checked ...
  -> "psl-nodes_meta.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nodes_meta.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/psl-nodes_meta.adb
  "vhdl-types.ali" being checked ...
  -> "vhdl-types.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-types.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-types.ads
  "psl-hash.ali" being checked ...
  -> "psl-hash.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-hash.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/psl-hash.adb
  "lists.ali" being checked ...
  -> "lists.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/lists.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/lists.adb
  "vhdl-nodes_meta.ali" being checked ...
  -> "vhdl-nodes_meta.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_meta.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-nodes_meta.adb
  "grt.ali" being checked ...
  -> "grt.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/grt.ads
arm-linux-gnueabihf-g++-12 -no-pie   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc  -o lto-dump \
	lto/lto-lang.o lto/lto-object.o attribs.o lto/lto-partition.o lto/lto-symtab.o lto/lto-dump.o lto/lto-common.o libbackend.a main.o libcommon-target.a libcommon.a ../libcpp/libcpp.a ../libdecnumber/libdecnumber.a -lisl -lmpc -lmpfr -lgmp -rdynamic  -lz  libcommon.a ../libcpp/libcpp.a   ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a 
  "grt-fcvt.ali" being checked ...
  -> "grt-fcvt.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt-fcvt.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/grt-fcvt.adb
  "psl-build.ali" being checked ...
  -> "psl-build.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-build.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/psl-build.adb
  "psl-nfas.ali" being checked ...
  -> "psl-nfas.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nfas.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/psl-nfas.adb
  "psl-nfas-utils.ali" being checked ...
  -> "psl-nfas-utils.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nfas-utils.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/psl-nfas-utils.adb
  "psl-rewrites.ali" being checked ...
  -> "psl-rewrites.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-rewrites.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/psl-rewrites.adb
  "vhdl-canon_psl.ali" being checked ...
  -> "vhdl-canon_psl.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-canon_psl.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-canon_psl.adb
  "vhdl-nodes_priv.ali" being checked ...
  -> "vhdl-nodes_priv.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_priv.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-nodes_priv.ads
  "vhdl-flists.ali" being checked ...
  -> "vhdl-flists.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-flists.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-flists.ads
  "vhdl-parse_psl.ali" being checked ...
  -> "vhdl-parse_psl.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-parse_psl.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-parse_psl.adb
  "trans-chap6.ali" being checked ...
  -> "trans-chap6.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap6.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/trans-chap6.adb
  "grt-to_strings.ali" being checked ...
  -> "grt-to_strings.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt-to_strings.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/grt-to_strings.adb
  "grt-types.ali" being checked ...
  -> "grt-types.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt-types.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/grt-types.ads
  "trans-chap5.ali" being checked ...
  -> "trans-chap5.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap5.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/trans-chap5.adb
  "trans-chap9.ali" being checked ...
  -> "trans-chap9.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap9.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/trans-chap9.adb
  "trans-chap8.ali" being checked ...
  -> "trans-chap8.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap8.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/trans-chap8.adb
  "trans-foreach_non_composite.ali" being checked ...
  -> "trans-foreach_non_composite.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-foreach_non_composite.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/trans-foreach_non_composite.adb
  "trans-chap14.ali" being checked ...
  -> "trans-chap14.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap14.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/trans-chap14.adb
  "vhdl-ieee-math_real.ali" being checked ...
  -> "vhdl-ieee-math_real.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-math_real.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-ieee-math_real.adb
  "vhdl-ieee-numeric.ali" being checked ...
  -> "vhdl-ieee-numeric.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-ieee-numeric.adb
  "vhdl-ieee-numeric_std_unsigned.ali" being checked ...
  -> "vhdl-ieee-numeric_std_unsigned.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric_std_unsigned.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-ieee-numeric_std_unsigned.adb
  "vhdl-ieee-std_logic_arith.ali" being checked ...
  -> "vhdl-ieee-std_logic_arith.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_arith.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-ieee-std_logic_arith.adb
  "vhdl-ieee-std_logic_misc.ali" being checked ...
  -> "vhdl-ieee-std_logic_misc.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_misc.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-ieee-std_logic_misc.adb
  "vhdl-ieee-std_logic_unsigned.ali" being checked ...
  -> "vhdl-ieee-std_logic_unsigned.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_unsigned.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-ieee-std_logic_unsigned.adb
  "vhdl-ieee-vital_timing.ali" being checked ...
  -> "vhdl-ieee-vital_timing.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-vital_timing.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-ieee-vital_timing.adb
  "vhdl-elocations_meta.ali" being checked ...
  -> "vhdl-elocations_meta.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-elocations_meta.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-elocations_meta.adb
  "vhdl-sem_types.ali" being checked ...
  -> "vhdl-sem_types.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_types.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-sem_types.adb
  "psl-subsets.ali" being checked ...
  -> "psl-subsets.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-subsets.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/psl-subsets.adb
  "psl-prints.ali" being checked ...
  -> "psl-prints.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-prints.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/psl-prints.adb
  "psl-priorities.ali" being checked ...
  -> "psl-priorities.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-priorities.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/psl-priorities.ads
  "psl-nodes_priv.ali" being checked ...
  -> "psl-nodes_priv.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nodes_priv.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/psl-nodes_priv.ads
  "grt-algos.ali" being checked ...
  -> "grt-algos.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt-algos.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/grt-algos.adb
  "psl-cse.ali" being checked ...
  -> "psl-cse.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-cse.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/psl-cse.adb
  "psl-disp_nfas.ali" being checked ...
  -> "psl-disp_nfas.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-disp_nfas.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/psl-disp_nfas.adb
  "psl-optimize.ali" being checked ...
  -> "psl-optimize.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-optimize.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/psl-optimize.adb
  "psl-qm.ali" being checked ...
  -> "psl-qm.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-qm.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/psl-qm.adb
  "flists.ali" being checked ...
  -> "flists.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/flists.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/flists.adb
  "trans_analyzes.ali" being checked ...
  -> "trans_analyzes.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans_analyzes.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/trans_analyzes.adb
End of compilation
gnatmake -v -j4 -R -eS  -o ghdl1 -aI../../src/gcc/vhdl -aOvhdl ortho_gcc-main \
         -cargs -g -O2     -gnata -gnaty3befhkmr -gnatwa -gnatwC \
 -bargs -E \
 -largs --LINK=arm-linux-gnueabihf-g++-12 -no-pie   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc  attribs.o vhdl/ortho-lang.o vhdl/grt-cstdio.o \
 libbackend.a libcommon-target.a libcommon.a ../libcpp/libcpp.a ../libdecnumber/libdecnumber.a libcommon.a ../libcpp/libcpp.a   ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a  -lisl -lmpc -lmpfr -lgmp -rdynamic  -lz 

GNATMAKE 12.2.0
Copyright (C) 1992-2022, Free Software Foundation, Inc.
  -> "ghdl1" final executable
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/ortho_gcc-main.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/ortho_gcc.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/ortho_gcc_front.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/ortho_ident.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/ortho_front.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/bug.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/dyn_interning.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/dyn_maps.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/dyn_tables.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/errorout.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/errorout-console.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/flags.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/hash.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/interning.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/libraries.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/name_table.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/options.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans_be.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/translation.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/types.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-configuration.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-errors.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_lib.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-std_package.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-utils.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/simple_io.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/version.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/files_map.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/str_table.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/logging.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/std_names.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/tables.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-scanner.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-tokens.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-dump_tree.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nodes.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-back_end.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-disp_tree.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-lists.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-parse.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/ortho_nodes.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap1.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap12.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap2.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap3.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap4.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap7.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-helpers2.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-rtis.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans_decls.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-canon.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_specs.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-evaluation.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_walk.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_scopes.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_meta.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_priv.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-types.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-flists.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-elocations.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_1164.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_utils.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_assocs.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_decls.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_expr.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_inst.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_names.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_psl.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_stmts.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_utils.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-xrefs.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_gc.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-post_sems.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-prints.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-types.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt-fcvt.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-errors.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nodes_meta.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-hash.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/lists.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-parse_psl.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap5.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap6.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap9.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap8.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap14.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-foreach_non_composite.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-build.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nfas.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nfas-utils.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-rewrites.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-canon_psl.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt-to_strings.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt-types.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nodes_priv.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/flists.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-elocations_meta.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_types.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt-algos.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-subsets.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-math_real.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric_std_unsigned.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_arith.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_misc.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_unsigned.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-vital_timing.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-prints.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-priorities.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans_analyzes.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-cse.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-disp_nfas.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-optimize.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-qm.ali" being checked ...
End of compilation
  "ghdl1" missing.
arm-linux-gnueabihf-gnatbind-12 -aI../../src/gcc/vhdl -aOvhdl -E -x /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/ortho_gcc-main.ali
arm-linux-gnueabihf-gnatlink-12 /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/ortho_gcc-main.ali -o ghdl1 --LINK=arm-linux-gnueabihf-g++-12 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc attribs.o vhdl/ortho-lang.o vhdl/grt-cstdio.o libbackend.a libcommon-target.a libcommon.a ../libcpp/libcpp.a ../libdecnumber/libdecnumber.a libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a -lisl -lmpc -lmpfr -lgmp -rdynamic -lz -R
gnatmake -v -j4 -R -eS  -o ghdl -aI../../src/gcc/vhdl -aI../../src/gcc/vhdl/ghdldrv \
 -aIvhdl -aOvhdl ghdl_gcc \
 -cargs -g -O2     -gnata -gnaty3befhkmr -gnatwa -gnatwC \
 -bargs -E \
         -largs --LINK=arm-linux-gnueabihf-g++-12 -no-pie   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc  \
           vhdl/grt-cstdio.o libcommon.a ../libcpp/libcpp.a   ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a 

GNATMAKE 12.2.0
Copyright (C) 1992-2022, Free Software Foundation, Inc.
  -> "ghdl" final executable
  "ghdl_gcc.ali" being checked ...
  -> "ghdl_gcc.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/ghdldrv/ghdl_gcc.adb
  "ghdldrv.ali" being checked ...
  -> "ghdldrv.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/ghdldrv/ghdldrv.adb
  "ghdllocal.ali" being checked ...
  -> "ghdllocal.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/ghdldrv/ghdllocal.adb
  "ghdlmain.ali" being checked ...
  -> "ghdlmain.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/ghdldrv/ghdlmain.adb
  "ghdlprint.ali" being checked ...
  -> "ghdlprint.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/ghdldrv/ghdlprint.adb
  "ghdlsynth_maybe.ali" being checked ...
  -> "ghdlsynth_maybe.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/ghdlsynth_maybe.ads
  "ghdlvpi.ali" being checked ...
  -> "ghdlvpi.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/ghdldrv/ghdlvpi.adb
  "ghdlxml.ali" being checked ...
  -> "ghdlxml.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/ghdldrv/ghdlxml.adb
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/bug.ali" being checked ...
  "default_paths.ali" being checked ...
  -> "default_paths.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/default_paths.ads
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/errorout.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/errorout-console.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/simple_io.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/types.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/version.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/options.ali" being checked ...
  "ghdlsynth.ali" being checked ...
  -> "ghdlsynth.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/ghdldrv/ghdlsynth.adb
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/dyn_tables.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/files_map.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/flags.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/libraries.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/name_table.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/tables.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-canon.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-elocations.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-errors.ali" being checked ...
  "vhdl-formatters.ali" being checked ...
  -> "vhdl-formatters.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-formatters.adb
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-parse.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-prints.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-scanner.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_lib.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-tokens.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-utils.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-xrefs.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-disp_tree.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_meta.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/str_table.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-dump_tree.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nodes.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/std_names.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-back_end.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-lists.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/logging.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-types.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-std_package.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-build.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-errors.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nfas.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nfas-utils.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-rewrites.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-types.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-canon_psl.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_utils.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_inst.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_specs.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-elocations_meta.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_priv.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-configuration.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-parse_psl.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-prints.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-priorities.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt-fcvt.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_gc.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-post_sems.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-flists.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nodes_meta.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-hash.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/lists.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_utils.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-cse.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-disp_nfas.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-optimize.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-qm.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nodes_priv.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_1164.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_assocs.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_decls.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_expr.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_names.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_psl.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_scopes.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_stmts.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-evaluation.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_walk.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-math_real.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric_std_unsigned.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_arith.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_misc.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_unsigned.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-vital_timing.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/flists.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_types.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt-algos.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-subsets.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt-to_strings.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt-types.ali" being checked ...
  "elab.ali" being checked ...
  -> "elab.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/elab.ads
  "elab-vhdl_context.ali" being checked ...
  -> "elab-vhdl_context.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/elab-vhdl_context.adb
  "elab-vhdl_insts.ali" being checked ...
  -> "elab-vhdl_insts.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/elab-vhdl_insts.adb
  "elab-vhdl_objtypes.ali" being checked ...
  -> "elab-vhdl_objtypes.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/elab-vhdl_objtypes.adb
  "ghdlcomp.ali" being checked ...
  -> "ghdlcomp.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/ghdldrv/ghdlcomp.adb
  "netlists.ali" being checked ...
  -> "netlists.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/netlists.adb
  "netlists-disp_dot.ali" being checked ...
  -> "netlists-disp_dot.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/netlists-disp_dot.adb
  "netlists-disp_verilog.ali" being checked ...
  -> "netlists-disp_verilog.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/netlists-disp_verilog.adb
netlists-disp_dot.ads:19:06: warning: unnecessary with of ancestor [-gnatwr]
  "netlists-disp_vhdl.ali" being checked ...
  -> "netlists-disp_vhdl.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/netlists-disp_vhdl.adb
  "netlists-dump.ali" being checked ...
  -> "netlists-dump.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/netlists-dump.adb
  "netlists-errors.ali" being checked ...
  -> "netlists-errors.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/netlists-errors.adb
  "netlists-inference.ali" being checked ...
  -> "netlists-inference.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/netlists-inference.adb
netlists-inference.ads:19:06: warning: unnecessary with of ancestor [-gnatwr]
  "synth.ali" being checked ...
  -> "synth.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth.ads
  "synth-disp_vhdl.ali" being checked ...
  -> "synth-disp_vhdl.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-disp_vhdl.adb
  "synth-flags.ali" being checked ...
  -> "synth-flags.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-flags.ads
  "synth-vhdl_context.ali" being checked ...
  -> "synth-vhdl_context.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-vhdl_context.adb
  "synthesis.ali" being checked ...
  -> "synthesis.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synthesis.adb
  "vhdl-annotations.ali" being checked ...
  -> "vhdl-annotations.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-annotations.adb
  "utils_io.ali" being checked ...
  -> "utils_io.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/utils_io.adb
  "grt-vstrings.ali" being checked ...
  -> "grt-vstrings.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/grt-vstrings.adb
  "elab-vhdl_decls.ali" being checked ...
  -> "elab-vhdl_decls.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/elab-vhdl_decls.adb
  "elab-vhdl_errors.ali" being checked ...
  -> "elab-vhdl_errors.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/elab-vhdl_errors.adb
  "elab-vhdl_expr.ali" being checked ...
  -> "elab-vhdl_expr.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/elab-vhdl_expr.adb
  "elab-vhdl_files.ali" being checked ...
  -> "elab-vhdl_files.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/elab-vhdl_files.adb
  "elab-vhdl_stmts.ali" being checked ...
  -> "elab-vhdl_stmts.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/elab-vhdl_stmts.adb
  "elab-vhdl_types.ali" being checked ...
  -> "elab-vhdl_types.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/elab-vhdl_types.adb
  "elab-vhdl_values.ali" being checked ...
  -> "elab-vhdl_values.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/elab-vhdl_values.adb
  "mutils.ali" being checked ...
  -> "mutils.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/mutils.adb
  "areapools.ali" being checked ...
  -> "areapools.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/areapools.adb
  "elab-memtype.ali" being checked ...
  -> "elab-memtype.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/elab-memtype.adb
  "netlists-iterators.ali" being checked ...
  -> "netlists-iterators.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/netlists-iterators.adb
  "netlists-utils.ali" being checked ...
  -> "netlists-utils.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/netlists-utils.adb
  "netlists-gates.ali" being checked ...
  -> "netlists-gates.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/netlists-gates.ads
  "netlists-locations.ali" being checked ...
  -> "netlists-locations.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/netlists-locations.adb
  "types_utils.ali" being checked ...
  -> "types_utils.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/types_utils.adb
  "grt-severity.ali" being checked ...
  -> "grt-severity.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/grt-severity.ads
  "netlists-folds.ali" being checked ...
  -> "netlists-folds.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/netlists-folds.adb
  "netlists-gates_ports.ali" being checked ...
  -> "netlists-gates_ports.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/netlists-gates_ports.adb
  "netlists-internings.ali" being checked ...
  -> "netlists-internings.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/netlists-internings.adb
  "netlists-memories.ali" being checked ...
  -> "netlists-memories.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/netlists-memories.adb
  "synth-errors.ali" being checked ...
  -> "synth-errors.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-errors.adb
  "netlists-builders.ali" being checked ...
  -> "netlists-builders.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/netlists-builders.adb
  "elab-vhdl_values-debug.ali" being checked ...
  -> "elab-vhdl_values-debug.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/elab-vhdl_values-debug.adb
  "netlists-cleanup.ali" being checked ...
  -> "netlists-cleanup.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/netlists-cleanup.adb
  "netlists-expands.ali" being checked ...
  -> "netlists-expands.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/netlists-expands.adb
  "synth-vhdl_insts.ali" being checked ...
  -> "synth-vhdl_insts.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-vhdl_insts.adb
  "synth-context.ali" being checked ...
  -> "synth-context.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-context.ads
  "synth-vhdl_expr.ali" being checked ...
  -> "synth-vhdl_expr.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-vhdl_expr.adb
  "synth-vhdl_environment.ali" being checked ...
  -> "synth-vhdl_environment.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-vhdl_environment.adb
  "grt-c.ali" being checked ...
  -> "grt-c.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/grt-c.ads
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/dyn_maps.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/hash.ali" being checked ...
  "grt-files_operations.ali" being checked ...
  -> "grt-files_operations.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/grt-files_operations.adb
  "grt-stdio.ali" being checked ...
  -> "grt-stdio.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/grt-stdio.ads
  "elab-debugger.ali" being checked ...
  -> "elab-debugger.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/elab-debugger.adb
  "elab-vhdl_heap.ali" being checked ...
  -> "elab-vhdl_heap.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/elab-vhdl_heap.adb
  "synth-vhdl_aggr.ali" being checked ...
  -> "synth-vhdl_aggr.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-vhdl_aggr.adb
  "synth-vhdl_oper.ali" being checked ...
  -> "synth-vhdl_oper.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-vhdl_oper.adb
  "synth-vhdl_stmts.ali" being checked ...
  -> "synth-vhdl_stmts.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-vhdl_stmts.adb
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/dyn_interning.ali" being checked ...
  "netlists-butils.ali" being checked ...
  -> "netlists-butils.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/netlists-butils.adb
  "netlists-concats.ali" being checked ...
  -> "netlists-concats.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/netlists-concats.adb
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/interning.ali" being checked ...
  "synth-source.ali" being checked ...
  -> "synth-source.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-source.adb
  "synth-vhdl_decls.ali" being checked ...
  -> "synth-vhdl_decls.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-vhdl_decls.adb
  "grt-table.ali" being checked ...
  -> "grt-table.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/grt-table.adb
  "synth-static_oper.ali" being checked ...
  -> "synth-static_oper.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-static_oper.adb
  "synth-environment.ali" being checked ...
  -> "synth-environment.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-environment.adb
  "synth-environment-debug.ali" being checked ...
  -> "synth-environment-debug.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-environment-debug.adb
  "synth-ieee.ali" being checked ...
  -> "synth-ieee.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-ieee.ads
  "synth-ieee-numeric_std.ali" being checked ...
  -> "synth-ieee-numeric_std.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-ieee-numeric_std.adb
  "synth-ieee-std_logic_1164.ali" being checked ...
  -> "synth-ieee-std_logic_1164.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-ieee-std_logic_1164.adb
  "synth-vhdl_static_proc.ali" being checked ...
  -> "synth-vhdl_static_proc.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-vhdl_static_proc.adb
End of compilation
arm-linux-gnueabihf-gnatbind-12 -aI../../src/gcc/vhdl -aI../../src/gcc/vhdl/ghdldrv -aIvhdl -aOvhdl -E -x ghdl_gcc.ali
arm-linux-gnueabihf-gnatlink-12 ghdl_gcc.ali -o ghdl --LINK=arm-linux-gnueabihf-g++-12 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc vhdl/grt-cstdio.o libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a -R
rm gcc.pod lto-dump.pod
make[4]: Leaving directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc'
Checking multilib configuration for libgcc...
mkdir -p -- arm-linux-gnueabihf/libgcc
make[4]: Entering directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/c++tools'
Configuring in arm-linux-gnueabihf/libgcc
arm-linux-gnueabihf-g++-12 -g -O2 -fno-exceptions -fno-rtti -I../../src/c++tools/../libcody -I../../src/c++tools/../include -I../../src/c++tools/../gcc -I. -I../gcc \
  -MMD -MP -MF server.d -c -o server.o ../../src/c++tools/server.cc
arm-linux-gnueabihf-g++-12 -g -O2 -fno-exceptions -fno-rtti -I../../src/c++tools/../libcody -I../../src/c++tools/../include -I../../src/c++tools/../gcc -I. -I../gcc \
  -MMD -MP -MF resolver.d -c -o resolver.o ../../src/c++tools/resolver.cc
make[4]: Entering directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/libcc1'
/usr/bin/make  all-am
make[5]: Entering directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/libcc1'
/bin/bash ./libtool  --tag=CXX   --mode=compile arm-linux-gnueabihf-g++-12 -DHAVE_CONFIG_H -I. -I../../src/libcc1  -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc   -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall  -fvisibility=hidden   -g -O2 -MT findcomp.lo -MD -MP -MF .deps/findcomp.Tpo -c -o findcomp.lo ../../src/libcc1/findcomp.cc
libtool: compile:  arm-linux-gnueabihf-g++-12 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -g -O2 -MT findcomp.lo -MD -MP -MF .deps/findcomp.Tpo -c ../../src/libcc1/findcomp.cc  -fPIC -DPIC -o .libs/findcomp.o
configure: creating cache ./config.cache
checking build system type... arm-unknown-linux-gnueabihf
checking host system type... arm-unknown-linux-gnueabihf
checking for --enable-version-specific-runtime-libs... no
checking for a BSD-compatible install... /usr/bin/install -c
checking for gawk... gawk
checking for arm-linux-gnueabihf-ar... arm-linux-gnueabihf-ar
checking for arm-linux-gnueabihf-lipo... lipo
checking for arm-linux-gnueabihf-nm... /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/nm
checking for arm-linux-gnueabihf-ranlib... arm-linux-gnueabihf-ranlib
checking for arm-linux-gnueabihf-strip... arm-linux-gnueabihf-strip
checking whether ln -s works... yes
checking for arm-linux-gnueabihf-gcc... /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include   
mv -f .deps/findcomp.Tpo .deps/findcomp.Plo
/bin/bash ./libtool  --tag=CXX   --mode=compile arm-linux-gnueabihf-g++-12 -DHAVE_CONFIG_H -I. -I../../src/libcc1  -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc   -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall  -fvisibility=hidden   -g -O2 -MT libcc1.lo -MD -MP -MF .deps/libcc1.Tpo -c -o libcc1.lo ../../src/libcc1/libcc1.cc
libtool: compile:  arm-linux-gnueabihf-g++-12 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -g -O2 -MT libcc1.lo -MD -MP -MF .deps/libcc1.Tpo -c ../../src/libcc1/libcc1.cc  -fPIC -DPIC -o .libs/libcc1.o
checking for suffix of object files... o
checking whether we are using the GNU C compiler... yes
checking whether /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    accepts -g... yes
checking for /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    option to accept ISO C89... none needed
checking how to run the C preprocessor... /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -E
checking for special C compiler options needed for large files... no
checking for _FILE_OFFSET_BITS value needed for large files... 64
checking size of double... 8
checking size of long double... 8
checking for inttypes.h... yes
checking for stdint.h... yes
/bin/bash ./libtool  --tag=CXX   --mode=compile arm-linux-gnueabihf-g++-12 -DHAVE_CONFIG_H -I. -I../../src/libcc1  -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc   -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall  -fvisibility=hidden   -g -O2 -MT libcp1.lo -MD -MP -MF .deps/libcp1.Tpo -c -o libcp1.lo ../../src/libcc1/libcp1.cc
checking for stdlib.h... libtool: compile:  arm-linux-gnueabihf-g++-12 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -g -O2 -MT libcp1.lo -MD -MP -MF .deps/libcp1.Tpo -c ../../src/libcc1/libcp1.cc  -fPIC -DPIC -o .libs/libcp1.o
yes
checking for ftw.h... yes
checking for unistd.h... yes
checking for sys/stat.h... yes
checking for sys/types.h... yes
checking for string.h... yes
checking for strings.h... yes
checking for memory.h... yes
checking for sys/auxv.h... arm-linux-gnueabihf-g++-12 -static-libstdc++ -static-libgcc   -o g++-mapper-server server.o resolver.o ../libcody/libcody.a ../libiberty/libiberty.a 
yes
checking for sys/mman.h... yes
checking for grep that handles long lines and -e... /bin/grep
checking for egrep... /bin/grep -E
checking for ANSI C header files... /usr/bin/install -c g++-mapper-server ../gcc/g++-mapper-server
make[4]: Leaving directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/c++tools'
/bin/bash ./libtool  --tag=CXX   --mode=compile arm-linux-gnueabihf-g++-12 -DHAVE_CONFIG_H -I. -I../../src/libcc1  -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc   -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall  -fvisibility=hidden   -g -O2 -MT compiler.lo -MD -MP -MF .deps/compiler.Tpo -c -o compiler.lo ../../src/libcc1/compiler.cc
libtool: compile:  arm-linux-gnueabihf-g++-12 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -g -O2 -MT compiler.lo -MD -MP -MF .deps/compiler.Tpo -c ../../src/libcc1/compiler.cc  -fPIC -DPIC -o .libs/compiler.o
yes
checking whether decimal floating point is supported... no
configure: WARNING: decimal float is not supported for this target, ignored
checking whether fixed-point is supported... yes
checking whether the compiler is configured for setjmp/longjmp exceptions... no
checking for CET support... no
checking if the linker (/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/collect-ld) is GNU ld... yes
checking for thread model used by GCC... posix
checking whether assembler supports CFI directives... yes
checking for target glibc version... 2.36
checking for __attribute__((visibility("hidden")))... yes
checking for .cfi_sections .debug_frame... yes
checking whether the target assembler supports thread-local storage... mv -f .deps/compiler.Tpo .deps/compiler.Plo
/bin/bash ./libtool  --tag=CXX   --mode=compile arm-linux-gnueabihf-g++-12 -DHAVE_CONFIG_H -I. -I../../src/libcc1  -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc   -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall  -fvisibility=hidden   -g -O2 -MT names.lo -MD -MP -MF .deps/names.Tpo -c -o names.lo ../../src/libcc1/names.cc
yes
checking whether the thread-local storage support is from emutls... libtool: compile:  arm-linux-gnueabihf-g++-12 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -g -O2 -MT names.lo -MD -MP -MF .deps/names.Tpo -c ../../src/libcc1/names.cc  -fPIC -DPIC -o .libs/names.o
no
checking for init priority support... mv -f .deps/names.Tpo .deps/names.Plo
/bin/bash ./libtool  --tag=CXX   --mode=compile arm-linux-gnueabihf-g++-12 -DHAVE_CONFIG_H -I. -I../../src/libcc1  -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc   -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall  -fvisibility=hidden   -g -O2 -MT callbacks.lo -MD -MP -MF .deps/callbacks.Tpo -c -o callbacks.lo ../../src/libcc1/callbacks.cc
yes
libtool: compile:  arm-linux-gnueabihf-g++-12 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -g -O2 -MT callbacks.lo -MD -MP -MF .deps/callbacks.Tpo -c ../../src/libcc1/callbacks.cc  -fPIC -DPIC -o .libs/callbacks.o
configure: updating cache ./config.cache
configure: creating ./config.status
mv -f .deps/callbacks.Tpo .deps/callbacks.Plo
/bin/bash ./libtool  --tag=CXX   --mode=compile arm-linux-gnueabihf-g++-12 -DHAVE_CONFIG_H -I. -I../../src/libcc1  -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc   -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall  -fvisibility=hidden   -g -O2 -MT connection.lo -MD -MP -MF .deps/connection.Tpo -c -o connection.lo ../../src/libcc1/connection.cc
libtool: compile:  arm-linux-gnueabihf-g++-12 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -g -O2 -MT connection.lo -MD -MP -MF .deps/connection.Tpo -c ../../src/libcc1/connection.cc  -fPIC -DPIC -o .libs/connection.o
mv -f .deps/libcc1.Tpo .deps/libcc1.Plo
/bin/bash ./libtool  --tag=CXX   --mode=compile arm-linux-gnueabihf-g++-12 -DHAVE_CONFIG_H -I. -I../../src/libcc1  -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc   -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall  -fvisibility=hidden   -g -O2 -MT marshall.lo -MD -MP -MF .deps/marshall.Tpo -c -o marshall.lo ../../src/libcc1/marshall.cc
libtool: compile:  arm-linux-gnueabihf-g++-12 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -g -O2 -MT marshall.lo -MD -MP -MF .deps/marshall.Tpo -c ../../src/libcc1/marshall.cc  -fPIC -DPIC -o .libs/marshall.o
config.status: creating Makefile
config.status: creating auto-target.h
config.status: executing default commands
WARNING: biarch_multidir_names is unset. Use default value:
  libiberty libstdc++-v3 libgfortran libmudflap libssp libffi libobjc libgomp
Adding multilib support to Makefile in ../../../src/libgcc
multidirs=
with_multisubdir=
/bin/bash ./libtool  --tag=CXX   --mode=compile arm-linux-gnueabihf-g++-12 -DHAVE_CONFIG_H -I. -I../../src/libcc1  -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c  -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall  -fvisibility=hidden   -g -O2 -MT libcc1plugin.lo -MD -MP -MF .deps/libcc1plugin.Tpo -c -o libcc1plugin.lo ../../src/libcc1/libcc1plugin.cc
libtool: compile:  arm-linux-gnueabihf-g++-12 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -g -O2 -MT libcc1plugin.lo -MD -MP -MF .deps/libcc1plugin.Tpo -c ../../src/libcc1/libcc1plugin.cc  -fPIC -DPIC -o .libs/libcc1plugin.o
mv -f .deps/marshall.Tpo .deps/marshall.Plo
/bin/bash ./libtool  --tag=CXX   --mode=compile arm-linux-gnueabihf-g++-12 -DHAVE_CONFIG_H -I. -I../../src/libcc1  -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc   -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall  -fvisibility=hidden   -g -O2 -MT context.lo -MD -MP -MF .deps/context.Tpo -c -o context.lo ../../src/libcc1/context.cc
libtool: compile:  arm-linux-gnueabihf-g++-12 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -g -O2 -MT context.lo -MD -MP -MF .deps/context.Tpo -c ../../src/libcc1/context.cc  -fPIC -DPIC -o .libs/context.o
mv -f .deps/connection.Tpo .deps/connection.Plo
/bin/bash ./libtool  --tag=CXX   --mode=compile arm-linux-gnueabihf-g++-12 -DHAVE_CONFIG_H -I. -I../../src/libcc1  -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/cp  -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall  -fvisibility=hidden   -g -O2 -MT libcp1plugin.lo -MD -MP -MF .deps/libcp1plugin.Tpo -c -o libcp1plugin.lo ../../src/libcc1/libcp1plugin.cc
libtool: compile:  arm-linux-gnueabihf-g++-12 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/cp -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -g -O2 -MT libcp1plugin.lo -MD -MP -MF .deps/libcp1plugin.Tpo -c ../../src/libcc1/libcp1plugin.cc  -fPIC -DPIC -o .libs/libcp1plugin.o
mv -f .deps/libcp1.Tpo .deps/libcp1.Plo
make[4]: Entering directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/arm-linux-gnueabihf/libgcc'
mv -f .deps/context.Tpo .deps/context.Plo
/bin/bash ./libtool --tag=CXX   --mode=link arm-linux-gnueabihf-g++-12 -W -Wall  -fvisibility=hidden   -g -O2 -module -export-symbols ../../src/libcc1/libcc1.sym  -Xcompiler '-static-libstdc++' -Xcompiler '-static-libgcc' -o libcc1.la -rpath /usr/lib/ghdl/gcc/lib/. findcomp.lo libcc1.lo libcp1.lo compiler.lo names.lo callbacks.lo connection.lo marshall.lo    -Wc,../libiberty/pic/libiberty.a 
libtool: link: arm-linux-gnueabihf-g++-12  -fPIC -DPIC -shared -nostdlib /usr/lib/gcc/arm-linux-gnueabihf/12/../../../arm-linux-gnueabihf/crti.o /usr/lib/gcc/arm-linux-gnueabihf/12/crtbeginS.o  .libs/findcomp.o .libs/libcc1.o .libs/libcp1.o .libs/compiler.o .libs/names.o .libs/callbacks.o .libs/connection.o .libs/marshall.o   -L/usr/lib/gcc/arm-linux-gnueabihf/12 -L/usr/lib/gcc/arm-linux-gnueabihf/12/../../../arm-linux-gnueabihf -L/usr/lib/gcc/arm-linux-gnueabihf/12/../../.. -L/lib/arm-linux-gnueabihf -L/usr/lib/arm-linux-gnueabihf -lstdc++ -lm -lc -lgcc_s /usr/lib/gcc/arm-linux-gnueabihf/12/crtendS.o /usr/lib/gcc/arm-linux-gnueabihf/12/../../../arm-linux-gnueabihf/crtn.o  -static-libstdc++ -static-libgcc ../libiberty/pic/libiberty.a   -Wl,-soname -Wl,libcc1.so.0 -Wl,-retain-symbols-file -Wl,../../src/libcc1/libcc1.sym -o .libs/libcc1.so.0.0.0
libtool: link: (cd ".libs" && rm -f "libcc1.so.0" && ln -s "libcc1.so.0.0.0" "libcc1.so.0")
libtool: link: (cd ".libs" && rm -f "libcc1.so" && ln -s "libcc1.so.0.0.0" "libcc1.so")
libtool: link: ( cd ".libs" && rm -f "libcc1.la" && ln -s "../libcc1.la" "libcc1.la" )
# If this is the top-level multilib, build all the other
# multilibs.
ln -s ../../../src/libgcc/enable-execute-stack-empty.c enable-execute-stack.c
ln -s ../../../src/libgcc/config/arm/unwind-arm.h unwind.h
make[5]: Entering directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/arm-linux-gnueabihf/libgcc'
ln -s ../../../src/libgcc/config/no-unwind.h md-unwind-support.h
ln -s ../../../src/libgcc/config/arm/sfp-machine.h sfp-machine.h
ln -s ../../../src/libgcc/gthr-posix.h gthr-default.h
DEFINES='' HEADERS='../../../src/libgcc/config/arm/bpabi-lib.h' \
	../../../src/libgcc/mkheader.sh > tmp-libgcc_tm.h
/bin/bash ../../../src/libgcc/../move-if-change tmp-libgcc_tm.h libgcc_tm.h
echo timestamp > libgcc_tm.stamp
echo '#if !__ARM_ARCH_ISA_ARM && __ARM_ARCH_ISA_THUMB == 1' > addsf3.c
echo '#include "soft-fp/addsf3.c"' >> addsf3.c
echo '#endif' >> addsf3.c
echo '#if !__ARM_ARCH_ISA_ARM && __ARM_ARCH_ISA_THUMB == 1' > divsf3.c
echo '#include "soft-fp/divsf3.c"' >> divsf3.c
echo '#endif' >> divsf3.c
echo '#if !__ARM_ARCH_ISA_ARM && __ARM_ARCH_ISA_THUMB == 1' > eqsf2.c
echo '#include "soft-fp/eqsf2.c"' >> eqsf2.c
echo '#endif' >> eqsf2.c
echo '#if !__ARM_ARCH_ISA_ARM && __ARM_ARCH_ISA_THUMB == 1' > gesf2.c
echo '#include "soft-fp/gesf2.c"' >> gesf2.c
echo '#endif' >> gesf2.c
echo '#if !__ARM_ARCH_ISA_ARM && __ARM_ARCH_ISA_THUMB == 1' > lesf2.c
echo '#include "soft-fp/lesf2.c"' >> lesf2.c
echo '#endif' >> lesf2.c
echo '#if !__ARM_ARCH_ISA_ARM && __ARM_ARCH_ISA_THUMB == 1' > mulsf3.c
echo '#include "soft-fp/mulsf3.c"' >> mulsf3.c
echo '#endif' >> mulsf3.c
echo '#if !__ARM_ARCH_ISA_ARM && __ARM_ARCH_ISA_THUMB == 1' > negsf2.c
echo '#include "soft-fp/negsf2.c"' >> negsf2.c
echo '#endif' >> negsf2.c
echo '#if !__ARM_ARCH_ISA_ARM && __ARM_ARCH_ISA_THUMB == 1' > subsf3.c
echo '#include "soft-fp/subsf3.c"' >> subsf3.c
echo '#endif' >> subsf3.c
echo '#if !__ARM_ARCH_ISA_ARM && __ARM_ARCH_ISA_THUMB == 1' > unordsf2.c
echo '#include "soft-fp/unordsf2.c"' >> unordsf2.c
echo '#endif' >> unordsf2.c
echo '#if !__ARM_ARCH_ISA_ARM && __ARM_ARCH_ISA_THUMB == 1' > fixsfsi.c
echo '#include "soft-fp/fixsfsi.c"' >> fixsfsi.c
echo '#endif' >> fixsfsi.c
echo '#if !__ARM_ARCH_ISA_ARM && __ARM_ARCH_ISA_THUMB == 1' > floatsisf.c
echo '#include "soft-fp/floatsisf.c"' >> floatsisf.c
echo '#endif' >> floatsisf.c
echo '#if !__ARM_ARCH_ISA_ARM && __ARM_ARCH_ISA_THUMB == 1' > floatunsisf.c
echo '#include "soft-fp/floatunsisf.c"' >> floatunsisf.c
echo '#endif' >> floatunsisf.c
echo '#if !__ARM_ARCH_ISA_ARM && __ARM_ARCH_ISA_THUMB == 1' > adddf3.c
echo '#include "soft-fp/adddf3.c"' >> adddf3.c
echo '#endif' >> adddf3.c
echo '#if !__ARM_ARCH_ISA_ARM && __ARM_ARCH_ISA_THUMB == 1' > divdf3.c
echo '#include "soft-fp/divdf3.c"' >> divdf3.c
echo '#endif' >> divdf3.c
echo '#if !__ARM_ARCH_ISA_ARM && __ARM_ARCH_ISA_THUMB == 1' > eqdf2.c
echo '#include "soft-fp/eqdf2.c"' >> eqdf2.c
echo '#endif' >> eqdf2.c
echo '#if !__ARM_ARCH_ISA_ARM && __ARM_ARCH_ISA_THUMB == 1' > gedf2.c
echo '#include "soft-fp/gedf2.c"' >> gedf2.c
echo '#endif' >> gedf2.c
echo '#if !__ARM_ARCH_ISA_ARM && __ARM_ARCH_ISA_THUMB == 1' > ledf2.c
echo '#include "soft-fp/ledf2.c"' >> ledf2.c
echo '#endif' >> ledf2.c
echo '#if !__ARM_ARCH_ISA_ARM && __ARM_ARCH_ISA_THUMB == 1' > muldf3.c
echo '#include "soft-fp/muldf3.c"' >> muldf3.c
echo '#endif' >> muldf3.c
echo '#if !__ARM_ARCH_ISA_ARM && __ARM_ARCH_ISA_THUMB == 1' > negdf2.c
echo '#include "soft-fp/negdf2.c"' >> negdf2.c
echo '#endif' >> negdf2.c
echo '#if !__ARM_ARCH_ISA_ARM && __ARM_ARCH_ISA_THUMB == 1' > subdf3.c
echo '#include "soft-fp/subdf3.c"' >> subdf3.c
echo '#endif' >> subdf3.c
echo '#if !__ARM_ARCH_ISA_ARM && __ARM_ARCH_ISA_THUMB == 1' > unorddf2.c
echo '#include "soft-fp/unorddf2.c"' >> unorddf2.c
echo '#endif' >> unorddf2.c
echo '#if !__ARM_ARCH_ISA_ARM && __ARM_ARCH_ISA_THUMB == 1' > fixdfsi.c
echo '#include "soft-fp/fixdfsi.c"' >> fixdfsi.c
echo '#endif' >> fixdfsi.c
echo '#if !__ARM_ARCH_ISA_ARM && __ARM_ARCH_ISA_THUMB == 1' > floatsidf.c
echo '#include "soft-fp/floatsidf.c"' >> floatsidf.c
echo '#endif' >> floatsidf.c
echo '#if !__ARM_ARCH_ISA_ARM && __ARM_ARCH_ISA_THUMB == 1' > floatunsidf.c
echo '#include "soft-fp/floatunsidf.c"' >> floatunsidf.c
echo '#endif' >> floatunsidf.c
echo '#if !__ARM_ARCH_ISA_ARM && __ARM_ARCH_ISA_THUMB == 1' > extendsfdf2.c
echo '#include "soft-fp/extendsfdf2.c"' >> extendsfdf2.c
echo '#endif' >> extendsfdf2.c
echo '#if !__ARM_ARCH_ISA_ARM && __ARM_ARCH_ISA_THUMB == 1' > truncdfsf2.c
echo '#include "soft-fp/truncdfsf2.c"' >> truncdfsf2.c
echo '#endif' >> truncdfsf2.c
sed -e 's/__PFX__/__/g' \
    -e 's/__FIXPTPFX__/__gnu_/g' < ../../../src/libgcc/libgcc-std.ver.in > libgcc-std.ver
dest=../.././gcc/include/tmp$$-unwind.h; \
cp unwind.h $dest; \
chmod a+r $dest; \
sh ../../../src/libgcc/../move-if-change $dest ../.././gcc/include/unwind.h
{ cat libgcc-std.ver ../../../src/libgcc/config/libgcc-glibc.ver ../../../src/libgcc/config/arm/libgcc-bpabi.ver \
    | sed -e '/^[ 	]*#/d' \
	  -e 's/^%\(if\|else\|elif\|endif\|define\)/#\1/' \
    | /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -E -xassembler-with-cpp -; \
} > tmp-libgcc.map.in
mv tmp-libgcc.map.in libgcc.map.in
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _thumb1_case_sqi_s.o -MT _thumb1_case_sqi_s.o -MD -MP -MF _thumb1_case_sqi_s.dep -DSHARED -DL_thumb1_case_sqi -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _thumb1_case_uqi_s.o -MT _thumb1_case_uqi_s.o -MD -MP -MF _thumb1_case_uqi_s.dep -DSHARED -DL_thumb1_case_uqi -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _thumb1_case_shi_s.o -MT _thumb1_case_shi_s.o -MD -MP -MF _thumb1_case_shi_s.dep -DSHARED -DL_thumb1_case_shi -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _thumb1_case_uhi_s.o -MT _thumb1_case_uhi_s.o -MD -MP -MF _thumb1_case_uhi_s.dep -DSHARED -DL_thumb1_case_uhi -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _thumb1_case_si_s.o -MT _thumb1_case_si_s.o -MD -MP -MF _thumb1_case_si_s.dep -DSHARED -DL_thumb1_case_si -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _speculation_barrier_s.o -MT _speculation_barrier_s.o -MD -MP -MF _speculation_barrier_s.dep -DSHARED -DL_speculation_barrier -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _arm_muldf3_s.o -MT _arm_muldf3_s.o -MD -MP -MF _arm_muldf3_s.dep -DSHARED -DL_arm_muldf3 -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S
../../../src/libgcc/config/arm/lib1funcs.S: Assembler messages:
../../../src/libgcc/config/arm/lib1funcs.S:211: conditional infixes are deprecated in unified syntax
../../../src/libgcc/config/arm/ieee754-df.S:727:  Info: macro invoked from here
../../../src/libgcc/config/arm/lib1funcs.S:211: conditional infixes are deprecated in unified syntax
../../../src/libgcc/config/arm/ieee754-df.S:742:  Info: macro invoked from here
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _arm_mulsf3_s.o -MT _arm_mulsf3_s.o -MD -MP -MF _arm_mulsf3_s.dep -DSHARED -DL_arm_mulsf3 -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _udivsi3_s.o -MT _udivsi3_s.o -MD -MP -MF _udivsi3_s.dep -DSHARED -DL_udivsi3 -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _divsi3_s.o -MT _divsi3_s.o -MD -MP -MF _divsi3_s.dep -DSHARED -DL_divsi3 -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _umodsi3_s.o -MT _umodsi3_s.o -MD -MP -MF _umodsi3_s.dep -DSHARED -DL_umodsi3 -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _modsi3_s.o -MT _modsi3_s.o -MD -MP -MF _modsi3_s.dep -DSHARED -DL_modsi3 -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _bb_init_func_s.o -MT _bb_init_func_s.o -MD -MP -MF _bb_init_func_s.dep -DSHARED -DL_bb_init_func -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _call_via_rX_s.o -MT _call_via_rX_s.o -MD -MP -MF _call_via_rX_s.dep -DSHARED -DL_call_via_rX -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _interwork_call_via_rX_s.o -MT _interwork_call_via_rX_s.o -MD -MP -MF _interwork_call_via_rX_s.dep -DSHARED -DL_interwork_call_via_rX -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S
../../../src/libgcc/config/arm/lib1funcs.S: Assembler messages:
../../../src/libgcc/config/arm/lib1funcs.S:2057: conditional infixes are deprecated in unified syntax
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _lshrdi3_s.o -MT _lshrdi3_s.o -MD -MP -MF _lshrdi3_s.dep -DSHARED -DL_lshrdi3 -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ashrdi3_s.o -MT _ashrdi3_s.o -MD -MP -MF _ashrdi3_s.dep -DSHARED -DL_ashrdi3 -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ashldi3_s.o -MT _ashldi3_s.o -MD -MP -MF _ashldi3_s.dep -DSHARED -DL_ashldi3 -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _arm_negdf2_s.o -MT _arm_negdf2_s.o -MD -MP -MF _arm_negdf2_s.dep -DSHARED -DL_arm_negdf2 -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _arm_addsubdf3_s.o -MT _arm_addsubdf3_s.o -MD -MP -MF _arm_addsubdf3_s.dep -DSHARED -DL_arm_addsubdf3 -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S
../../../src/libgcc/config/arm/lib1funcs.S: Assembler messages:
../../../src/libgcc/config/arm/lib1funcs.S:211: conditional infixes are deprecated in unified syntax
../../../src/libgcc/config/arm/ieee754-df.S:130:  Info: macro invoked from here
../../../src/libgcc/config/arm/lib1funcs.S:211: conditional infixes are deprecated in unified syntax
../../../src/libgcc/config/arm/ieee754-df.S:319:  Info: macro invoked from here
../../../src/libgcc/config/arm/lib1funcs.S:211: conditional infixes are deprecated in unified syntax
../../../src/libgcc/config/arm/ieee754-df.S:388:  Info: macro invoked from here
../../../src/libgcc/config/arm/lib1funcs.S:211: conditional infixes are deprecated in unified syntax
../../../src/libgcc/config/arm/ieee754-df.S:401:  Info: macro invoked from here
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _arm_muldivdf3_s.o -MT _arm_muldivdf3_s.o -MD -MP -MF _arm_muldivdf3_s.dep -DSHARED -DL_arm_muldivdf3 -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S
../../../src/libgcc/config/arm/lib1funcs.S: Assembler messages:
../../../src/libgcc/config/arm/lib1funcs.S:211: conditional infixes are deprecated in unified syntax
../../../src/libgcc/config/arm/ieee754-df.S:727:  Info: macro invoked from here
../../../src/libgcc/config/arm/lib1funcs.S:211: conditional infixes are deprecated in unified syntax
../../../src/libgcc/config/arm/ieee754-df.S:742:  Info: macro invoked from here
../../../src/libgcc/config/arm/lib1funcs.S:211: conditional infixes are deprecated in unified syntax
../../../src/libgcc/config/arm/ieee754-df.S:1030:  Info: macro invoked from here
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _arm_cmpdf2_s.o -MT _arm_cmpdf2_s.o -MD -MP -MF _arm_cmpdf2_s.dep -DSHARED -DL_arm_cmpdf2 -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _arm_unorddf2_s.o -MT _arm_unorddf2_s.o -MD -MP -MF _arm_unorddf2_s.dep -DSHARED -DL_arm_unorddf2 -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S
make[5]: Leaving directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/arm-linux-gnueabihf/libgcc'
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _arm_fixdfsi_s.o -MT _arm_fixdfsi_s.o -MD -MP -MF _arm_fixdfsi_s.dep -DSHARED -DL_arm_fixdfsi -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _arm_fixunsdfsi_s.o -MT _arm_fixunsdfsi_s.o -MD -MP -MF _arm_fixunsdfsi_s.dep -DSHARED -DL_arm_fixunsdfsi -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _arm_truncdfsf2_s.o -MT _arm_truncdfsf2_s.o -MD -MP -MF _arm_truncdfsf2_s.dep -DSHARED -DL_arm_truncdfsf2 -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _arm_negsf2_s.o -MT _arm_negsf2_s.o -MD -MP -MF _arm_negsf2_s.dep -DSHARED -DL_arm_negsf2 -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _arm_addsubsf3_s.o -MT _arm_addsubsf3_s.o -MD -MP -MF _arm_addsubsf3_s.dep -DSHARED -DL_arm_addsubsf3 -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _arm_muldivsf3_s.o -MT _arm_muldivsf3_s.o -MD -MP -MF _arm_muldivsf3_s.dep -DSHARED -DL_arm_muldivsf3 -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _arm_cmpsf2_s.o -MT _arm_cmpsf2_s.o -MD -MP -MF _arm_cmpsf2_s.dep -DSHARED -DL_arm_cmpsf2 -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _arm_unordsf2_s.o -MT _arm_unordsf2_s.o -MD -MP -MF _arm_unordsf2_s.dep -DSHARED -DL_arm_unordsf2 -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _arm_fixsfsi_s.o -MT _arm_fixsfsi_s.o -MD -MP -MF _arm_fixsfsi_s.dep -DSHARED -DL_arm_fixsfsi -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _arm_fixunssfsi_s.o -MT _arm_fixunssfsi_s.o -MD -MP -MF _arm_fixunssfsi_s.dep -DSHARED -DL_arm_fixunssfsi -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _arm_floatdidf_s.o -MT _arm_floatdidf_s.o -MD -MP -MF _arm_floatdidf_s.dep -DSHARED -DL_arm_floatdidf -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _arm_floatdisf_s.o -MT _arm_floatdisf_s.o -MD -MP -MF _arm_floatdisf_s.dep -DSHARED -DL_arm_floatdisf -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _arm_floatundidf_s.o -MT _arm_floatundidf_s.o -MD -MP -MF _arm_floatundidf_s.dep -DSHARED -DL_arm_floatundidf -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _arm_floatundisf_s.o -MT _arm_floatundisf_s.o -MD -MP -MF _arm_floatundisf_s.dep -DSHARED -DL_arm_floatundisf -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _clzsi2_s.o -MT _clzsi2_s.o -MD -MP -MF _clzsi2_s.dep -DSHARED -DL_clzsi2 -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _clzdi2_s.o -MT _clzdi2_s.o -MD -MP -MF _clzdi2_s.dep -DSHARED -DL_clzdi2 -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ctzsi2_s.o -MT _ctzsi2_s.o -MD -MP -MF _ctzsi2_s.dep -DSHARED -DL_ctzsi2 -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _aeabi_lcmp_s.o -MT _aeabi_lcmp_s.o -MD -MP -MF _aeabi_lcmp_s.dep -DSHARED -DL_aeabi_lcmp -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _aeabi_ulcmp_s.o -MT _aeabi_ulcmp_s.o -MD -MP -MF _aeabi_ulcmp_s.dep -DSHARED -DL_aeabi_ulcmp -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _aeabi_ldivmod_s.o -MT _aeabi_ldivmod_s.o -MD -MP -MF _aeabi_ldivmod_s.dep -DSHARED -DL_aeabi_ldivmod -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _aeabi_uldivmod_s.o -MT _aeabi_uldivmod_s.o -MD -MP -MF _aeabi_uldivmod_s.dep -DSHARED -DL_aeabi_uldivmod -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _dvmd_lnx_s.o -MT _dvmd_lnx_s.o -MD -MP -MF _dvmd_lnx_s.dep -DSHARED -DL_dvmd_lnx -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _clear_cache_s.o -MT _clear_cache_s.o -MD -MP -MF _clear_cache_s.dep -DSHARED -DL_clear_cache -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _muldi3.o -MT _muldi3.o -MD -MP -MF _muldi3.dep -DL_muldi3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _negdi2.o -MT _negdi2.o -MD -MP -MF _negdi2.dep -DL_negdi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _cmpdi2.o -MT _cmpdi2.o -MD -MP -MF _cmpdi2.dep -DL_cmpdi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ucmpdi2.o -MT _ucmpdi2.o -MD -MP -MF _ucmpdi2.dep -DL_ucmpdi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _trampoline.o -MT _trampoline.o -MD -MP -MF _trampoline.dep -DL_trampoline -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o __main.o -MT __main.o -MD -MP -MF __main.dep -DL__main -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _absvsi2.o -MT _absvsi2.o -MD -MP -MF _absvsi2.dep -DL_absvsi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _absvdi2.o -MT _absvdi2.o -MD -MP -MF _absvdi2.dep -DL_absvdi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _addvsi3.o -MT _addvsi3.o -MD -MP -MF _addvsi3.dep -DL_addvsi3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _addvdi3.o -MT _addvdi3.o -MD -MP -MF _addvdi3.dep -DL_addvdi3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _subvsi3.o -MT _subvsi3.o -MD -MP -MF _subvsi3.dep -DL_subvsi3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _subvdi3.o -MT _subvdi3.o -MD -MP -MF _subvdi3.dep -DL_subvdi3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _mulvsi3.o -MT _mulvsi3.o -MD -MP -MF _mulvsi3.dep -DL_mulvsi3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _mulvdi3.o -MT _mulvdi3.o -MD -MP -MF _mulvdi3.dep -DL_mulvdi3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _negvsi2.o -MT _negvsi2.o -MD -MP -MF _negvsi2.dep -DL_negvsi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _negvdi2.o -MT _negvdi2.o -MD -MP -MF _negvdi2.dep -DL_negvdi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ctors.o -MT _ctors.o -MD -MP -MF _ctors.dep -DL_ctors -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ffssi2.o -MT _ffssi2.o -MD -MP -MF _ffssi2.dep -DL_ffssi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ffsdi2.o -MT _ffsdi2.o -MD -MP -MF _ffsdi2.dep -DL_ffsdi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS
mv -f .deps/libcc1plugin.Tpo .deps/libcc1plugin.Plo
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _clz.o -MT _clz.o -MD -MP -MF _clz.dep -DL_clz -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS
/bin/bash ./libtool --tag=CXX   --mode=link arm-linux-gnueabihf-g++-12 -W -Wall  -fvisibility=hidden   -g -O2 -module -export-symbols ../../src/libcc1/libcc1plugin.sym  -Xcompiler '-static-libstdc++' -Xcompiler '-static-libgcc' -o libcc1plugin.la -rpath /usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin libcc1plugin.lo context.lo callbacks.lo connection.lo marshall.lo   -Wc,../libiberty/pic/libiberty.a 
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ctzdi2.o -MT _ctzdi2.o -MD -MP -MF _ctzdi2.dep -DL_ctzdi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS
libtool: link: arm-linux-gnueabihf-g++-12  -fPIC -DPIC -shared -nostdlib /usr/lib/gcc/arm-linux-gnueabihf/12/../../../arm-linux-gnueabihf/crti.o /usr/lib/gcc/arm-linux-gnueabihf/12/crtbeginS.o  .libs/libcc1plugin.o .libs/context.o .libs/callbacks.o .libs/connection.o .libs/marshall.o   -L/usr/lib/gcc/arm-linux-gnueabihf/12 -L/usr/lib/gcc/arm-linux-gnueabihf/12/../../../arm-linux-gnueabihf -L/usr/lib/gcc/arm-linux-gnueabihf/12/../../.. -L/lib/arm-linux-gnueabihf -L/usr/lib/arm-linux-gnueabihf -lstdc++ -lm -lc -lgcc_s /usr/lib/gcc/arm-linux-gnueabihf/12/crtendS.o /usr/lib/gcc/arm-linux-gnueabihf/12/../../../arm-linux-gnueabihf/crtn.o  -static-libstdc++ -static-libgcc ../libiberty/pic/libiberty.a   -Wl,-soname -Wl,libcc1plugin.so.0 -Wl,-retain-symbols-file -Wl,../../src/libcc1/libcc1plugin.sym -o .libs/libcc1plugin.so.0.0.0
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _popcount_tab.o -MT _popcount_tab.o -MD -MP -MF _popcount_tab.dep -DL_popcount_tab -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _popcountsi2.o -MT _popcountsi2.o -MD -MP -MF _popcountsi2.dep -DL_popcountsi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _popcountdi2.o -MT _popcountdi2.o -MD -MP -MF _popcountdi2.dep -DL_popcountdi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS
libtool: link: (cd ".libs" && rm -f "libcc1plugin.so.0" && ln -s "libcc1plugin.so.0.0.0" "libcc1plugin.so.0")
libtool: link: (cd ".libs" && rm -f "libcc1plugin.so" && ln -s "libcc1plugin.so.0.0.0" "libcc1plugin.so")
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _paritysi2.o -MT _paritysi2.o -MD -MP -MF _paritysi2.dep -DL_paritysi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS
libtool: link: ( cd ".libs" && rm -f "libcc1plugin.la" && ln -s "../libcc1plugin.la" "libcc1plugin.la" )
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _paritydi2.o -MT _paritydi2.o -MD -MP -MF _paritydi2.dep -DL_paritydi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _powisf2.o -MT _powisf2.o -MD -MP -MF _powisf2.dep -DL_powisf2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _powidf2.o -MT _powidf2.o -MD -MP -MF _powidf2.dep -DL_powidf2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _powixf2.o -MT _powixf2.o -MD -MP -MF _powixf2.dep -DL_powixf2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _powitf2.o -MT _powitf2.o -MD -MP -MF _powitf2.dep -DL_powitf2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _mulhc3.o -MT _mulhc3.o -MD -MP -MF _mulhc3.dep -DL_mulhc3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _mulsc3.o -MT _mulsc3.o -MD -MP -MF _mulsc3.dep -DL_mulsc3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _muldc3.o -MT _muldc3.o -MD -MP -MF _muldc3.dep -DL_muldc3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _mulxc3.o -MT _mulxc3.o -MD -MP -MF _mulxc3.dep -DL_mulxc3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _multc3.o -MT _multc3.o -MD -MP -MF _multc3.dep -DL_multc3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _divhc3.o -MT _divhc3.o -MD -MP -MF _divhc3.dep -DL_divhc3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _divsc3.o -MT _divsc3.o -MD -MP -MF _divsc3.dep -DL_divsc3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _divdc3.o -MT _divdc3.o -MD -MP -MF _divdc3.dep -DL_divdc3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _divxc3.o -MT _divxc3.o -MD -MP -MF _divxc3.dep -DL_divxc3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _divtc3.o -MT _divtc3.o -MD -MP -MF _divtc3.dep -DL_divtc3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _bswapsi2.o -MT _bswapsi2.o -MD -MP -MF _bswapsi2.dep -DL_bswapsi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _bswapdi2.o -MT _bswapdi2.o -MD -MP -MF _bswapdi2.dep -DL_bswapdi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _clrsbsi2.o -MT _clrsbsi2.o -MD -MP -MF _clrsbsi2.dep -DL_clrsbsi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _clrsbdi2.o -MT _clrsbdi2.o -MD -MP -MF _clrsbdi2.dep -DL_clrsbdi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fixunssfsi.o -MT _fixunssfsi.o -MD -MP -MF _fixunssfsi.dep -DL_fixunssfsi -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fixunsdfsi.o -MT _fixunsdfsi.o -MD -MP -MF _fixunsdfsi.dep -DL_fixunsdfsi -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fixunsxfsi.o -MT _fixunsxfsi.o -MD -MP -MF _fixunsxfsi.dep -DL_fixunsxfsi -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fixsfdi.o -MT _fixsfdi.o -MD -MP -MF _fixsfdi.dep -DL_fixsfdi -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fixdfdi.o -MT _fixdfdi.o -MD -MP -MF _fixdfdi.dep -DL_fixdfdi -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fixxfdi.o -MT _fixxfdi.o -MD -MP -MF _fixxfdi.dep -DL_fixxfdi -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fixtfdi.o -MT _fixtfdi.o -MD -MP -MF _fixtfdi.dep -DL_fixtfdi -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fixunssfdi.o -MT _fixunssfdi.o -MD -MP -MF _fixunssfdi.dep -DL_fixunssfdi -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fixunsdfdi.o -MT _fixunsdfdi.o -MD -MP -MF _fixunsdfdi.dep -DL_fixunsdfdi -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fixunsxfdi.o -MT _fixunsxfdi.o -MD -MP -MF _fixunsxfdi.dep -DL_fixunsxfdi -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fixunstfdi.o -MT _fixunstfdi.o -MD -MP -MF _fixunstfdi.dep -DL_fixunstfdi -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _floatdisf.o -MT _floatdisf.o -MD -MP -MF _floatdisf.dep -DL_floatdisf -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _floatdidf.o -MT _floatdidf.o -MD -MP -MF _floatdidf.dep -DL_floatdidf -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _floatdixf.o -MT _floatdixf.o -MD -MP -MF _floatdixf.dep -DL_floatdixf -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _floatditf.o -MT _floatditf.o -MD -MP -MF _floatditf.dep -DL_floatditf -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _floatundisf.o -MT _floatundisf.o -MD -MP -MF _floatundisf.dep -DL_floatundisf -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _floatundidf.o -MT _floatundidf.o -MD -MP -MF _floatundidf.dep -DL_floatundidf -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _floatundixf.o -MT _floatundixf.o -MD -MP -MF _floatundixf.dep -DL_floatundixf -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _floatunditf.o -MT _floatunditf.o -MD -MP -MF _floatunditf.dep -DL_floatunditf -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _eprintf.o -MT _eprintf.o -MD -MP -MF _eprintf.dep -DL_eprintf -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o __gcc_bcmp.o -MT __gcc_bcmp.o -MD -MP -MF __gcc_bcmp.dep -DL__gcc_bcmp -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _divdi3.o -MT _divdi3.o -MD -MP -MF _divdi3.dep -DL_divdi3 -c ../../../src/libgcc/libgcc2.c \
  -fexceptions -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _moddi3.o -MT _moddi3.o -MD -MP -MF _moddi3.dep -DL_moddi3 -c ../../../src/libgcc/libgcc2.c \
  -fexceptions -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _divmoddi4.o -MT _divmoddi4.o -MD -MP -MF _divmoddi4.dep -DL_divmoddi4 -c ../../../src/libgcc/libgcc2.c \
  -fexceptions -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _udivdi3.o -MT _udivdi3.o -MD -MP -MF _udivdi3.dep -DL_udivdi3 -c ../../../src/libgcc/libgcc2.c \
  -fexceptions -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _umoddi3.o -MT _umoddi3.o -MD -MP -MF _umoddi3.dep -DL_umoddi3 -c ../../../src/libgcc/libgcc2.c \
  -fexceptions -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _udivmoddi4.o -MT _udivmoddi4.o -MD -MP -MF _udivmoddi4.dep -DL_udivmoddi4 -c ../../../src/libgcc/libgcc2.c \
  -fexceptions -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _udiv_w_sdiv.o -MT _udiv_w_sdiv.o -MD -MP -MF _udiv_w_sdiv.dep -DL_udiv_w_sdiv -c ../../../src/libgcc/libgcc2.c \
  -fexceptions -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _addQQ.o -MT _addQQ.o -MD -MP -MF _addQQ.dep -DL_add -DQQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _addHQ.o -MT _addHQ.o -MD -MP -MF _addHQ.dep -DL_add -DHQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _addSQ.o -MT _addSQ.o -MD -MP -MF _addSQ.dep -DL_add -DSQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _addDQ.o -MT _addDQ.o -MD -MP -MF _addDQ.dep -DL_add -DDQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _addTQ.o -MT _addTQ.o -MD -MP -MF _addTQ.dep -DL_add -DTQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _addHA.o -MT _addHA.o -MD -MP -MF _addHA.dep -DL_add -DHA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _addSA.o -MT _addSA.o -MD -MP -MF _addSA.dep -DL_add -DSA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _addDA.o -MT _addDA.o -MD -MP -MF _addDA.dep -DL_add -DDA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _addTA.o -MT _addTA.o -MD -MP -MF _addTA.dep -DL_add -DTA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _addUQQ.o -MT _addUQQ.o -MD -MP -MF _addUQQ.dep -DL_add -DUQQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _addUHQ.o -MT _addUHQ.o -MD -MP -MF _addUHQ.dep -DL_add -DUHQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _addUSQ.o -MT _addUSQ.o -MD -MP -MF _addUSQ.dep -DL_add -DUSQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _addUDQ.o -MT _addUDQ.o -MD -MP -MF _addUDQ.dep -DL_add -DUDQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _addUTQ.o -MT _addUTQ.o -MD -MP -MF _addUTQ.dep -DL_add -DUTQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _addUHA.o -MT _addUHA.o -MD -MP -MF _addUHA.dep -DL_add -DUHA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _addUSA.o -MT _addUSA.o -MD -MP -MF _addUSA.dep -DL_add -DUSA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _addUDA.o -MT _addUDA.o -MD -MP -MF _addUDA.dep -DL_add -DUDA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _addUTA.o -MT _addUTA.o -MD -MP -MF _addUTA.dep -DL_add -DUTA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _subQQ.o -MT _subQQ.o -MD -MP -MF _subQQ.dep -DL_sub -DQQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _subHQ.o -MT _subHQ.o -MD -MP -MF _subHQ.dep -DL_sub -DHQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _subSQ.o -MT _subSQ.o -MD -MP -MF _subSQ.dep -DL_sub -DSQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _subDQ.o -MT _subDQ.o -MD -MP -MF _subDQ.dep -DL_sub -DDQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _subTQ.o -MT _subTQ.o -MD -MP -MF _subTQ.dep -DL_sub -DTQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _subHA.o -MT _subHA.o -MD -MP -MF _subHA.dep -DL_sub -DHA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _subSA.o -MT _subSA.o -MD -MP -MF _subSA.dep -DL_sub -DSA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _subDA.o -MT _subDA.o -MD -MP -MF _subDA.dep -DL_sub -DDA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _subTA.o -MT _subTA.o -MD -MP -MF _subTA.dep -DL_sub -DTA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _subUQQ.o -MT _subUQQ.o -MD -MP -MF _subUQQ.dep -DL_sub -DUQQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _subUHQ.o -MT _subUHQ.o -MD -MP -MF _subUHQ.dep -DL_sub -DUHQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _subUSQ.o -MT _subUSQ.o -MD -MP -MF _subUSQ.dep -DL_sub -DUSQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _subUDQ.o -MT _subUDQ.o -MD -MP -MF _subUDQ.dep -DL_sub -DUDQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _subUTQ.o -MT _subUTQ.o -MD -MP -MF _subUTQ.dep -DL_sub -DUTQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _subUHA.o -MT _subUHA.o -MD -MP -MF _subUHA.dep -DL_sub -DUHA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _subUSA.o -MT _subUSA.o -MD -MP -MF _subUSA.dep -DL_sub -DUSA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _subUDA.o -MT _subUDA.o -MD -MP -MF _subUDA.dep -DL_sub -DUDA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _subUTA.o -MT _subUTA.o -MD -MP -MF _subUTA.dep -DL_sub -DUTA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _negQQ.o -MT _negQQ.o -MD -MP -MF _negQQ.dep -DL_neg -DQQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _negHQ.o -MT _negHQ.o -MD -MP -MF _negHQ.dep -DL_neg -DHQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _negSQ.o -MT _negSQ.o -MD -MP -MF _negSQ.dep -DL_neg -DSQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _negDQ.o -MT _negDQ.o -MD -MP -MF _negDQ.dep -DL_neg -DDQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _negTQ.o -MT _negTQ.o -MD -MP -MF _negTQ.dep -DL_neg -DTQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _negHA.o -MT _negHA.o -MD -MP -MF _negHA.dep -DL_neg -DHA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _negSA.o -MT _negSA.o -MD -MP -MF _negSA.dep -DL_neg -DSA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _negDA.o -MT _negDA.o -MD -MP -MF _negDA.dep -DL_neg -DDA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _negTA.o -MT _negTA.o -MD -MP -MF _negTA.dep -DL_neg -DTA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _negUQQ.o -MT _negUQQ.o -MD -MP -MF _negUQQ.dep -DL_neg -DUQQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _negUHQ.o -MT _negUHQ.o -MD -MP -MF _negUHQ.dep -DL_neg -DUHQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _negUSQ.o -MT _negUSQ.o -MD -MP -MF _negUSQ.dep -DL_neg -DUSQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _negUDQ.o -MT _negUDQ.o -MD -MP -MF _negUDQ.dep -DL_neg -DUDQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _negUTQ.o -MT _negUTQ.o -MD -MP -MF _negUTQ.dep -DL_neg -DUTQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _negUHA.o -MT _negUHA.o -MD -MP -MF _negUHA.dep -DL_neg -DUHA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _negUSA.o -MT _negUSA.o -MD -MP -MF _negUSA.dep -DL_neg -DUSA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _negUDA.o -MT _negUDA.o -MD -MP -MF _negUDA.dep -DL_neg -DUDA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _negUTA.o -MT _negUTA.o -MD -MP -MF _negUTA.dep -DL_neg -DUTA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _mulQQ.o -MT _mulQQ.o -MD -MP -MF _mulQQ.dep -DL_mul -DQQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _mulHQ.o -MT _mulHQ.o -MD -MP -MF _mulHQ.dep -DL_mul -DHQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _mulSQ.o -MT _mulSQ.o -MD -MP -MF _mulSQ.dep -DL_mul -DSQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _mulDQ.o -MT _mulDQ.o -MD -MP -MF _mulDQ.dep -DL_mul -DDQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _mulTQ.o -MT _mulTQ.o -MD -MP -MF _mulTQ.dep -DL_mul -DTQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _mulHA.o -MT _mulHA.o -MD -MP -MF _mulHA.dep -DL_mul -DHA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _mulSA.o -MT _mulSA.o -MD -MP -MF _mulSA.dep -DL_mul -DSA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _mulDA.o -MT _mulDA.o -MD -MP -MF _mulDA.dep -DL_mul -DDA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _mulTA.o -MT _mulTA.o -MD -MP -MF _mulTA.dep -DL_mul -DTA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _mulUQQ.o -MT _mulUQQ.o -MD -MP -MF _mulUQQ.dep -DL_mul -DUQQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _mulUHQ.o -MT _mulUHQ.o -MD -MP -MF _mulUHQ.dep -DL_mul -DUHQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _mulUSQ.o -MT _mulUSQ.o -MD -MP -MF _mulUSQ.dep -DL_mul -DUSQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _mulUDQ.o -MT _mulUDQ.o -MD -MP -MF _mulUDQ.dep -DL_mul -DUDQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _mulUTQ.o -MT _mulUTQ.o -MD -MP -MF _mulUTQ.dep -DL_mul -DUTQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _mulUHA.o -MT _mulUHA.o -MD -MP -MF _mulUHA.dep -DL_mul -DUHA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _mulUSA.o -MT _mulUSA.o -MD -MP -MF _mulUSA.dep -DL_mul -DUSA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _mulUDA.o -MT _mulUDA.o -MD -MP -MF _mulUDA.dep -DL_mul -DUDA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _mulUTA.o -MT _mulUTA.o -MD -MP -MF _mulUTA.dep -DL_mul -DUTA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _mulhelperQQ.o -MT _mulhelperQQ.o -MD -MP -MF _mulhelperQQ.dep -DL_mulhelper -DQQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _mulhelperHQ.o -MT _mulhelperHQ.o -MD -MP -MF _mulhelperHQ.dep -DL_mulhelper -DHQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _mulhelperSQ.o -MT _mulhelperSQ.o -MD -MP -MF _mulhelperSQ.dep -DL_mulhelper -DSQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _mulhelperDQ.o -MT _mulhelperDQ.o -MD -MP -MF _mulhelperDQ.dep -DL_mulhelper -DDQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _mulhelperTQ.o -MT _mulhelperTQ.o -MD -MP -MF _mulhelperTQ.dep -DL_mulhelper -DTQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _mulhelperHA.o -MT _mulhelperHA.o -MD -MP -MF _mulhelperHA.dep -DL_mulhelper -DHA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _mulhelperSA.o -MT _mulhelperSA.o -MD -MP -MF _mulhelperSA.dep -DL_mulhelper -DSA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _mulhelperDA.o -MT _mulhelperDA.o -MD -MP -MF _mulhelperDA.dep -DL_mulhelper -DDA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _mulhelperTA.o -MT _mulhelperTA.o -MD -MP -MF _mulhelperTA.dep -DL_mulhelper -DTA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _mulhelperUQQ.o -MT _mulhelperUQQ.o -MD -MP -MF _mulhelperUQQ.dep -DL_mulhelper -DUQQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _mulhelperUHQ.o -MT _mulhelperUHQ.o -MD -MP -MF _mulhelperUHQ.dep -DL_mulhelper -DUHQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _mulhelperUSQ.o -MT _mulhelperUSQ.o -MD -MP -MF _mulhelperUSQ.dep -DL_mulhelper -DUSQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _mulhelperUDQ.o -MT _mulhelperUDQ.o -MD -MP -MF _mulhelperUDQ.dep -DL_mulhelper -DUDQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _mulhelperUTQ.o -MT _mulhelperUTQ.o -MD -MP -MF _mulhelperUTQ.dep -DL_mulhelper -DUTQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _mulhelperUHA.o -MT _mulhelperUHA.o -MD -MP -MF _mulhelperUHA.dep -DL_mulhelper -DUHA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _mulhelperUSA.o -MT _mulhelperUSA.o -MD -MP -MF _mulhelperUSA.dep -DL_mulhelper -DUSA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _mulhelperUDA.o -MT _mulhelperUDA.o -MD -MP -MF _mulhelperUDA.dep -DL_mulhelper -DUDA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _mulhelperUTA.o -MT _mulhelperUTA.o -MD -MP -MF _mulhelperUTA.dep -DL_mulhelper -DUTA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _divhelperQQ.o -MT _divhelperQQ.o -MD -MP -MF _divhelperQQ.dep -DL_divhelper -DQQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _divhelperHQ.o -MT _divhelperHQ.o -MD -MP -MF _divhelperHQ.dep -DL_divhelper -DHQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _divhelperSQ.o -MT _divhelperSQ.o -MD -MP -MF _divhelperSQ.dep -DL_divhelper -DSQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _divhelperDQ.o -MT _divhelperDQ.o -MD -MP -MF _divhelperDQ.dep -DL_divhelper -DDQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _divhelperTQ.o -MT _divhelperTQ.o -MD -MP -MF _divhelperTQ.dep -DL_divhelper -DTQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _divhelperHA.o -MT _divhelperHA.o -MD -MP -MF _divhelperHA.dep -DL_divhelper -DHA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _divhelperSA.o -MT _divhelperSA.o -MD -MP -MF _divhelperSA.dep -DL_divhelper -DSA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _divhelperDA.o -MT _divhelperDA.o -MD -MP -MF _divhelperDA.dep -DL_divhelper -DDA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _divhelperTA.o -MT _divhelperTA.o -MD -MP -MF _divhelperTA.dep -DL_divhelper -DTA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _divhelperUQQ.o -MT _divhelperUQQ.o -MD -MP -MF _divhelperUQQ.dep -DL_divhelper -DUQQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
mv -f .deps/libcp1plugin.Tpo .deps/libcp1plugin.Plo
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _divhelperUHQ.o -MT _divhelperUHQ.o -MD -MP -MF _divhelperUHQ.dep -DL_divhelper -DUHQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/bin/bash ./libtool --tag=CXX   --mode=link arm-linux-gnueabihf-g++-12 -W -Wall  -fvisibility=hidden   -g -O2 -module -export-symbols ../../src/libcc1/libcp1plugin.sym  -Xcompiler '-static-libstdc++' -Xcompiler '-static-libgcc' -o libcp1plugin.la -rpath /usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin libcp1plugin.lo context.lo callbacks.lo connection.lo marshall.lo   -Wc,../libiberty/pic/libiberty.a 
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _divhelperUSQ.o -MT _divhelperUSQ.o -MD -MP -MF _divhelperUSQ.dep -DL_divhelper -DUSQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
libtool: link: arm-linux-gnueabihf-g++-12  -fPIC -DPIC -shared -nostdlib /usr/lib/gcc/arm-linux-gnueabihf/12/../../../arm-linux-gnueabihf/crti.o /usr/lib/gcc/arm-linux-gnueabihf/12/crtbeginS.o  .libs/libcp1plugin.o .libs/context.o .libs/callbacks.o .libs/connection.o .libs/marshall.o   -L/usr/lib/gcc/arm-linux-gnueabihf/12 -L/usr/lib/gcc/arm-linux-gnueabihf/12/../../../arm-linux-gnueabihf -L/usr/lib/gcc/arm-linux-gnueabihf/12/../../.. -L/lib/arm-linux-gnueabihf -L/usr/lib/arm-linux-gnueabihf -lstdc++ -lm -lc -lgcc_s /usr/lib/gcc/arm-linux-gnueabihf/12/crtendS.o /usr/lib/gcc/arm-linux-gnueabihf/12/../../../arm-linux-gnueabihf/crtn.o  -static-libstdc++ -static-libgcc ../libiberty/pic/libiberty.a   -Wl,-soname -Wl,libcp1plugin.so.0 -Wl,-retain-symbols-file -Wl,../../src/libcc1/libcp1plugin.sym -o .libs/libcp1plugin.so.0.0.0
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _divhelperUDQ.o -MT _divhelperUDQ.o -MD -MP -MF _divhelperUDQ.dep -DL_divhelper -DUDQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _divhelperUTQ.o -MT _divhelperUTQ.o -MD -MP -MF _divhelperUTQ.dep -DL_divhelper -DUTQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _divhelperUHA.o -MT _divhelperUHA.o -MD -MP -MF _divhelperUHA.dep -DL_divhelper -DUHA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _divhelperUSA.o -MT _divhelperUSA.o -MD -MP -MF _divhelperUSA.dep -DL_divhelper -DUSA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _divhelperUDA.o -MT _divhelperUDA.o -MD -MP -MF _divhelperUDA.dep -DL_divhelper -DUDA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _divhelperUTA.o -MT _divhelperUTA.o -MD -MP -MF _divhelperUTA.dep -DL_divhelper -DUTA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
libtool: link: (cd ".libs" && rm -f "libcp1plugin.so.0" && ln -s "libcp1plugin.so.0.0.0" "libcp1plugin.so.0")
libtool: link: (cd ".libs" && rm -f "libcp1plugin.so" && ln -s "libcp1plugin.so.0.0.0" "libcp1plugin.so")
libtool: link: ( cd ".libs" && rm -f "libcp1plugin.la" && ln -s "../libcp1plugin.la" "libcp1plugin.la" )
make[5]: Leaving directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/libcc1'
make[4]: Leaving directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/libcc1'
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ashlQQ.o -MT _ashlQQ.o -MD -MP -MF _ashlQQ.dep -DL_ashl -DQQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ashlHQ.o -MT _ashlHQ.o -MD -MP -MF _ashlHQ.dep -DL_ashl -DHQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ashlSQ.o -MT _ashlSQ.o -MD -MP -MF _ashlSQ.dep -DL_ashl -DSQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ashlDQ.o -MT _ashlDQ.o -MD -MP -MF _ashlDQ.dep -DL_ashl -DDQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ashlTQ.o -MT _ashlTQ.o -MD -MP -MF _ashlTQ.dep -DL_ashl -DTQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ashlHA.o -MT _ashlHA.o -MD -MP -MF _ashlHA.dep -DL_ashl -DHA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ashlSA.o -MT _ashlSA.o -MD -MP -MF _ashlSA.dep -DL_ashl -DSA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ashlDA.o -MT _ashlDA.o -MD -MP -MF _ashlDA.dep -DL_ashl -DDA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ashlTA.o -MT _ashlTA.o -MD -MP -MF _ashlTA.dep -DL_ashl -DTA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ashlUQQ.o -MT _ashlUQQ.o -MD -MP -MF _ashlUQQ.dep -DL_ashl -DUQQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ashlUHQ.o -MT _ashlUHQ.o -MD -MP -MF _ashlUHQ.dep -DL_ashl -DUHQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ashlUSQ.o -MT _ashlUSQ.o -MD -MP -MF _ashlUSQ.dep -DL_ashl -DUSQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ashlUDQ.o -MT _ashlUDQ.o -MD -MP -MF _ashlUDQ.dep -DL_ashl -DUDQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ashlUTQ.o -MT _ashlUTQ.o -MD -MP -MF _ashlUTQ.dep -DL_ashl -DUTQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ashlUHA.o -MT _ashlUHA.o -MD -MP -MF _ashlUHA.dep -DL_ashl -DUHA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ashlUSA.o -MT _ashlUSA.o -MD -MP -MF _ashlUSA.dep -DL_ashl -DUSA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ashlUDA.o -MT _ashlUDA.o -MD -MP -MF _ashlUDA.dep -DL_ashl -DUDA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ashlUTA.o -MT _ashlUTA.o -MD -MP -MF _ashlUTA.dep -DL_ashl -DUTA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ashlhelperQQ.o -MT _ashlhelperQQ.o -MD -MP -MF _ashlhelperQQ.dep -DL_ashlhelper -DQQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ashlhelperHQ.o -MT _ashlhelperHQ.o -MD -MP -MF _ashlhelperHQ.dep -DL_ashlhelper -DHQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ashlhelperSQ.o -MT _ashlhelperSQ.o -MD -MP -MF _ashlhelperSQ.dep -DL_ashlhelper -DSQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ashlhelperDQ.o -MT _ashlhelperDQ.o -MD -MP -MF _ashlhelperDQ.dep -DL_ashlhelper -DDQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ashlhelperTQ.o -MT _ashlhelperTQ.o -MD -MP -MF _ashlhelperTQ.dep -DL_ashlhelper -DTQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ashlhelperHA.o -MT _ashlhelperHA.o -MD -MP -MF _ashlhelperHA.dep -DL_ashlhelper -DHA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ashlhelperSA.o -MT _ashlhelperSA.o -MD -MP -MF _ashlhelperSA.dep -DL_ashlhelper -DSA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ashlhelperDA.o -MT _ashlhelperDA.o -MD -MP -MF _ashlhelperDA.dep -DL_ashlhelper -DDA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ashlhelperTA.o -MT _ashlhelperTA.o -MD -MP -MF _ashlhelperTA.dep -DL_ashlhelper -DTA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ashlhelperUQQ.o -MT _ashlhelperUQQ.o -MD -MP -MF _ashlhelperUQQ.dep -DL_ashlhelper -DUQQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ashlhelperUHQ.o -MT _ashlhelperUHQ.o -MD -MP -MF _ashlhelperUHQ.dep -DL_ashlhelper -DUHQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ashlhelperUSQ.o -MT _ashlhelperUSQ.o -MD -MP -MF _ashlhelperUSQ.dep -DL_ashlhelper -DUSQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ashlhelperUDQ.o -MT _ashlhelperUDQ.o -MD -MP -MF _ashlhelperUDQ.dep -DL_ashlhelper -DUDQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ashlhelperUTQ.o -MT _ashlhelperUTQ.o -MD -MP -MF _ashlhelperUTQ.dep -DL_ashlhelper -DUTQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ashlhelperUHA.o -MT _ashlhelperUHA.o -MD -MP -MF _ashlhelperUHA.dep -DL_ashlhelper -DUHA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ashlhelperUSA.o -MT _ashlhelperUSA.o -MD -MP -MF _ashlhelperUSA.dep -DL_ashlhelper -DUSA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ashlhelperUDA.o -MT _ashlhelperUDA.o -MD -MP -MF _ashlhelperUDA.dep -DL_ashlhelper -DUDA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ashlhelperUTA.o -MT _ashlhelperUTA.o -MD -MP -MF _ashlhelperUTA.dep -DL_ashlhelper -DUTA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _cmpQQ.o -MT _cmpQQ.o -MD -MP -MF _cmpQQ.dep -DL_cmp -DQQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _cmpHQ.o -MT _cmpHQ.o -MD -MP -MF _cmpHQ.dep -DL_cmp -DHQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _cmpSQ.o -MT _cmpSQ.o -MD -MP -MF _cmpSQ.dep -DL_cmp -DSQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _cmpDQ.o -MT _cmpDQ.o -MD -MP -MF _cmpDQ.dep -DL_cmp -DDQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _cmpTQ.o -MT _cmpTQ.o -MD -MP -MF _cmpTQ.dep -DL_cmp -DTQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _cmpHA.o -MT _cmpHA.o -MD -MP -MF _cmpHA.dep -DL_cmp -DHA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _cmpSA.o -MT _cmpSA.o -MD -MP -MF _cmpSA.dep -DL_cmp -DSA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _cmpDA.o -MT _cmpDA.o -MD -MP -MF _cmpDA.dep -DL_cmp -DDA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _cmpTA.o -MT _cmpTA.o -MD -MP -MF _cmpTA.dep -DL_cmp -DTA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _cmpUQQ.o -MT _cmpUQQ.o -MD -MP -MF _cmpUQQ.dep -DL_cmp -DUQQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _cmpUHQ.o -MT _cmpUHQ.o -MD -MP -MF _cmpUHQ.dep -DL_cmp -DUHQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _cmpUSQ.o -MT _cmpUSQ.o -MD -MP -MF _cmpUSQ.dep -DL_cmp -DUSQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _cmpUDQ.o -MT _cmpUDQ.o -MD -MP -MF _cmpUDQ.dep -DL_cmp -DUDQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _cmpUTQ.o -MT _cmpUTQ.o -MD -MP -MF _cmpUTQ.dep -DL_cmp -DUTQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _cmpUHA.o -MT _cmpUHA.o -MD -MP -MF _cmpUHA.dep -DL_cmp -DUHA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _cmpUSA.o -MT _cmpUSA.o -MD -MP -MF _cmpUSA.dep -DL_cmp -DUSA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _cmpUDA.o -MT _cmpUDA.o -MD -MP -MF _cmpUDA.dep -DL_cmp -DUDA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _cmpUTA.o -MT _cmpUTA.o -MD -MP -MF _cmpUTA.dep -DL_cmp -DUTA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _saturate1QQ.o -MT _saturate1QQ.o -MD -MP -MF _saturate1QQ.dep -DL_saturate1 -DQQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _saturate1HQ.o -MT _saturate1HQ.o -MD -MP -MF _saturate1HQ.dep -DL_saturate1 -DHQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _saturate1SQ.o -MT _saturate1SQ.o -MD -MP -MF _saturate1SQ.dep -DL_saturate1 -DSQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _saturate1DQ.o -MT _saturate1DQ.o -MD -MP -MF _saturate1DQ.dep -DL_saturate1 -DDQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _saturate1TQ.o -MT _saturate1TQ.o -MD -MP -MF _saturate1TQ.dep -DL_saturate1 -DTQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _saturate1HA.o -MT _saturate1HA.o -MD -MP -MF _saturate1HA.dep -DL_saturate1 -DHA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _saturate1SA.o -MT _saturate1SA.o -MD -MP -MF _saturate1SA.dep -DL_saturate1 -DSA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _saturate1DA.o -MT _saturate1DA.o -MD -MP -MF _saturate1DA.dep -DL_saturate1 -DDA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _saturate1TA.o -MT _saturate1TA.o -MD -MP -MF _saturate1TA.dep -DL_saturate1 -DTA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _saturate1UQQ.o -MT _saturate1UQQ.o -MD -MP -MF _saturate1UQQ.dep -DL_saturate1 -DUQQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _saturate1UHQ.o -MT _saturate1UHQ.o -MD -MP -MF _saturate1UHQ.dep -DL_saturate1 -DUHQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _saturate1USQ.o -MT _saturate1USQ.o -MD -MP -MF _saturate1USQ.dep -DL_saturate1 -DUSQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _saturate1UDQ.o -MT _saturate1UDQ.o -MD -MP -MF _saturate1UDQ.dep -DL_saturate1 -DUDQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _saturate1UTQ.o -MT _saturate1UTQ.o -MD -MP -MF _saturate1UTQ.dep -DL_saturate1 -DUTQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _saturate1UHA.o -MT _saturate1UHA.o -MD -MP -MF _saturate1UHA.dep -DL_saturate1 -DUHA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _saturate1USA.o -MT _saturate1USA.o -MD -MP -MF _saturate1USA.dep -DL_saturate1 -DUSA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _saturate1UDA.o -MT _saturate1UDA.o -MD -MP -MF _saturate1UDA.dep -DL_saturate1 -DUDA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _saturate1UTA.o -MT _saturate1UTA.o -MD -MP -MF _saturate1UTA.dep -DL_saturate1 -DUTA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _saturate2QQ.o -MT _saturate2QQ.o -MD -MP -MF _saturate2QQ.dep -DL_saturate2 -DQQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _saturate2HQ.o -MT _saturate2HQ.o -MD -MP -MF _saturate2HQ.dep -DL_saturate2 -DHQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _saturate2SQ.o -MT _saturate2SQ.o -MD -MP -MF _saturate2SQ.dep -DL_saturate2 -DSQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _saturate2DQ.o -MT _saturate2DQ.o -MD -MP -MF _saturate2DQ.dep -DL_saturate2 -DDQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _saturate2TQ.o -MT _saturate2TQ.o -MD -MP -MF _saturate2TQ.dep -DL_saturate2 -DTQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _saturate2HA.o -MT _saturate2HA.o -MD -MP -MF _saturate2HA.dep -DL_saturate2 -DHA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _saturate2SA.o -MT _saturate2SA.o -MD -MP -MF _saturate2SA.dep -DL_saturate2 -DSA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _saturate2DA.o -MT _saturate2DA.o -MD -MP -MF _saturate2DA.dep -DL_saturate2 -DDA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _saturate2TA.o -MT _saturate2TA.o -MD -MP -MF _saturate2TA.dep -DL_saturate2 -DTA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _saturate2UQQ.o -MT _saturate2UQQ.o -MD -MP -MF _saturate2UQQ.dep -DL_saturate2 -DUQQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _saturate2UHQ.o -MT _saturate2UHQ.o -MD -MP -MF _saturate2UHQ.dep -DL_saturate2 -DUHQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _saturate2USQ.o -MT _saturate2USQ.o -MD -MP -MF _saturate2USQ.dep -DL_saturate2 -DUSQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _saturate2UDQ.o -MT _saturate2UDQ.o -MD -MP -MF _saturate2UDQ.dep -DL_saturate2 -DUDQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _saturate2UTQ.o -MT _saturate2UTQ.o -MD -MP -MF _saturate2UTQ.dep -DL_saturate2 -DUTQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _saturate2UHA.o -MT _saturate2UHA.o -MD -MP -MF _saturate2UHA.dep -DL_saturate2 -DUHA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _saturate2USA.o -MT _saturate2USA.o -MD -MP -MF _saturate2USA.dep -DL_saturate2 -DUSA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _saturate2UDA.o -MT _saturate2UDA.o -MD -MP -MF _saturate2UDA.dep -DL_saturate2 -DUDA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _saturate2UTA.o -MT _saturate2UTA.o -MD -MP -MF _saturate2UTA.dep -DL_saturate2 -DUTA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ssaddQQ.o -MT _ssaddQQ.o -MD -MP -MF _ssaddQQ.dep -DL_ssadd -DQQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ssaddHQ.o -MT _ssaddHQ.o -MD -MP -MF _ssaddHQ.dep -DL_ssadd -DHQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ssaddSQ.o -MT _ssaddSQ.o -MD -MP -MF _ssaddSQ.dep -DL_ssadd -DSQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ssaddDQ.o -MT _ssaddDQ.o -MD -MP -MF _ssaddDQ.dep -DL_ssadd -DDQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ssaddTQ.o -MT _ssaddTQ.o -MD -MP -MF _ssaddTQ.dep -DL_ssadd -DTQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ssaddHA.o -MT _ssaddHA.o -MD -MP -MF _ssaddHA.dep -DL_ssadd -DHA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ssaddSA.o -MT _ssaddSA.o -MD -MP -MF _ssaddSA.dep -DL_ssadd -DSA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ssaddDA.o -MT _ssaddDA.o -MD -MP -MF _ssaddDA.dep -DL_ssadd -DDA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ssaddTA.o -MT _ssaddTA.o -MD -MP -MF _ssaddTA.dep -DL_ssadd -DTA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _sssubQQ.o -MT _sssubQQ.o -MD -MP -MF _sssubQQ.dep -DL_sssub -DQQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _sssubHQ.o -MT _sssubHQ.o -MD -MP -MF _sssubHQ.dep -DL_sssub -DHQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _sssubSQ.o -MT _sssubSQ.o -MD -MP -MF _sssubSQ.dep -DL_sssub -DSQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _sssubDQ.o -MT _sssubDQ.o -MD -MP -MF _sssubDQ.dep -DL_sssub -DDQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _sssubTQ.o -MT _sssubTQ.o -MD -MP -MF _sssubTQ.dep -DL_sssub -DTQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _sssubHA.o -MT _sssubHA.o -MD -MP -MF _sssubHA.dep -DL_sssub -DHA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _sssubSA.o -MT _sssubSA.o -MD -MP -MF _sssubSA.dep -DL_sssub -DSA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _sssubDA.o -MT _sssubDA.o -MD -MP -MF _sssubDA.dep -DL_sssub -DDA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _sssubTA.o -MT _sssubTA.o -MD -MP -MF _sssubTA.dep -DL_sssub -DTA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ssnegQQ.o -MT _ssnegQQ.o -MD -MP -MF _ssnegQQ.dep -DL_ssneg -DQQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ssnegHQ.o -MT _ssnegHQ.o -MD -MP -MF _ssnegHQ.dep -DL_ssneg -DHQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ssnegSQ.o -MT _ssnegSQ.o -MD -MP -MF _ssnegSQ.dep -DL_ssneg -DSQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ssnegDQ.o -MT _ssnegDQ.o -MD -MP -MF _ssnegDQ.dep -DL_ssneg -DDQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ssnegTQ.o -MT _ssnegTQ.o -MD -MP -MF _ssnegTQ.dep -DL_ssneg -DTQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ssnegHA.o -MT _ssnegHA.o -MD -MP -MF _ssnegHA.dep -DL_ssneg -DHA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ssnegSA.o -MT _ssnegSA.o -MD -MP -MF _ssnegSA.dep -DL_ssneg -DSA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ssnegDA.o -MT _ssnegDA.o -MD -MP -MF _ssnegDA.dep -DL_ssneg -DDA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ssnegTA.o -MT _ssnegTA.o -MD -MP -MF _ssnegTA.dep -DL_ssneg -DTA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ssmulQQ.o -MT _ssmulQQ.o -MD -MP -MF _ssmulQQ.dep -DL_ssmul -DQQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ssmulHQ.o -MT _ssmulHQ.o -MD -MP -MF _ssmulHQ.dep -DL_ssmul -DHQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ssmulSQ.o -MT _ssmulSQ.o -MD -MP -MF _ssmulSQ.dep -DL_ssmul -DSQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ssmulDQ.o -MT _ssmulDQ.o -MD -MP -MF _ssmulDQ.dep -DL_ssmul -DDQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ssmulTQ.o -MT _ssmulTQ.o -MD -MP -MF _ssmulTQ.dep -DL_ssmul -DTQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ssmulHA.o -MT _ssmulHA.o -MD -MP -MF _ssmulHA.dep -DL_ssmul -DHA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ssmulSA.o -MT _ssmulSA.o -MD -MP -MF _ssmulSA.dep -DL_ssmul -DSA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ssmulDA.o -MT _ssmulDA.o -MD -MP -MF _ssmulDA.dep -DL_ssmul -DDA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ssmulTA.o -MT _ssmulTA.o -MD -MP -MF _ssmulTA.dep -DL_ssmul -DTA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ssdivQQ.o -MT _ssdivQQ.o -MD -MP -MF _ssdivQQ.dep -DL_ssdiv -DQQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ssdivHQ.o -MT _ssdivHQ.o -MD -MP -MF _ssdivHQ.dep -DL_ssdiv -DHQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ssdivSQ.o -MT _ssdivSQ.o -MD -MP -MF _ssdivSQ.dep -DL_ssdiv -DSQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ssdivDQ.o -MT _ssdivDQ.o -MD -MP -MF _ssdivDQ.dep -DL_ssdiv -DDQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ssdivTQ.o -MT _ssdivTQ.o -MD -MP -MF _ssdivTQ.dep -DL_ssdiv -DTQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ssdivHA.o -MT _ssdivHA.o -MD -MP -MF _ssdivHA.dep -DL_ssdiv -DHA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ssdivSA.o -MT _ssdivSA.o -MD -MP -MF _ssdivSA.dep -DL_ssdiv -DSA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ssdivDA.o -MT _ssdivDA.o -MD -MP -MF _ssdivDA.dep -DL_ssdiv -DDA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ssdivTA.o -MT _ssdivTA.o -MD -MP -MF _ssdivTA.dep -DL_ssdiv -DTA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _divQQ.o -MT _divQQ.o -MD -MP -MF _divQQ.dep -DL_div -DQQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _divHQ.o -MT _divHQ.o -MD -MP -MF _divHQ.dep -DL_div -DHQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _divSQ.o -MT _divSQ.o -MD -MP -MF _divSQ.dep -DL_div -DSQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _divDQ.o -MT _divDQ.o -MD -MP -MF _divDQ.dep -DL_div -DDQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _divTQ.o -MT _divTQ.o -MD -MP -MF _divTQ.dep -DL_div -DTQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _divHA.o -MT _divHA.o -MD -MP -MF _divHA.dep -DL_div -DHA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _divSA.o -MT _divSA.o -MD -MP -MF _divSA.dep -DL_div -DSA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _divDA.o -MT _divDA.o -MD -MP -MF _divDA.dep -DL_div -DDA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _divTA.o -MT _divTA.o -MD -MP -MF _divTA.dep -DL_div -DTA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ssashlQQ.o -MT _ssashlQQ.o -MD -MP -MF _ssashlQQ.dep -DL_ssashl -DQQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ssashlHQ.o -MT _ssashlHQ.o -MD -MP -MF _ssashlHQ.dep -DL_ssashl -DHQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ssashlSQ.o -MT _ssashlSQ.o -MD -MP -MF _ssashlSQ.dep -DL_ssashl -DSQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ssashlDQ.o -MT _ssashlDQ.o -MD -MP -MF _ssashlDQ.dep -DL_ssashl -DDQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ssashlTQ.o -MT _ssashlTQ.o -MD -MP -MF _ssashlTQ.dep -DL_ssashl -DTQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ssashlHA.o -MT _ssashlHA.o -MD -MP -MF _ssashlHA.dep -DL_ssashl -DHA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ssashlSA.o -MT _ssashlSA.o -MD -MP -MF _ssashlSA.dep -DL_ssashl -DSA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ssashlDA.o -MT _ssashlDA.o -MD -MP -MF _ssashlDA.dep -DL_ssashl -DDA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ssashlTA.o -MT _ssashlTA.o -MD -MP -MF _ssashlTA.dep -DL_ssashl -DTA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ashrQQ.o -MT _ashrQQ.o -MD -MP -MF _ashrQQ.dep -DL_ashr -DQQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ashrHQ.o -MT _ashrHQ.o -MD -MP -MF _ashrHQ.dep -DL_ashr -DHQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ashrSQ.o -MT _ashrSQ.o -MD -MP -MF _ashrSQ.dep -DL_ashr -DSQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ashrDQ.o -MT _ashrDQ.o -MD -MP -MF _ashrDQ.dep -DL_ashr -DDQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ashrTQ.o -MT _ashrTQ.o -MD -MP -MF _ashrTQ.dep -DL_ashr -DTQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ashrHA.o -MT _ashrHA.o -MD -MP -MF _ashrHA.dep -DL_ashr -DHA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ashrSA.o -MT _ashrSA.o -MD -MP -MF _ashrSA.dep -DL_ashr -DSA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ashrDA.o -MT _ashrDA.o -MD -MP -MF _ashrDA.dep -DL_ashr -DDA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ashrTA.o -MT _ashrTA.o -MD -MP -MF _ashrTA.dep -DL_ashr -DTA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _usaddUQQ.o -MT _usaddUQQ.o -MD -MP -MF _usaddUQQ.dep -DL_usadd -DUQQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _usaddUHQ.o -MT _usaddUHQ.o -MD -MP -MF _usaddUHQ.dep -DL_usadd -DUHQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _usaddUSQ.o -MT _usaddUSQ.o -MD -MP -MF _usaddUSQ.dep -DL_usadd -DUSQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _usaddUDQ.o -MT _usaddUDQ.o -MD -MP -MF _usaddUDQ.dep -DL_usadd -DUDQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _usaddUTQ.o -MT _usaddUTQ.o -MD -MP -MF _usaddUTQ.dep -DL_usadd -DUTQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _usaddUHA.o -MT _usaddUHA.o -MD -MP -MF _usaddUHA.dep -DL_usadd -DUHA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _usaddUSA.o -MT _usaddUSA.o -MD -MP -MF _usaddUSA.dep -DL_usadd -DUSA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _usaddUDA.o -MT _usaddUDA.o -MD -MP -MF _usaddUDA.dep -DL_usadd -DUDA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _usaddUTA.o -MT _usaddUTA.o -MD -MP -MF _usaddUTA.dep -DL_usadd -DUTA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ussubUQQ.o -MT _ussubUQQ.o -MD -MP -MF _ussubUQQ.dep -DL_ussub -DUQQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ussubUHQ.o -MT _ussubUHQ.o -MD -MP -MF _ussubUHQ.dep -DL_ussub -DUHQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ussubUSQ.o -MT _ussubUSQ.o -MD -MP -MF _ussubUSQ.dep -DL_ussub -DUSQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ussubUDQ.o -MT _ussubUDQ.o -MD -MP -MF _ussubUDQ.dep -DL_ussub -DUDQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ussubUTQ.o -MT _ussubUTQ.o -MD -MP -MF _ussubUTQ.dep -DL_ussub -DUTQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ussubUHA.o -MT _ussubUHA.o -MD -MP -MF _ussubUHA.dep -DL_ussub -DUHA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ussubUSA.o -MT _ussubUSA.o -MD -MP -MF _ussubUSA.dep -DL_ussub -DUSA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ussubUDA.o -MT _ussubUDA.o -MD -MP -MF _ussubUDA.dep -DL_ussub -DUDA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ussubUTA.o -MT _ussubUTA.o -MD -MP -MF _ussubUTA.dep -DL_ussub -DUTA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _usnegUQQ.o -MT _usnegUQQ.o -MD -MP -MF _usnegUQQ.dep -DL_usneg -DUQQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _usnegUHQ.o -MT _usnegUHQ.o -MD -MP -MF _usnegUHQ.dep -DL_usneg -DUHQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _usnegUSQ.o -MT _usnegUSQ.o -MD -MP -MF _usnegUSQ.dep -DL_usneg -DUSQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _usnegUDQ.o -MT _usnegUDQ.o -MD -MP -MF _usnegUDQ.dep -DL_usneg -DUDQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _usnegUTQ.o -MT _usnegUTQ.o -MD -MP -MF _usnegUTQ.dep -DL_usneg -DUTQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _usnegUHA.o -MT _usnegUHA.o -MD -MP -MF _usnegUHA.dep -DL_usneg -DUHA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _usnegUSA.o -MT _usnegUSA.o -MD -MP -MF _usnegUSA.dep -DL_usneg -DUSA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _usnegUDA.o -MT _usnegUDA.o -MD -MP -MF _usnegUDA.dep -DL_usneg -DUDA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _usnegUTA.o -MT _usnegUTA.o -MD -MP -MF _usnegUTA.dep -DL_usneg -DUTA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _usmulUQQ.o -MT _usmulUQQ.o -MD -MP -MF _usmulUQQ.dep -DL_usmul -DUQQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _usmulUHQ.o -MT _usmulUHQ.o -MD -MP -MF _usmulUHQ.dep -DL_usmul -DUHQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _usmulUSQ.o -MT _usmulUSQ.o -MD -MP -MF _usmulUSQ.dep -DL_usmul -DUSQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _usmulUDQ.o -MT _usmulUDQ.o -MD -MP -MF _usmulUDQ.dep -DL_usmul -DUDQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _usmulUTQ.o -MT _usmulUTQ.o -MD -MP -MF _usmulUTQ.dep -DL_usmul -DUTQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _usmulUHA.o -MT _usmulUHA.o -MD -MP -MF _usmulUHA.dep -DL_usmul -DUHA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _usmulUSA.o -MT _usmulUSA.o -MD -MP -MF _usmulUSA.dep -DL_usmul -DUSA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _usmulUDA.o -MT _usmulUDA.o -MD -MP -MF _usmulUDA.dep -DL_usmul -DUDA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _usmulUTA.o -MT _usmulUTA.o -MD -MP -MF _usmulUTA.dep -DL_usmul -DUTA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _usdivUQQ.o -MT _usdivUQQ.o -MD -MP -MF _usdivUQQ.dep -DL_usdiv -DUQQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _usdivUHQ.o -MT _usdivUHQ.o -MD -MP -MF _usdivUHQ.dep -DL_usdiv -DUHQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _usdivUSQ.o -MT _usdivUSQ.o -MD -MP -MF _usdivUSQ.dep -DL_usdiv -DUSQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _usdivUDQ.o -MT _usdivUDQ.o -MD -MP -MF _usdivUDQ.dep -DL_usdiv -DUDQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _usdivUTQ.o -MT _usdivUTQ.o -MD -MP -MF _usdivUTQ.dep -DL_usdiv -DUTQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _usdivUHA.o -MT _usdivUHA.o -MD -MP -MF _usdivUHA.dep -DL_usdiv -DUHA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _usdivUSA.o -MT _usdivUSA.o -MD -MP -MF _usdivUSA.dep -DL_usdiv -DUSA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _usdivUDA.o -MT _usdivUDA.o -MD -MP -MF _usdivUDA.dep -DL_usdiv -DUDA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _usdivUTA.o -MT _usdivUTA.o -MD -MP -MF _usdivUTA.dep -DL_usdiv -DUTA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _udivUQQ.o -MT _udivUQQ.o -MD -MP -MF _udivUQQ.dep -DL_udiv -DUQQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _udivUHQ.o -MT _udivUHQ.o -MD -MP -MF _udivUHQ.dep -DL_udiv -DUHQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _udivUSQ.o -MT _udivUSQ.o -MD -MP -MF _udivUSQ.dep -DL_udiv -DUSQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _udivUDQ.o -MT _udivUDQ.o -MD -MP -MF _udivUDQ.dep -DL_udiv -DUDQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _udivUTQ.o -MT _udivUTQ.o -MD -MP -MF _udivUTQ.dep -DL_udiv -DUTQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _udivUHA.o -MT _udivUHA.o -MD -MP -MF _udivUHA.dep -DL_udiv -DUHA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _udivUSA.o -MT _udivUSA.o -MD -MP -MF _udivUSA.dep -DL_udiv -DUSA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _udivUDA.o -MT _udivUDA.o -MD -MP -MF _udivUDA.dep -DL_udiv -DUDA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _udivUTA.o -MT _udivUTA.o -MD -MP -MF _udivUTA.dep -DL_udiv -DUTA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _usashlUQQ.o -MT _usashlUQQ.o -MD -MP -MF _usashlUQQ.dep -DL_usashl -DUQQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _usashlUHQ.o -MT _usashlUHQ.o -MD -MP -MF _usashlUHQ.dep -DL_usashl -DUHQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _usashlUSQ.o -MT _usashlUSQ.o -MD -MP -MF _usashlUSQ.dep -DL_usashl -DUSQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _usashlUDQ.o -MT _usashlUDQ.o -MD -MP -MF _usashlUDQ.dep -DL_usashl -DUDQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _usashlUTQ.o -MT _usashlUTQ.o -MD -MP -MF _usashlUTQ.dep -DL_usashl -DUTQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _usashlUHA.o -MT _usashlUHA.o -MD -MP -MF _usashlUHA.dep -DL_usashl -DUHA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _usashlUSA.o -MT _usashlUSA.o -MD -MP -MF _usashlUSA.dep -DL_usashl -DUSA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _usashlUDA.o -MT _usashlUDA.o -MD -MP -MF _usashlUDA.dep -DL_usashl -DUDA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _usashlUTA.o -MT _usashlUTA.o -MD -MP -MF _usashlUTA.dep -DL_usashl -DUTA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _lshrUQQ.o -MT _lshrUQQ.o -MD -MP -MF _lshrUQQ.dep -DL_lshr -DUQQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _lshrUHQ.o -MT _lshrUHQ.o -MD -MP -MF _lshrUHQ.dep -DL_lshr -DUHQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _lshrUSQ.o -MT _lshrUSQ.o -MD -MP -MF _lshrUSQ.dep -DL_lshr -DUSQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _lshrUDQ.o -MT _lshrUDQ.o -MD -MP -MF _lshrUDQ.dep -DL_lshr -DUDQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _lshrUTQ.o -MT _lshrUTQ.o -MD -MP -MF _lshrUTQ.dep -DL_lshr -DUTQ_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _lshrUHA.o -MT _lshrUHA.o -MD -MP -MF _lshrUHA.dep -DL_lshr -DUHA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _lshrUSA.o -MT _lshrUSA.o -MD -MP -MF _lshrUSA.dep -DL_lshr -DUSA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _lshrUDA.o -MT _lshrUDA.o -MD -MP -MF _lshrUDA.dep -DL_lshr -DUDA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _lshrUTA.o -MT _lshrUTA.o -MD -MP -MF _lshrUTA.dep -DL_lshr -DUTA_MODE -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractQQHQ.o -MT _fractQQHQ.o -MD -MP -MF _fractQQHQ.dep -DL_fract -DFROM_QQ -DTO_HQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractQQSQ.o -MT _fractQQSQ.o -MD -MP -MF _fractQQSQ.dep -DL_fract -DFROM_QQ -DTO_SQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractQQDQ.o -MT _fractQQDQ.o -MD -MP -MF _fractQQDQ.dep -DL_fract -DFROM_QQ -DTO_DQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractQQTQ.o -MT _fractQQTQ.o -MD -MP -MF _fractQQTQ.dep -DL_fract -DFROM_QQ -DTO_TQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractQQHA.o -MT _fractQQHA.o -MD -MP -MF _fractQQHA.dep -DL_fract -DFROM_QQ -DTO_HA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractQQSA.o -MT _fractQQSA.o -MD -MP -MF _fractQQSA.dep -DL_fract -DFROM_QQ -DTO_SA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractQQDA.o -MT _fractQQDA.o -MD -MP -MF _fractQQDA.dep -DL_fract -DFROM_QQ -DTO_DA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractQQTA.o -MT _fractQQTA.o -MD -MP -MF _fractQQTA.dep -DL_fract -DFROM_QQ -DTO_TA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractQQUQQ.o -MT _fractQQUQQ.o -MD -MP -MF _fractQQUQQ.dep -DL_fract -DFROM_QQ -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractQQUHQ.o -MT _fractQQUHQ.o -MD -MP -MF _fractQQUHQ.dep -DL_fract -DFROM_QQ -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractQQUSQ.o -MT _fractQQUSQ.o -MD -MP -MF _fractQQUSQ.dep -DL_fract -DFROM_QQ -DTO_USQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractQQUDQ.o -MT _fractQQUDQ.o -MD -MP -MF _fractQQUDQ.dep -DL_fract -DFROM_QQ -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractQQUTQ.o -MT _fractQQUTQ.o -MD -MP -MF _fractQQUTQ.dep -DL_fract -DFROM_QQ -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractQQUHA.o -MT _fractQQUHA.o -MD -MP -MF _fractQQUHA.dep -DL_fract -DFROM_QQ -DTO_UHA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractQQUSA.o -MT _fractQQUSA.o -MD -MP -MF _fractQQUSA.dep -DL_fract -DFROM_QQ -DTO_USA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractQQUDA.o -MT _fractQQUDA.o -MD -MP -MF _fractQQUDA.dep -DL_fract -DFROM_QQ -DTO_UDA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractQQUTA.o -MT _fractQQUTA.o -MD -MP -MF _fractQQUTA.dep -DL_fract -DFROM_QQ -DTO_UTA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractQQQI.o -MT _fractQQQI.o -MD -MP -MF _fractQQQI.dep -DL_fract -DFROM_QQ -DTO_QI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractQQHI.o -MT _fractQQHI.o -MD -MP -MF _fractQQHI.dep -DL_fract -DFROM_QQ -DTO_HI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractQQSI.o -MT _fractQQSI.o -MD -MP -MF _fractQQSI.dep -DL_fract -DFROM_QQ -DTO_SI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractQQDI.o -MT _fractQQDI.o -MD -MP -MF _fractQQDI.dep -DL_fract -DFROM_QQ -DTO_DI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractQQTI.o -MT _fractQQTI.o -MD -MP -MF _fractQQTI.dep -DL_fract -DFROM_QQ -DTO_TI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractQQSF.o -MT _fractQQSF.o -MD -MP -MF _fractQQSF.dep -DL_fract -DFROM_QQ -DTO_SF -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractQQDF.o -MT _fractQQDF.o -MD -MP -MF _fractQQDF.dep -DL_fract -DFROM_QQ -DTO_DF -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHQQQ.o -MT _fractHQQQ.o -MD -MP -MF _fractHQQQ.dep -DL_fract -DFROM_HQ -DTO_QQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHQSQ.o -MT _fractHQSQ.o -MD -MP -MF _fractHQSQ.dep -DL_fract -DFROM_HQ -DTO_SQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHQDQ.o -MT _fractHQDQ.o -MD -MP -MF _fractHQDQ.dep -DL_fract -DFROM_HQ -DTO_DQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHQTQ.o -MT _fractHQTQ.o -MD -MP -MF _fractHQTQ.dep -DL_fract -DFROM_HQ -DTO_TQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHQHA.o -MT _fractHQHA.o -MD -MP -MF _fractHQHA.dep -DL_fract -DFROM_HQ -DTO_HA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHQSA.o -MT _fractHQSA.o -MD -MP -MF _fractHQSA.dep -DL_fract -DFROM_HQ -DTO_SA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHQDA.o -MT _fractHQDA.o -MD -MP -MF _fractHQDA.dep -DL_fract -DFROM_HQ -DTO_DA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHQTA.o -MT _fractHQTA.o -MD -MP -MF _fractHQTA.dep -DL_fract -DFROM_HQ -DTO_TA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHQUQQ.o -MT _fractHQUQQ.o -MD -MP -MF _fractHQUQQ.dep -DL_fract -DFROM_HQ -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHQUHQ.o -MT _fractHQUHQ.o -MD -MP -MF _fractHQUHQ.dep -DL_fract -DFROM_HQ -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHQUSQ.o -MT _fractHQUSQ.o -MD -MP -MF _fractHQUSQ.dep -DL_fract -DFROM_HQ -DTO_USQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHQUDQ.o -MT _fractHQUDQ.o -MD -MP -MF _fractHQUDQ.dep -DL_fract -DFROM_HQ -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHQUTQ.o -MT _fractHQUTQ.o -MD -MP -MF _fractHQUTQ.dep -DL_fract -DFROM_HQ -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHQUHA.o -MT _fractHQUHA.o -MD -MP -MF _fractHQUHA.dep -DL_fract -DFROM_HQ -DTO_UHA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHQUSA.o -MT _fractHQUSA.o -MD -MP -MF _fractHQUSA.dep -DL_fract -DFROM_HQ -DTO_USA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHQUDA.o -MT _fractHQUDA.o -MD -MP -MF _fractHQUDA.dep -DL_fract -DFROM_HQ -DTO_UDA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHQUTA.o -MT _fractHQUTA.o -MD -MP -MF _fractHQUTA.dep -DL_fract -DFROM_HQ -DTO_UTA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHQQI.o -MT _fractHQQI.o -MD -MP -MF _fractHQQI.dep -DL_fract -DFROM_HQ -DTO_QI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHQHI.o -MT _fractHQHI.o -MD -MP -MF _fractHQHI.dep -DL_fract -DFROM_HQ -DTO_HI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHQSI.o -MT _fractHQSI.o -MD -MP -MF _fractHQSI.dep -DL_fract -DFROM_HQ -DTO_SI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHQDI.o -MT _fractHQDI.o -MD -MP -MF _fractHQDI.dep -DL_fract -DFROM_HQ -DTO_DI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHQTI.o -MT _fractHQTI.o -MD -MP -MF _fractHQTI.dep -DL_fract -DFROM_HQ -DTO_TI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHQSF.o -MT _fractHQSF.o -MD -MP -MF _fractHQSF.dep -DL_fract -DFROM_HQ -DTO_SF -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHQDF.o -MT _fractHQDF.o -MD -MP -MF _fractHQDF.dep -DL_fract -DFROM_HQ -DTO_DF -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSQQQ.o -MT _fractSQQQ.o -MD -MP -MF _fractSQQQ.dep -DL_fract -DFROM_SQ -DTO_QQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSQHQ.o -MT _fractSQHQ.o -MD -MP -MF _fractSQHQ.dep -DL_fract -DFROM_SQ -DTO_HQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSQDQ.o -MT _fractSQDQ.o -MD -MP -MF _fractSQDQ.dep -DL_fract -DFROM_SQ -DTO_DQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSQTQ.o -MT _fractSQTQ.o -MD -MP -MF _fractSQTQ.dep -DL_fract -DFROM_SQ -DTO_TQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSQHA.o -MT _fractSQHA.o -MD -MP -MF _fractSQHA.dep -DL_fract -DFROM_SQ -DTO_HA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSQSA.o -MT _fractSQSA.o -MD -MP -MF _fractSQSA.dep -DL_fract -DFROM_SQ -DTO_SA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSQDA.o -MT _fractSQDA.o -MD -MP -MF _fractSQDA.dep -DL_fract -DFROM_SQ -DTO_DA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSQTA.o -MT _fractSQTA.o -MD -MP -MF _fractSQTA.dep -DL_fract -DFROM_SQ -DTO_TA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSQUQQ.o -MT _fractSQUQQ.o -MD -MP -MF _fractSQUQQ.dep -DL_fract -DFROM_SQ -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSQUHQ.o -MT _fractSQUHQ.o -MD -MP -MF _fractSQUHQ.dep -DL_fract -DFROM_SQ -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSQUSQ.o -MT _fractSQUSQ.o -MD -MP -MF _fractSQUSQ.dep -DL_fract -DFROM_SQ -DTO_USQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSQUDQ.o -MT _fractSQUDQ.o -MD -MP -MF _fractSQUDQ.dep -DL_fract -DFROM_SQ -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSQUTQ.o -MT _fractSQUTQ.o -MD -MP -MF _fractSQUTQ.dep -DL_fract -DFROM_SQ -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSQUHA.o -MT _fractSQUHA.o -MD -MP -MF _fractSQUHA.dep -DL_fract -DFROM_SQ -DTO_UHA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSQUSA.o -MT _fractSQUSA.o -MD -MP -MF _fractSQUSA.dep -DL_fract -DFROM_SQ -DTO_USA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSQUDA.o -MT _fractSQUDA.o -MD -MP -MF _fractSQUDA.dep -DL_fract -DFROM_SQ -DTO_UDA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSQUTA.o -MT _fractSQUTA.o -MD -MP -MF _fractSQUTA.dep -DL_fract -DFROM_SQ -DTO_UTA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSQQI.o -MT _fractSQQI.o -MD -MP -MF _fractSQQI.dep -DL_fract -DFROM_SQ -DTO_QI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSQHI.o -MT _fractSQHI.o -MD -MP -MF _fractSQHI.dep -DL_fract -DFROM_SQ -DTO_HI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSQSI.o -MT _fractSQSI.o -MD -MP -MF _fractSQSI.dep -DL_fract -DFROM_SQ -DTO_SI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSQDI.o -MT _fractSQDI.o -MD -MP -MF _fractSQDI.dep -DL_fract -DFROM_SQ -DTO_DI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSQTI.o -MT _fractSQTI.o -MD -MP -MF _fractSQTI.dep -DL_fract -DFROM_SQ -DTO_TI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSQSF.o -MT _fractSQSF.o -MD -MP -MF _fractSQSF.dep -DL_fract -DFROM_SQ -DTO_SF -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSQDF.o -MT _fractSQDF.o -MD -MP -MF _fractSQDF.dep -DL_fract -DFROM_SQ -DTO_DF -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDQQQ.o -MT _fractDQQQ.o -MD -MP -MF _fractDQQQ.dep -DL_fract -DFROM_DQ -DTO_QQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDQHQ.o -MT _fractDQHQ.o -MD -MP -MF _fractDQHQ.dep -DL_fract -DFROM_DQ -DTO_HQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDQSQ.o -MT _fractDQSQ.o -MD -MP -MF _fractDQSQ.dep -DL_fract -DFROM_DQ -DTO_SQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDQTQ.o -MT _fractDQTQ.o -MD -MP -MF _fractDQTQ.dep -DL_fract -DFROM_DQ -DTO_TQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDQHA.o -MT _fractDQHA.o -MD -MP -MF _fractDQHA.dep -DL_fract -DFROM_DQ -DTO_HA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDQSA.o -MT _fractDQSA.o -MD -MP -MF _fractDQSA.dep -DL_fract -DFROM_DQ -DTO_SA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDQDA.o -MT _fractDQDA.o -MD -MP -MF _fractDQDA.dep -DL_fract -DFROM_DQ -DTO_DA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDQTA.o -MT _fractDQTA.o -MD -MP -MF _fractDQTA.dep -DL_fract -DFROM_DQ -DTO_TA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDQUQQ.o -MT _fractDQUQQ.o -MD -MP -MF _fractDQUQQ.dep -DL_fract -DFROM_DQ -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDQUHQ.o -MT _fractDQUHQ.o -MD -MP -MF _fractDQUHQ.dep -DL_fract -DFROM_DQ -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDQUSQ.o -MT _fractDQUSQ.o -MD -MP -MF _fractDQUSQ.dep -DL_fract -DFROM_DQ -DTO_USQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDQUDQ.o -MT _fractDQUDQ.o -MD -MP -MF _fractDQUDQ.dep -DL_fract -DFROM_DQ -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDQUTQ.o -MT _fractDQUTQ.o -MD -MP -MF _fractDQUTQ.dep -DL_fract -DFROM_DQ -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDQUHA.o -MT _fractDQUHA.o -MD -MP -MF _fractDQUHA.dep -DL_fract -DFROM_DQ -DTO_UHA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDQUSA.o -MT _fractDQUSA.o -MD -MP -MF _fractDQUSA.dep -DL_fract -DFROM_DQ -DTO_USA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDQUDA.o -MT _fractDQUDA.o -MD -MP -MF _fractDQUDA.dep -DL_fract -DFROM_DQ -DTO_UDA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDQUTA.o -MT _fractDQUTA.o -MD -MP -MF _fractDQUTA.dep -DL_fract -DFROM_DQ -DTO_UTA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDQQI.o -MT _fractDQQI.o -MD -MP -MF _fractDQQI.dep -DL_fract -DFROM_DQ -DTO_QI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDQHI.o -MT _fractDQHI.o -MD -MP -MF _fractDQHI.dep -DL_fract -DFROM_DQ -DTO_HI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDQSI.o -MT _fractDQSI.o -MD -MP -MF _fractDQSI.dep -DL_fract -DFROM_DQ -DTO_SI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDQDI.o -MT _fractDQDI.o -MD -MP -MF _fractDQDI.dep -DL_fract -DFROM_DQ -DTO_DI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDQTI.o -MT _fractDQTI.o -MD -MP -MF _fractDQTI.dep -DL_fract -DFROM_DQ -DTO_TI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDQSF.o -MT _fractDQSF.o -MD -MP -MF _fractDQSF.dep -DL_fract -DFROM_DQ -DTO_SF -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDQDF.o -MT _fractDQDF.o -MD -MP -MF _fractDQDF.dep -DL_fract -DFROM_DQ -DTO_DF -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTQQQ.o -MT _fractTQQQ.o -MD -MP -MF _fractTQQQ.dep -DL_fract -DFROM_TQ -DTO_QQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTQHQ.o -MT _fractTQHQ.o -MD -MP -MF _fractTQHQ.dep -DL_fract -DFROM_TQ -DTO_HQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTQSQ.o -MT _fractTQSQ.o -MD -MP -MF _fractTQSQ.dep -DL_fract -DFROM_TQ -DTO_SQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTQDQ.o -MT _fractTQDQ.o -MD -MP -MF _fractTQDQ.dep -DL_fract -DFROM_TQ -DTO_DQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTQHA.o -MT _fractTQHA.o -MD -MP -MF _fractTQHA.dep -DL_fract -DFROM_TQ -DTO_HA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTQSA.o -MT _fractTQSA.o -MD -MP -MF _fractTQSA.dep -DL_fract -DFROM_TQ -DTO_SA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTQDA.o -MT _fractTQDA.o -MD -MP -MF _fractTQDA.dep -DL_fract -DFROM_TQ -DTO_DA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTQTA.o -MT _fractTQTA.o -MD -MP -MF _fractTQTA.dep -DL_fract -DFROM_TQ -DTO_TA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTQUQQ.o -MT _fractTQUQQ.o -MD -MP -MF _fractTQUQQ.dep -DL_fract -DFROM_TQ -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTQUHQ.o -MT _fractTQUHQ.o -MD -MP -MF _fractTQUHQ.dep -DL_fract -DFROM_TQ -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTQUSQ.o -MT _fractTQUSQ.o -MD -MP -MF _fractTQUSQ.dep -DL_fract -DFROM_TQ -DTO_USQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTQUDQ.o -MT _fractTQUDQ.o -MD -MP -MF _fractTQUDQ.dep -DL_fract -DFROM_TQ -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTQUTQ.o -MT _fractTQUTQ.o -MD -MP -MF _fractTQUTQ.dep -DL_fract -DFROM_TQ -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTQUHA.o -MT _fractTQUHA.o -MD -MP -MF _fractTQUHA.dep -DL_fract -DFROM_TQ -DTO_UHA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTQUSA.o -MT _fractTQUSA.o -MD -MP -MF _fractTQUSA.dep -DL_fract -DFROM_TQ -DTO_USA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTQUDA.o -MT _fractTQUDA.o -MD -MP -MF _fractTQUDA.dep -DL_fract -DFROM_TQ -DTO_UDA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTQUTA.o -MT _fractTQUTA.o -MD -MP -MF _fractTQUTA.dep -DL_fract -DFROM_TQ -DTO_UTA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTQQI.o -MT _fractTQQI.o -MD -MP -MF _fractTQQI.dep -DL_fract -DFROM_TQ -DTO_QI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTQHI.o -MT _fractTQHI.o -MD -MP -MF _fractTQHI.dep -DL_fract -DFROM_TQ -DTO_HI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTQSI.o -MT _fractTQSI.o -MD -MP -MF _fractTQSI.dep -DL_fract -DFROM_TQ -DTO_SI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTQDI.o -MT _fractTQDI.o -MD -MP -MF _fractTQDI.dep -DL_fract -DFROM_TQ -DTO_DI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTQTI.o -MT _fractTQTI.o -MD -MP -MF _fractTQTI.dep -DL_fract -DFROM_TQ -DTO_TI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTQSF.o -MT _fractTQSF.o -MD -MP -MF _fractTQSF.dep -DL_fract -DFROM_TQ -DTO_SF -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTQDF.o -MT _fractTQDF.o -MD -MP -MF _fractTQDF.dep -DL_fract -DFROM_TQ -DTO_DF -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHAQQ.o -MT _fractHAQQ.o -MD -MP -MF _fractHAQQ.dep -DL_fract -DFROM_HA -DTO_QQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHAHQ.o -MT _fractHAHQ.o -MD -MP -MF _fractHAHQ.dep -DL_fract -DFROM_HA -DTO_HQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHASQ.o -MT _fractHASQ.o -MD -MP -MF _fractHASQ.dep -DL_fract -DFROM_HA -DTO_SQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHADQ.o -MT _fractHADQ.o -MD -MP -MF _fractHADQ.dep -DL_fract -DFROM_HA -DTO_DQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHATQ.o -MT _fractHATQ.o -MD -MP -MF _fractHATQ.dep -DL_fract -DFROM_HA -DTO_TQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHASA.o -MT _fractHASA.o -MD -MP -MF _fractHASA.dep -DL_fract -DFROM_HA -DTO_SA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHADA.o -MT _fractHADA.o -MD -MP -MF _fractHADA.dep -DL_fract -DFROM_HA -DTO_DA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHATA.o -MT _fractHATA.o -MD -MP -MF _fractHATA.dep -DL_fract -DFROM_HA -DTO_TA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHAUQQ.o -MT _fractHAUQQ.o -MD -MP -MF _fractHAUQQ.dep -DL_fract -DFROM_HA -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHAUHQ.o -MT _fractHAUHQ.o -MD -MP -MF _fractHAUHQ.dep -DL_fract -DFROM_HA -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHAUSQ.o -MT _fractHAUSQ.o -MD -MP -MF _fractHAUSQ.dep -DL_fract -DFROM_HA -DTO_USQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHAUDQ.o -MT _fractHAUDQ.o -MD -MP -MF _fractHAUDQ.dep -DL_fract -DFROM_HA -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHAUTQ.o -MT _fractHAUTQ.o -MD -MP -MF _fractHAUTQ.dep -DL_fract -DFROM_HA -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHAUHA.o -MT _fractHAUHA.o -MD -MP -MF _fractHAUHA.dep -DL_fract -DFROM_HA -DTO_UHA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHAUSA.o -MT _fractHAUSA.o -MD -MP -MF _fractHAUSA.dep -DL_fract -DFROM_HA -DTO_USA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHAUDA.o -MT _fractHAUDA.o -MD -MP -MF _fractHAUDA.dep -DL_fract -DFROM_HA -DTO_UDA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHAUTA.o -MT _fractHAUTA.o -MD -MP -MF _fractHAUTA.dep -DL_fract -DFROM_HA -DTO_UTA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHAQI.o -MT _fractHAQI.o -MD -MP -MF _fractHAQI.dep -DL_fract -DFROM_HA -DTO_QI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHAHI.o -MT _fractHAHI.o -MD -MP -MF _fractHAHI.dep -DL_fract -DFROM_HA -DTO_HI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHASI.o -MT _fractHASI.o -MD -MP -MF _fractHASI.dep -DL_fract -DFROM_HA -DTO_SI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHADI.o -MT _fractHADI.o -MD -MP -MF _fractHADI.dep -DL_fract -DFROM_HA -DTO_DI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHATI.o -MT _fractHATI.o -MD -MP -MF _fractHATI.dep -DL_fract -DFROM_HA -DTO_TI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHASF.o -MT _fractHASF.o -MD -MP -MF _fractHASF.dep -DL_fract -DFROM_HA -DTO_SF -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHADF.o -MT _fractHADF.o -MD -MP -MF _fractHADF.dep -DL_fract -DFROM_HA -DTO_DF -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSAQQ.o -MT _fractSAQQ.o -MD -MP -MF _fractSAQQ.dep -DL_fract -DFROM_SA -DTO_QQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSAHQ.o -MT _fractSAHQ.o -MD -MP -MF _fractSAHQ.dep -DL_fract -DFROM_SA -DTO_HQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSASQ.o -MT _fractSASQ.o -MD -MP -MF _fractSASQ.dep -DL_fract -DFROM_SA -DTO_SQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSADQ.o -MT _fractSADQ.o -MD -MP -MF _fractSADQ.dep -DL_fract -DFROM_SA -DTO_DQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSATQ.o -MT _fractSATQ.o -MD -MP -MF _fractSATQ.dep -DL_fract -DFROM_SA -DTO_TQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSAHA.o -MT _fractSAHA.o -MD -MP -MF _fractSAHA.dep -DL_fract -DFROM_SA -DTO_HA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSADA.o -MT _fractSADA.o -MD -MP -MF _fractSADA.dep -DL_fract -DFROM_SA -DTO_DA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSATA.o -MT _fractSATA.o -MD -MP -MF _fractSATA.dep -DL_fract -DFROM_SA -DTO_TA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSAUQQ.o -MT _fractSAUQQ.o -MD -MP -MF _fractSAUQQ.dep -DL_fract -DFROM_SA -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSAUHQ.o -MT _fractSAUHQ.o -MD -MP -MF _fractSAUHQ.dep -DL_fract -DFROM_SA -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSAUSQ.o -MT _fractSAUSQ.o -MD -MP -MF _fractSAUSQ.dep -DL_fract -DFROM_SA -DTO_USQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSAUDQ.o -MT _fractSAUDQ.o -MD -MP -MF _fractSAUDQ.dep -DL_fract -DFROM_SA -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSAUTQ.o -MT _fractSAUTQ.o -MD -MP -MF _fractSAUTQ.dep -DL_fract -DFROM_SA -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSAUHA.o -MT _fractSAUHA.o -MD -MP -MF _fractSAUHA.dep -DL_fract -DFROM_SA -DTO_UHA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSAUSA.o -MT _fractSAUSA.o -MD -MP -MF _fractSAUSA.dep -DL_fract -DFROM_SA -DTO_USA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSAUDA.o -MT _fractSAUDA.o -MD -MP -MF _fractSAUDA.dep -DL_fract -DFROM_SA -DTO_UDA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSAUTA.o -MT _fractSAUTA.o -MD -MP -MF _fractSAUTA.dep -DL_fract -DFROM_SA -DTO_UTA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSAQI.o -MT _fractSAQI.o -MD -MP -MF _fractSAQI.dep -DL_fract -DFROM_SA -DTO_QI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSAHI.o -MT _fractSAHI.o -MD -MP -MF _fractSAHI.dep -DL_fract -DFROM_SA -DTO_HI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSASI.o -MT _fractSASI.o -MD -MP -MF _fractSASI.dep -DL_fract -DFROM_SA -DTO_SI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSADI.o -MT _fractSADI.o -MD -MP -MF _fractSADI.dep -DL_fract -DFROM_SA -DTO_DI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSATI.o -MT _fractSATI.o -MD -MP -MF _fractSATI.dep -DL_fract -DFROM_SA -DTO_TI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSASF.o -MT _fractSASF.o -MD -MP -MF _fractSASF.dep -DL_fract -DFROM_SA -DTO_SF -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSADF.o -MT _fractSADF.o -MD -MP -MF _fractSADF.dep -DL_fract -DFROM_SA -DTO_DF -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDAQQ.o -MT _fractDAQQ.o -MD -MP -MF _fractDAQQ.dep -DL_fract -DFROM_DA -DTO_QQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDAHQ.o -MT _fractDAHQ.o -MD -MP -MF _fractDAHQ.dep -DL_fract -DFROM_DA -DTO_HQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDASQ.o -MT _fractDASQ.o -MD -MP -MF _fractDASQ.dep -DL_fract -DFROM_DA -DTO_SQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDADQ.o -MT _fractDADQ.o -MD -MP -MF _fractDADQ.dep -DL_fract -DFROM_DA -DTO_DQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDATQ.o -MT _fractDATQ.o -MD -MP -MF _fractDATQ.dep -DL_fract -DFROM_DA -DTO_TQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDAHA.o -MT _fractDAHA.o -MD -MP -MF _fractDAHA.dep -DL_fract -DFROM_DA -DTO_HA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDASA.o -MT _fractDASA.o -MD -MP -MF _fractDASA.dep -DL_fract -DFROM_DA -DTO_SA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDATA.o -MT _fractDATA.o -MD -MP -MF _fractDATA.dep -DL_fract -DFROM_DA -DTO_TA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDAUQQ.o -MT _fractDAUQQ.o -MD -MP -MF _fractDAUQQ.dep -DL_fract -DFROM_DA -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDAUHQ.o -MT _fractDAUHQ.o -MD -MP -MF _fractDAUHQ.dep -DL_fract -DFROM_DA -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDAUSQ.o -MT _fractDAUSQ.o -MD -MP -MF _fractDAUSQ.dep -DL_fract -DFROM_DA -DTO_USQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDAUDQ.o -MT _fractDAUDQ.o -MD -MP -MF _fractDAUDQ.dep -DL_fract -DFROM_DA -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDAUTQ.o -MT _fractDAUTQ.o -MD -MP -MF _fractDAUTQ.dep -DL_fract -DFROM_DA -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDAUHA.o -MT _fractDAUHA.o -MD -MP -MF _fractDAUHA.dep -DL_fract -DFROM_DA -DTO_UHA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDAUSA.o -MT _fractDAUSA.o -MD -MP -MF _fractDAUSA.dep -DL_fract -DFROM_DA -DTO_USA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDAUDA.o -MT _fractDAUDA.o -MD -MP -MF _fractDAUDA.dep -DL_fract -DFROM_DA -DTO_UDA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDAUTA.o -MT _fractDAUTA.o -MD -MP -MF _fractDAUTA.dep -DL_fract -DFROM_DA -DTO_UTA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDAQI.o -MT _fractDAQI.o -MD -MP -MF _fractDAQI.dep -DL_fract -DFROM_DA -DTO_QI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDAHI.o -MT _fractDAHI.o -MD -MP -MF _fractDAHI.dep -DL_fract -DFROM_DA -DTO_HI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDASI.o -MT _fractDASI.o -MD -MP -MF _fractDASI.dep -DL_fract -DFROM_DA -DTO_SI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDADI.o -MT _fractDADI.o -MD -MP -MF _fractDADI.dep -DL_fract -DFROM_DA -DTO_DI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDATI.o -MT _fractDATI.o -MD -MP -MF _fractDATI.dep -DL_fract -DFROM_DA -DTO_TI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDASF.o -MT _fractDASF.o -MD -MP -MF _fractDASF.dep -DL_fract -DFROM_DA -DTO_SF -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDADF.o -MT _fractDADF.o -MD -MP -MF _fractDADF.dep -DL_fract -DFROM_DA -DTO_DF -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTAQQ.o -MT _fractTAQQ.o -MD -MP -MF _fractTAQQ.dep -DL_fract -DFROM_TA -DTO_QQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTAHQ.o -MT _fractTAHQ.o -MD -MP -MF _fractTAHQ.dep -DL_fract -DFROM_TA -DTO_HQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTASQ.o -MT _fractTASQ.o -MD -MP -MF _fractTASQ.dep -DL_fract -DFROM_TA -DTO_SQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTADQ.o -MT _fractTADQ.o -MD -MP -MF _fractTADQ.dep -DL_fract -DFROM_TA -DTO_DQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTATQ.o -MT _fractTATQ.o -MD -MP -MF _fractTATQ.dep -DL_fract -DFROM_TA -DTO_TQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTAHA.o -MT _fractTAHA.o -MD -MP -MF _fractTAHA.dep -DL_fract -DFROM_TA -DTO_HA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTASA.o -MT _fractTASA.o -MD -MP -MF _fractTASA.dep -DL_fract -DFROM_TA -DTO_SA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTADA.o -MT _fractTADA.o -MD -MP -MF _fractTADA.dep -DL_fract -DFROM_TA -DTO_DA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTAUQQ.o -MT _fractTAUQQ.o -MD -MP -MF _fractTAUQQ.dep -DL_fract -DFROM_TA -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTAUHQ.o -MT _fractTAUHQ.o -MD -MP -MF _fractTAUHQ.dep -DL_fract -DFROM_TA -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTAUSQ.o -MT _fractTAUSQ.o -MD -MP -MF _fractTAUSQ.dep -DL_fract -DFROM_TA -DTO_USQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTAUDQ.o -MT _fractTAUDQ.o -MD -MP -MF _fractTAUDQ.dep -DL_fract -DFROM_TA -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTAUTQ.o -MT _fractTAUTQ.o -MD -MP -MF _fractTAUTQ.dep -DL_fract -DFROM_TA -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTAUHA.o -MT _fractTAUHA.o -MD -MP -MF _fractTAUHA.dep -DL_fract -DFROM_TA -DTO_UHA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTAUSA.o -MT _fractTAUSA.o -MD -MP -MF _fractTAUSA.dep -DL_fract -DFROM_TA -DTO_USA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTAUDA.o -MT _fractTAUDA.o -MD -MP -MF _fractTAUDA.dep -DL_fract -DFROM_TA -DTO_UDA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTAUTA.o -MT _fractTAUTA.o -MD -MP -MF _fractTAUTA.dep -DL_fract -DFROM_TA -DTO_UTA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTAQI.o -MT _fractTAQI.o -MD -MP -MF _fractTAQI.dep -DL_fract -DFROM_TA -DTO_QI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTAHI.o -MT _fractTAHI.o -MD -MP -MF _fractTAHI.dep -DL_fract -DFROM_TA -DTO_HI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTASI.o -MT _fractTASI.o -MD -MP -MF _fractTASI.dep -DL_fract -DFROM_TA -DTO_SI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTADI.o -MT _fractTADI.o -MD -MP -MF _fractTADI.dep -DL_fract -DFROM_TA -DTO_DI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTATI.o -MT _fractTATI.o -MD -MP -MF _fractTATI.dep -DL_fract -DFROM_TA -DTO_TI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTASF.o -MT _fractTASF.o -MD -MP -MF _fractTASF.dep -DL_fract -DFROM_TA -DTO_SF -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTADF.o -MT _fractTADF.o -MD -MP -MF _fractTADF.dep -DL_fract -DFROM_TA -DTO_DF -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUQQQQ.o -MT _fractUQQQQ.o -MD -MP -MF _fractUQQQQ.dep -DL_fract -DFROM_UQQ -DTO_QQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUQQHQ.o -MT _fractUQQHQ.o -MD -MP -MF _fractUQQHQ.dep -DL_fract -DFROM_UQQ -DTO_HQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUQQSQ.o -MT _fractUQQSQ.o -MD -MP -MF _fractUQQSQ.dep -DL_fract -DFROM_UQQ -DTO_SQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUQQDQ.o -MT _fractUQQDQ.o -MD -MP -MF _fractUQQDQ.dep -DL_fract -DFROM_UQQ -DTO_DQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUQQTQ.o -MT _fractUQQTQ.o -MD -MP -MF _fractUQQTQ.dep -DL_fract -DFROM_UQQ -DTO_TQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUQQHA.o -MT _fractUQQHA.o -MD -MP -MF _fractUQQHA.dep -DL_fract -DFROM_UQQ -DTO_HA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUQQSA.o -MT _fractUQQSA.o -MD -MP -MF _fractUQQSA.dep -DL_fract -DFROM_UQQ -DTO_SA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUQQDA.o -MT _fractUQQDA.o -MD -MP -MF _fractUQQDA.dep -DL_fract -DFROM_UQQ -DTO_DA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUQQTA.o -MT _fractUQQTA.o -MD -MP -MF _fractUQQTA.dep -DL_fract -DFROM_UQQ -DTO_TA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUQQUHQ.o -MT _fractUQQUHQ.o -MD -MP -MF _fractUQQUHQ.dep -DL_fract -DFROM_UQQ -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUQQUSQ.o -MT _fractUQQUSQ.o -MD -MP -MF _fractUQQUSQ.dep -DL_fract -DFROM_UQQ -DTO_USQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUQQUDQ.o -MT _fractUQQUDQ.o -MD -MP -MF _fractUQQUDQ.dep -DL_fract -DFROM_UQQ -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUQQUTQ.o -MT _fractUQQUTQ.o -MD -MP -MF _fractUQQUTQ.dep -DL_fract -DFROM_UQQ -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUQQUHA.o -MT _fractUQQUHA.o -MD -MP -MF _fractUQQUHA.dep -DL_fract -DFROM_UQQ -DTO_UHA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUQQUSA.o -MT _fractUQQUSA.o -MD -MP -MF _fractUQQUSA.dep -DL_fract -DFROM_UQQ -DTO_USA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUQQUDA.o -MT _fractUQQUDA.o -MD -MP -MF _fractUQQUDA.dep -DL_fract -DFROM_UQQ -DTO_UDA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUQQUTA.o -MT _fractUQQUTA.o -MD -MP -MF _fractUQQUTA.dep -DL_fract -DFROM_UQQ -DTO_UTA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUQQQI.o -MT _fractUQQQI.o -MD -MP -MF _fractUQQQI.dep -DL_fract -DFROM_UQQ -DTO_QI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUQQHI.o -MT _fractUQQHI.o -MD -MP -MF _fractUQQHI.dep -DL_fract -DFROM_UQQ -DTO_HI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUQQSI.o -MT _fractUQQSI.o -MD -MP -MF _fractUQQSI.dep -DL_fract -DFROM_UQQ -DTO_SI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUQQDI.o -MT _fractUQQDI.o -MD -MP -MF _fractUQQDI.dep -DL_fract -DFROM_UQQ -DTO_DI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUQQTI.o -MT _fractUQQTI.o -MD -MP -MF _fractUQQTI.dep -DL_fract -DFROM_UQQ -DTO_TI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUQQSF.o -MT _fractUQQSF.o -MD -MP -MF _fractUQQSF.dep -DL_fract -DFROM_UQQ -DTO_SF -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUQQDF.o -MT _fractUQQDF.o -MD -MP -MF _fractUQQDF.dep -DL_fract -DFROM_UQQ -DTO_DF -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUHQQQ.o -MT _fractUHQQQ.o -MD -MP -MF _fractUHQQQ.dep -DL_fract -DFROM_UHQ -DTO_QQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUHQHQ.o -MT _fractUHQHQ.o -MD -MP -MF _fractUHQHQ.dep -DL_fract -DFROM_UHQ -DTO_HQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUHQSQ.o -MT _fractUHQSQ.o -MD -MP -MF _fractUHQSQ.dep -DL_fract -DFROM_UHQ -DTO_SQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUHQDQ.o -MT _fractUHQDQ.o -MD -MP -MF _fractUHQDQ.dep -DL_fract -DFROM_UHQ -DTO_DQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUHQTQ.o -MT _fractUHQTQ.o -MD -MP -MF _fractUHQTQ.dep -DL_fract -DFROM_UHQ -DTO_TQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUHQHA.o -MT _fractUHQHA.o -MD -MP -MF _fractUHQHA.dep -DL_fract -DFROM_UHQ -DTO_HA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUHQSA.o -MT _fractUHQSA.o -MD -MP -MF _fractUHQSA.dep -DL_fract -DFROM_UHQ -DTO_SA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUHQDA.o -MT _fractUHQDA.o -MD -MP -MF _fractUHQDA.dep -DL_fract -DFROM_UHQ -DTO_DA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUHQTA.o -MT _fractUHQTA.o -MD -MP -MF _fractUHQTA.dep -DL_fract -DFROM_UHQ -DTO_TA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUHQUQQ.o -MT _fractUHQUQQ.o -MD -MP -MF _fractUHQUQQ.dep -DL_fract -DFROM_UHQ -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUHQUSQ.o -MT _fractUHQUSQ.o -MD -MP -MF _fractUHQUSQ.dep -DL_fract -DFROM_UHQ -DTO_USQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUHQUDQ.o -MT _fractUHQUDQ.o -MD -MP -MF _fractUHQUDQ.dep -DL_fract -DFROM_UHQ -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUHQUTQ.o -MT _fractUHQUTQ.o -MD -MP -MF _fractUHQUTQ.dep -DL_fract -DFROM_UHQ -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUHQUHA.o -MT _fractUHQUHA.o -MD -MP -MF _fractUHQUHA.dep -DL_fract -DFROM_UHQ -DTO_UHA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUHQUSA.o -MT _fractUHQUSA.o -MD -MP -MF _fractUHQUSA.dep -DL_fract -DFROM_UHQ -DTO_USA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUHQUDA.o -MT _fractUHQUDA.o -MD -MP -MF _fractUHQUDA.dep -DL_fract -DFROM_UHQ -DTO_UDA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUHQUTA.o -MT _fractUHQUTA.o -MD -MP -MF _fractUHQUTA.dep -DL_fract -DFROM_UHQ -DTO_UTA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUHQQI.o -MT _fractUHQQI.o -MD -MP -MF _fractUHQQI.dep -DL_fract -DFROM_UHQ -DTO_QI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUHQHI.o -MT _fractUHQHI.o -MD -MP -MF _fractUHQHI.dep -DL_fract -DFROM_UHQ -DTO_HI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUHQSI.o -MT _fractUHQSI.o -MD -MP -MF _fractUHQSI.dep -DL_fract -DFROM_UHQ -DTO_SI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUHQDI.o -MT _fractUHQDI.o -MD -MP -MF _fractUHQDI.dep -DL_fract -DFROM_UHQ -DTO_DI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUHQTI.o -MT _fractUHQTI.o -MD -MP -MF _fractUHQTI.dep -DL_fract -DFROM_UHQ -DTO_TI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUHQSF.o -MT _fractUHQSF.o -MD -MP -MF _fractUHQSF.dep -DL_fract -DFROM_UHQ -DTO_SF -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUHQDF.o -MT _fractUHQDF.o -MD -MP -MF _fractUHQDF.dep -DL_fract -DFROM_UHQ -DTO_DF -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUSQQQ.o -MT _fractUSQQQ.o -MD -MP -MF _fractUSQQQ.dep -DL_fract -DFROM_USQ -DTO_QQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUSQHQ.o -MT _fractUSQHQ.o -MD -MP -MF _fractUSQHQ.dep -DL_fract -DFROM_USQ -DTO_HQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUSQSQ.o -MT _fractUSQSQ.o -MD -MP -MF _fractUSQSQ.dep -DL_fract -DFROM_USQ -DTO_SQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUSQDQ.o -MT _fractUSQDQ.o -MD -MP -MF _fractUSQDQ.dep -DL_fract -DFROM_USQ -DTO_DQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUSQTQ.o -MT _fractUSQTQ.o -MD -MP -MF _fractUSQTQ.dep -DL_fract -DFROM_USQ -DTO_TQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUSQHA.o -MT _fractUSQHA.o -MD -MP -MF _fractUSQHA.dep -DL_fract -DFROM_USQ -DTO_HA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUSQSA.o -MT _fractUSQSA.o -MD -MP -MF _fractUSQSA.dep -DL_fract -DFROM_USQ -DTO_SA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUSQDA.o -MT _fractUSQDA.o -MD -MP -MF _fractUSQDA.dep -DL_fract -DFROM_USQ -DTO_DA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUSQTA.o -MT _fractUSQTA.o -MD -MP -MF _fractUSQTA.dep -DL_fract -DFROM_USQ -DTO_TA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUSQUQQ.o -MT _fractUSQUQQ.o -MD -MP -MF _fractUSQUQQ.dep -DL_fract -DFROM_USQ -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUSQUHQ.o -MT _fractUSQUHQ.o -MD -MP -MF _fractUSQUHQ.dep -DL_fract -DFROM_USQ -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUSQUDQ.o -MT _fractUSQUDQ.o -MD -MP -MF _fractUSQUDQ.dep -DL_fract -DFROM_USQ -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUSQUTQ.o -MT _fractUSQUTQ.o -MD -MP -MF _fractUSQUTQ.dep -DL_fract -DFROM_USQ -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUSQUHA.o -MT _fractUSQUHA.o -MD -MP -MF _fractUSQUHA.dep -DL_fract -DFROM_USQ -DTO_UHA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUSQUSA.o -MT _fractUSQUSA.o -MD -MP -MF _fractUSQUSA.dep -DL_fract -DFROM_USQ -DTO_USA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUSQUDA.o -MT _fractUSQUDA.o -MD -MP -MF _fractUSQUDA.dep -DL_fract -DFROM_USQ -DTO_UDA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUSQUTA.o -MT _fractUSQUTA.o -MD -MP -MF _fractUSQUTA.dep -DL_fract -DFROM_USQ -DTO_UTA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUSQQI.o -MT _fractUSQQI.o -MD -MP -MF _fractUSQQI.dep -DL_fract -DFROM_USQ -DTO_QI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUSQHI.o -MT _fractUSQHI.o -MD -MP -MF _fractUSQHI.dep -DL_fract -DFROM_USQ -DTO_HI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUSQSI.o -MT _fractUSQSI.o -MD -MP -MF _fractUSQSI.dep -DL_fract -DFROM_USQ -DTO_SI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUSQDI.o -MT _fractUSQDI.o -MD -MP -MF _fractUSQDI.dep -DL_fract -DFROM_USQ -DTO_DI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUSQTI.o -MT _fractUSQTI.o -MD -MP -MF _fractUSQTI.dep -DL_fract -DFROM_USQ -DTO_TI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUSQSF.o -MT _fractUSQSF.o -MD -MP -MF _fractUSQSF.dep -DL_fract -DFROM_USQ -DTO_SF -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUSQDF.o -MT _fractUSQDF.o -MD -MP -MF _fractUSQDF.dep -DL_fract -DFROM_USQ -DTO_DF -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUDQQQ.o -MT _fractUDQQQ.o -MD -MP -MF _fractUDQQQ.dep -DL_fract -DFROM_UDQ -DTO_QQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUDQHQ.o -MT _fractUDQHQ.o -MD -MP -MF _fractUDQHQ.dep -DL_fract -DFROM_UDQ -DTO_HQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUDQSQ.o -MT _fractUDQSQ.o -MD -MP -MF _fractUDQSQ.dep -DL_fract -DFROM_UDQ -DTO_SQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUDQDQ.o -MT _fractUDQDQ.o -MD -MP -MF _fractUDQDQ.dep -DL_fract -DFROM_UDQ -DTO_DQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUDQTQ.o -MT _fractUDQTQ.o -MD -MP -MF _fractUDQTQ.dep -DL_fract -DFROM_UDQ -DTO_TQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUDQHA.o -MT _fractUDQHA.o -MD -MP -MF _fractUDQHA.dep -DL_fract -DFROM_UDQ -DTO_HA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUDQSA.o -MT _fractUDQSA.o -MD -MP -MF _fractUDQSA.dep -DL_fract -DFROM_UDQ -DTO_SA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUDQDA.o -MT _fractUDQDA.o -MD -MP -MF _fractUDQDA.dep -DL_fract -DFROM_UDQ -DTO_DA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUDQTA.o -MT _fractUDQTA.o -MD -MP -MF _fractUDQTA.dep -DL_fract -DFROM_UDQ -DTO_TA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUDQUQQ.o -MT _fractUDQUQQ.o -MD -MP -MF _fractUDQUQQ.dep -DL_fract -DFROM_UDQ -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUDQUHQ.o -MT _fractUDQUHQ.o -MD -MP -MF _fractUDQUHQ.dep -DL_fract -DFROM_UDQ -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUDQUSQ.o -MT _fractUDQUSQ.o -MD -MP -MF _fractUDQUSQ.dep -DL_fract -DFROM_UDQ -DTO_USQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUDQUTQ.o -MT _fractUDQUTQ.o -MD -MP -MF _fractUDQUTQ.dep -DL_fract -DFROM_UDQ -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUDQUHA.o -MT _fractUDQUHA.o -MD -MP -MF _fractUDQUHA.dep -DL_fract -DFROM_UDQ -DTO_UHA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUDQUSA.o -MT _fractUDQUSA.o -MD -MP -MF _fractUDQUSA.dep -DL_fract -DFROM_UDQ -DTO_USA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUDQUDA.o -MT _fractUDQUDA.o -MD -MP -MF _fractUDQUDA.dep -DL_fract -DFROM_UDQ -DTO_UDA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUDQUTA.o -MT _fractUDQUTA.o -MD -MP -MF _fractUDQUTA.dep -DL_fract -DFROM_UDQ -DTO_UTA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUDQQI.o -MT _fractUDQQI.o -MD -MP -MF _fractUDQQI.dep -DL_fract -DFROM_UDQ -DTO_QI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUDQHI.o -MT _fractUDQHI.o -MD -MP -MF _fractUDQHI.dep -DL_fract -DFROM_UDQ -DTO_HI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUDQSI.o -MT _fractUDQSI.o -MD -MP -MF _fractUDQSI.dep -DL_fract -DFROM_UDQ -DTO_SI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUDQDI.o -MT _fractUDQDI.o -MD -MP -MF _fractUDQDI.dep -DL_fract -DFROM_UDQ -DTO_DI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUDQTI.o -MT _fractUDQTI.o -MD -MP -MF _fractUDQTI.dep -DL_fract -DFROM_UDQ -DTO_TI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUDQSF.o -MT _fractUDQSF.o -MD -MP -MF _fractUDQSF.dep -DL_fract -DFROM_UDQ -DTO_SF -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUDQDF.o -MT _fractUDQDF.o -MD -MP -MF _fractUDQDF.dep -DL_fract -DFROM_UDQ -DTO_DF -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUTQQQ.o -MT _fractUTQQQ.o -MD -MP -MF _fractUTQQQ.dep -DL_fract -DFROM_UTQ -DTO_QQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUTQHQ.o -MT _fractUTQHQ.o -MD -MP -MF _fractUTQHQ.dep -DL_fract -DFROM_UTQ -DTO_HQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUTQSQ.o -MT _fractUTQSQ.o -MD -MP -MF _fractUTQSQ.dep -DL_fract -DFROM_UTQ -DTO_SQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUTQDQ.o -MT _fractUTQDQ.o -MD -MP -MF _fractUTQDQ.dep -DL_fract -DFROM_UTQ -DTO_DQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUTQTQ.o -MT _fractUTQTQ.o -MD -MP -MF _fractUTQTQ.dep -DL_fract -DFROM_UTQ -DTO_TQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUTQHA.o -MT _fractUTQHA.o -MD -MP -MF _fractUTQHA.dep -DL_fract -DFROM_UTQ -DTO_HA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUTQSA.o -MT _fractUTQSA.o -MD -MP -MF _fractUTQSA.dep -DL_fract -DFROM_UTQ -DTO_SA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUTQDA.o -MT _fractUTQDA.o -MD -MP -MF _fractUTQDA.dep -DL_fract -DFROM_UTQ -DTO_DA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUTQTA.o -MT _fractUTQTA.o -MD -MP -MF _fractUTQTA.dep -DL_fract -DFROM_UTQ -DTO_TA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUTQUQQ.o -MT _fractUTQUQQ.o -MD -MP -MF _fractUTQUQQ.dep -DL_fract -DFROM_UTQ -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUTQUHQ.o -MT _fractUTQUHQ.o -MD -MP -MF _fractUTQUHQ.dep -DL_fract -DFROM_UTQ -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUTQUSQ.o -MT _fractUTQUSQ.o -MD -MP -MF _fractUTQUSQ.dep -DL_fract -DFROM_UTQ -DTO_USQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUTQUDQ.o -MT _fractUTQUDQ.o -MD -MP -MF _fractUTQUDQ.dep -DL_fract -DFROM_UTQ -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUTQUHA.o -MT _fractUTQUHA.o -MD -MP -MF _fractUTQUHA.dep -DL_fract -DFROM_UTQ -DTO_UHA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUTQUSA.o -MT _fractUTQUSA.o -MD -MP -MF _fractUTQUSA.dep -DL_fract -DFROM_UTQ -DTO_USA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUTQUDA.o -MT _fractUTQUDA.o -MD -MP -MF _fractUTQUDA.dep -DL_fract -DFROM_UTQ -DTO_UDA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUTQUTA.o -MT _fractUTQUTA.o -MD -MP -MF _fractUTQUTA.dep -DL_fract -DFROM_UTQ -DTO_UTA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUTQQI.o -MT _fractUTQQI.o -MD -MP -MF _fractUTQQI.dep -DL_fract -DFROM_UTQ -DTO_QI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUTQHI.o -MT _fractUTQHI.o -MD -MP -MF _fractUTQHI.dep -DL_fract -DFROM_UTQ -DTO_HI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUTQSI.o -MT _fractUTQSI.o -MD -MP -MF _fractUTQSI.dep -DL_fract -DFROM_UTQ -DTO_SI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUTQDI.o -MT _fractUTQDI.o -MD -MP -MF _fractUTQDI.dep -DL_fract -DFROM_UTQ -DTO_DI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUTQTI.o -MT _fractUTQTI.o -MD -MP -MF _fractUTQTI.dep -DL_fract -DFROM_UTQ -DTO_TI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUTQSF.o -MT _fractUTQSF.o -MD -MP -MF _fractUTQSF.dep -DL_fract -DFROM_UTQ -DTO_SF -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUTQDF.o -MT _fractUTQDF.o -MD -MP -MF _fractUTQDF.dep -DL_fract -DFROM_UTQ -DTO_DF -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUHAQQ.o -MT _fractUHAQQ.o -MD -MP -MF _fractUHAQQ.dep -DL_fract -DFROM_UHA -DTO_QQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUHAHQ.o -MT _fractUHAHQ.o -MD -MP -MF _fractUHAHQ.dep -DL_fract -DFROM_UHA -DTO_HQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUHASQ.o -MT _fractUHASQ.o -MD -MP -MF _fractUHASQ.dep -DL_fract -DFROM_UHA -DTO_SQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUHADQ.o -MT _fractUHADQ.o -MD -MP -MF _fractUHADQ.dep -DL_fract -DFROM_UHA -DTO_DQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUHATQ.o -MT _fractUHATQ.o -MD -MP -MF _fractUHATQ.dep -DL_fract -DFROM_UHA -DTO_TQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUHAHA.o -MT _fractUHAHA.o -MD -MP -MF _fractUHAHA.dep -DL_fract -DFROM_UHA -DTO_HA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUHASA.o -MT _fractUHASA.o -MD -MP -MF _fractUHASA.dep -DL_fract -DFROM_UHA -DTO_SA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUHADA.o -MT _fractUHADA.o -MD -MP -MF _fractUHADA.dep -DL_fract -DFROM_UHA -DTO_DA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUHATA.o -MT _fractUHATA.o -MD -MP -MF _fractUHATA.dep -DL_fract -DFROM_UHA -DTO_TA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUHAUQQ.o -MT _fractUHAUQQ.o -MD -MP -MF _fractUHAUQQ.dep -DL_fract -DFROM_UHA -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUHAUHQ.o -MT _fractUHAUHQ.o -MD -MP -MF _fractUHAUHQ.dep -DL_fract -DFROM_UHA -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUHAUSQ.o -MT _fractUHAUSQ.o -MD -MP -MF _fractUHAUSQ.dep -DL_fract -DFROM_UHA -DTO_USQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUHAUDQ.o -MT _fractUHAUDQ.o -MD -MP -MF _fractUHAUDQ.dep -DL_fract -DFROM_UHA -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUHAUTQ.o -MT _fractUHAUTQ.o -MD -MP -MF _fractUHAUTQ.dep -DL_fract -DFROM_UHA -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUHAUSA.o -MT _fractUHAUSA.o -MD -MP -MF _fractUHAUSA.dep -DL_fract -DFROM_UHA -DTO_USA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUHAUDA.o -MT _fractUHAUDA.o -MD -MP -MF _fractUHAUDA.dep -DL_fract -DFROM_UHA -DTO_UDA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUHAUTA.o -MT _fractUHAUTA.o -MD -MP -MF _fractUHAUTA.dep -DL_fract -DFROM_UHA -DTO_UTA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUHAQI.o -MT _fractUHAQI.o -MD -MP -MF _fractUHAQI.dep -DL_fract -DFROM_UHA -DTO_QI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUHAHI.o -MT _fractUHAHI.o -MD -MP -MF _fractUHAHI.dep -DL_fract -DFROM_UHA -DTO_HI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUHASI.o -MT _fractUHASI.o -MD -MP -MF _fractUHASI.dep -DL_fract -DFROM_UHA -DTO_SI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUHADI.o -MT _fractUHADI.o -MD -MP -MF _fractUHADI.dep -DL_fract -DFROM_UHA -DTO_DI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUHATI.o -MT _fractUHATI.o -MD -MP -MF _fractUHATI.dep -DL_fract -DFROM_UHA -DTO_TI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUHASF.o -MT _fractUHASF.o -MD -MP -MF _fractUHASF.dep -DL_fract -DFROM_UHA -DTO_SF -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUHADF.o -MT _fractUHADF.o -MD -MP -MF _fractUHADF.dep -DL_fract -DFROM_UHA -DTO_DF -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUSAQQ.o -MT _fractUSAQQ.o -MD -MP -MF _fractUSAQQ.dep -DL_fract -DFROM_USA -DTO_QQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUSAHQ.o -MT _fractUSAHQ.o -MD -MP -MF _fractUSAHQ.dep -DL_fract -DFROM_USA -DTO_HQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUSASQ.o -MT _fractUSASQ.o -MD -MP -MF _fractUSASQ.dep -DL_fract -DFROM_USA -DTO_SQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUSADQ.o -MT _fractUSADQ.o -MD -MP -MF _fractUSADQ.dep -DL_fract -DFROM_USA -DTO_DQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUSATQ.o -MT _fractUSATQ.o -MD -MP -MF _fractUSATQ.dep -DL_fract -DFROM_USA -DTO_TQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUSAHA.o -MT _fractUSAHA.o -MD -MP -MF _fractUSAHA.dep -DL_fract -DFROM_USA -DTO_HA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUSASA.o -MT _fractUSASA.o -MD -MP -MF _fractUSASA.dep -DL_fract -DFROM_USA -DTO_SA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUSADA.o -MT _fractUSADA.o -MD -MP -MF _fractUSADA.dep -DL_fract -DFROM_USA -DTO_DA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUSATA.o -MT _fractUSATA.o -MD -MP -MF _fractUSATA.dep -DL_fract -DFROM_USA -DTO_TA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUSAUQQ.o -MT _fractUSAUQQ.o -MD -MP -MF _fractUSAUQQ.dep -DL_fract -DFROM_USA -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUSAUHQ.o -MT _fractUSAUHQ.o -MD -MP -MF _fractUSAUHQ.dep -DL_fract -DFROM_USA -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUSAUSQ.o -MT _fractUSAUSQ.o -MD -MP -MF _fractUSAUSQ.dep -DL_fract -DFROM_USA -DTO_USQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUSAUDQ.o -MT _fractUSAUDQ.o -MD -MP -MF _fractUSAUDQ.dep -DL_fract -DFROM_USA -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUSAUTQ.o -MT _fractUSAUTQ.o -MD -MP -MF _fractUSAUTQ.dep -DL_fract -DFROM_USA -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUSAUHA.o -MT _fractUSAUHA.o -MD -MP -MF _fractUSAUHA.dep -DL_fract -DFROM_USA -DTO_UHA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUSAUDA.o -MT _fractUSAUDA.o -MD -MP -MF _fractUSAUDA.dep -DL_fract -DFROM_USA -DTO_UDA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUSAUTA.o -MT _fractUSAUTA.o -MD -MP -MF _fractUSAUTA.dep -DL_fract -DFROM_USA -DTO_UTA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUSAQI.o -MT _fractUSAQI.o -MD -MP -MF _fractUSAQI.dep -DL_fract -DFROM_USA -DTO_QI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUSAHI.o -MT _fractUSAHI.o -MD -MP -MF _fractUSAHI.dep -DL_fract -DFROM_USA -DTO_HI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUSASI.o -MT _fractUSASI.o -MD -MP -MF _fractUSASI.dep -DL_fract -DFROM_USA -DTO_SI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUSADI.o -MT _fractUSADI.o -MD -MP -MF _fractUSADI.dep -DL_fract -DFROM_USA -DTO_DI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUSATI.o -MT _fractUSATI.o -MD -MP -MF _fractUSATI.dep -DL_fract -DFROM_USA -DTO_TI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUSASF.o -MT _fractUSASF.o -MD -MP -MF _fractUSASF.dep -DL_fract -DFROM_USA -DTO_SF -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUSADF.o -MT _fractUSADF.o -MD -MP -MF _fractUSADF.dep -DL_fract -DFROM_USA -DTO_DF -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUDAQQ.o -MT _fractUDAQQ.o -MD -MP -MF _fractUDAQQ.dep -DL_fract -DFROM_UDA -DTO_QQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUDAHQ.o -MT _fractUDAHQ.o -MD -MP -MF _fractUDAHQ.dep -DL_fract -DFROM_UDA -DTO_HQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUDASQ.o -MT _fractUDASQ.o -MD -MP -MF _fractUDASQ.dep -DL_fract -DFROM_UDA -DTO_SQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUDADQ.o -MT _fractUDADQ.o -MD -MP -MF _fractUDADQ.dep -DL_fract -DFROM_UDA -DTO_DQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUDATQ.o -MT _fractUDATQ.o -MD -MP -MF _fractUDATQ.dep -DL_fract -DFROM_UDA -DTO_TQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUDAHA.o -MT _fractUDAHA.o -MD -MP -MF _fractUDAHA.dep -DL_fract -DFROM_UDA -DTO_HA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUDASA.o -MT _fractUDASA.o -MD -MP -MF _fractUDASA.dep -DL_fract -DFROM_UDA -DTO_SA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUDADA.o -MT _fractUDADA.o -MD -MP -MF _fractUDADA.dep -DL_fract -DFROM_UDA -DTO_DA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUDATA.o -MT _fractUDATA.o -MD -MP -MF _fractUDATA.dep -DL_fract -DFROM_UDA -DTO_TA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUDAUQQ.o -MT _fractUDAUQQ.o -MD -MP -MF _fractUDAUQQ.dep -DL_fract -DFROM_UDA -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUDAUHQ.o -MT _fractUDAUHQ.o -MD -MP -MF _fractUDAUHQ.dep -DL_fract -DFROM_UDA -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUDAUSQ.o -MT _fractUDAUSQ.o -MD -MP -MF _fractUDAUSQ.dep -DL_fract -DFROM_UDA -DTO_USQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUDAUDQ.o -MT _fractUDAUDQ.o -MD -MP -MF _fractUDAUDQ.dep -DL_fract -DFROM_UDA -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUDAUTQ.o -MT _fractUDAUTQ.o -MD -MP -MF _fractUDAUTQ.dep -DL_fract -DFROM_UDA -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUDAUHA.o -MT _fractUDAUHA.o -MD -MP -MF _fractUDAUHA.dep -DL_fract -DFROM_UDA -DTO_UHA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUDAUSA.o -MT _fractUDAUSA.o -MD -MP -MF _fractUDAUSA.dep -DL_fract -DFROM_UDA -DTO_USA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUDAUTA.o -MT _fractUDAUTA.o -MD -MP -MF _fractUDAUTA.dep -DL_fract -DFROM_UDA -DTO_UTA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUDAQI.o -MT _fractUDAQI.o -MD -MP -MF _fractUDAQI.dep -DL_fract -DFROM_UDA -DTO_QI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUDAHI.o -MT _fractUDAHI.o -MD -MP -MF _fractUDAHI.dep -DL_fract -DFROM_UDA -DTO_HI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUDASI.o -MT _fractUDASI.o -MD -MP -MF _fractUDASI.dep -DL_fract -DFROM_UDA -DTO_SI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUDADI.o -MT _fractUDADI.o -MD -MP -MF _fractUDADI.dep -DL_fract -DFROM_UDA -DTO_DI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUDATI.o -MT _fractUDATI.o -MD -MP -MF _fractUDATI.dep -DL_fract -DFROM_UDA -DTO_TI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUDASF.o -MT _fractUDASF.o -MD -MP -MF _fractUDASF.dep -DL_fract -DFROM_UDA -DTO_SF -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUDADF.o -MT _fractUDADF.o -MD -MP -MF _fractUDADF.dep -DL_fract -DFROM_UDA -DTO_DF -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUTAQQ.o -MT _fractUTAQQ.o -MD -MP -MF _fractUTAQQ.dep -DL_fract -DFROM_UTA -DTO_QQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUTAHQ.o -MT _fractUTAHQ.o -MD -MP -MF _fractUTAHQ.dep -DL_fract -DFROM_UTA -DTO_HQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUTASQ.o -MT _fractUTASQ.o -MD -MP -MF _fractUTASQ.dep -DL_fract -DFROM_UTA -DTO_SQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUTADQ.o -MT _fractUTADQ.o -MD -MP -MF _fractUTADQ.dep -DL_fract -DFROM_UTA -DTO_DQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUTATQ.o -MT _fractUTATQ.o -MD -MP -MF _fractUTATQ.dep -DL_fract -DFROM_UTA -DTO_TQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUTAHA.o -MT _fractUTAHA.o -MD -MP -MF _fractUTAHA.dep -DL_fract -DFROM_UTA -DTO_HA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUTASA.o -MT _fractUTASA.o -MD -MP -MF _fractUTASA.dep -DL_fract -DFROM_UTA -DTO_SA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUTADA.o -MT _fractUTADA.o -MD -MP -MF _fractUTADA.dep -DL_fract -DFROM_UTA -DTO_DA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUTATA.o -MT _fractUTATA.o -MD -MP -MF _fractUTATA.dep -DL_fract -DFROM_UTA -DTO_TA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUTAUQQ.o -MT _fractUTAUQQ.o -MD -MP -MF _fractUTAUQQ.dep -DL_fract -DFROM_UTA -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUTAUHQ.o -MT _fractUTAUHQ.o -MD -MP -MF _fractUTAUHQ.dep -DL_fract -DFROM_UTA -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUTAUSQ.o -MT _fractUTAUSQ.o -MD -MP -MF _fractUTAUSQ.dep -DL_fract -DFROM_UTA -DTO_USQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUTAUDQ.o -MT _fractUTAUDQ.o -MD -MP -MF _fractUTAUDQ.dep -DL_fract -DFROM_UTA -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUTAUTQ.o -MT _fractUTAUTQ.o -MD -MP -MF _fractUTAUTQ.dep -DL_fract -DFROM_UTA -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUTAUHA.o -MT _fractUTAUHA.o -MD -MP -MF _fractUTAUHA.dep -DL_fract -DFROM_UTA -DTO_UHA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUTAUSA.o -MT _fractUTAUSA.o -MD -MP -MF _fractUTAUSA.dep -DL_fract -DFROM_UTA -DTO_USA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUTAUDA.o -MT _fractUTAUDA.o -MD -MP -MF _fractUTAUDA.dep -DL_fract -DFROM_UTA -DTO_UDA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUTAQI.o -MT _fractUTAQI.o -MD -MP -MF _fractUTAQI.dep -DL_fract -DFROM_UTA -DTO_QI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUTAHI.o -MT _fractUTAHI.o -MD -MP -MF _fractUTAHI.dep -DL_fract -DFROM_UTA -DTO_HI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUTASI.o -MT _fractUTASI.o -MD -MP -MF _fractUTASI.dep -DL_fract -DFROM_UTA -DTO_SI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUTADI.o -MT _fractUTADI.o -MD -MP -MF _fractUTADI.dep -DL_fract -DFROM_UTA -DTO_DI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUTATI.o -MT _fractUTATI.o -MD -MP -MF _fractUTATI.dep -DL_fract -DFROM_UTA -DTO_TI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUTASF.o -MT _fractUTASF.o -MD -MP -MF _fractUTASF.dep -DL_fract -DFROM_UTA -DTO_SF -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUTADF.o -MT _fractUTADF.o -MD -MP -MF _fractUTADF.dep -DL_fract -DFROM_UTA -DTO_DF -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractQIQQ.o -MT _fractQIQQ.o -MD -MP -MF _fractQIQQ.dep -DL_fract -DFROM_QI -DTO_QQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractQIHQ.o -MT _fractQIHQ.o -MD -MP -MF _fractQIHQ.dep -DL_fract -DFROM_QI -DTO_HQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractQISQ.o -MT _fractQISQ.o -MD -MP -MF _fractQISQ.dep -DL_fract -DFROM_QI -DTO_SQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractQIDQ.o -MT _fractQIDQ.o -MD -MP -MF _fractQIDQ.dep -DL_fract -DFROM_QI -DTO_DQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractQITQ.o -MT _fractQITQ.o -MD -MP -MF _fractQITQ.dep -DL_fract -DFROM_QI -DTO_TQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractQIHA.o -MT _fractQIHA.o -MD -MP -MF _fractQIHA.dep -DL_fract -DFROM_QI -DTO_HA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractQISA.o -MT _fractQISA.o -MD -MP -MF _fractQISA.dep -DL_fract -DFROM_QI -DTO_SA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractQIDA.o -MT _fractQIDA.o -MD -MP -MF _fractQIDA.dep -DL_fract -DFROM_QI -DTO_DA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractQITA.o -MT _fractQITA.o -MD -MP -MF _fractQITA.dep -DL_fract -DFROM_QI -DTO_TA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractQIUQQ.o -MT _fractQIUQQ.o -MD -MP -MF _fractQIUQQ.dep -DL_fract -DFROM_QI -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractQIUHQ.o -MT _fractQIUHQ.o -MD -MP -MF _fractQIUHQ.dep -DL_fract -DFROM_QI -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractQIUSQ.o -MT _fractQIUSQ.o -MD -MP -MF _fractQIUSQ.dep -DL_fract -DFROM_QI -DTO_USQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractQIUDQ.o -MT _fractQIUDQ.o -MD -MP -MF _fractQIUDQ.dep -DL_fract -DFROM_QI -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractQIUTQ.o -MT _fractQIUTQ.o -MD -MP -MF _fractQIUTQ.dep -DL_fract -DFROM_QI -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractQIUHA.o -MT _fractQIUHA.o -MD -MP -MF _fractQIUHA.dep -DL_fract -DFROM_QI -DTO_UHA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractQIUSA.o -MT _fractQIUSA.o -MD -MP -MF _fractQIUSA.dep -DL_fract -DFROM_QI -DTO_USA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractQIUDA.o -MT _fractQIUDA.o -MD -MP -MF _fractQIUDA.dep -DL_fract -DFROM_QI -DTO_UDA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractQIUTA.o -MT _fractQIUTA.o -MD -MP -MF _fractQIUTA.dep -DL_fract -DFROM_QI -DTO_UTA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHIQQ.o -MT _fractHIQQ.o -MD -MP -MF _fractHIQQ.dep -DL_fract -DFROM_HI -DTO_QQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHIHQ.o -MT _fractHIHQ.o -MD -MP -MF _fractHIHQ.dep -DL_fract -DFROM_HI -DTO_HQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHISQ.o -MT _fractHISQ.o -MD -MP -MF _fractHISQ.dep -DL_fract -DFROM_HI -DTO_SQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHIDQ.o -MT _fractHIDQ.o -MD -MP -MF _fractHIDQ.dep -DL_fract -DFROM_HI -DTO_DQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHITQ.o -MT _fractHITQ.o -MD -MP -MF _fractHITQ.dep -DL_fract -DFROM_HI -DTO_TQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHIHA.o -MT _fractHIHA.o -MD -MP -MF _fractHIHA.dep -DL_fract -DFROM_HI -DTO_HA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHISA.o -MT _fractHISA.o -MD -MP -MF _fractHISA.dep -DL_fract -DFROM_HI -DTO_SA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHIDA.o -MT _fractHIDA.o -MD -MP -MF _fractHIDA.dep -DL_fract -DFROM_HI -DTO_DA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHITA.o -MT _fractHITA.o -MD -MP -MF _fractHITA.dep -DL_fract -DFROM_HI -DTO_TA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHIUQQ.o -MT _fractHIUQQ.o -MD -MP -MF _fractHIUQQ.dep -DL_fract -DFROM_HI -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHIUHQ.o -MT _fractHIUHQ.o -MD -MP -MF _fractHIUHQ.dep -DL_fract -DFROM_HI -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHIUSQ.o -MT _fractHIUSQ.o -MD -MP -MF _fractHIUSQ.dep -DL_fract -DFROM_HI -DTO_USQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHIUDQ.o -MT _fractHIUDQ.o -MD -MP -MF _fractHIUDQ.dep -DL_fract -DFROM_HI -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHIUTQ.o -MT _fractHIUTQ.o -MD -MP -MF _fractHIUTQ.dep -DL_fract -DFROM_HI -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHIUHA.o -MT _fractHIUHA.o -MD -MP -MF _fractHIUHA.dep -DL_fract -DFROM_HI -DTO_UHA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHIUSA.o -MT _fractHIUSA.o -MD -MP -MF _fractHIUSA.dep -DL_fract -DFROM_HI -DTO_USA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHIUDA.o -MT _fractHIUDA.o -MD -MP -MF _fractHIUDA.dep -DL_fract -DFROM_HI -DTO_UDA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHIUTA.o -MT _fractHIUTA.o -MD -MP -MF _fractHIUTA.dep -DL_fract -DFROM_HI -DTO_UTA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSIQQ.o -MT _fractSIQQ.o -MD -MP -MF _fractSIQQ.dep -DL_fract -DFROM_SI -DTO_QQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSIHQ.o -MT _fractSIHQ.o -MD -MP -MF _fractSIHQ.dep -DL_fract -DFROM_SI -DTO_HQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSISQ.o -MT _fractSISQ.o -MD -MP -MF _fractSISQ.dep -DL_fract -DFROM_SI -DTO_SQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSIDQ.o -MT _fractSIDQ.o -MD -MP -MF _fractSIDQ.dep -DL_fract -DFROM_SI -DTO_DQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSITQ.o -MT _fractSITQ.o -MD -MP -MF _fractSITQ.dep -DL_fract -DFROM_SI -DTO_TQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSIHA.o -MT _fractSIHA.o -MD -MP -MF _fractSIHA.dep -DL_fract -DFROM_SI -DTO_HA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSISA.o -MT _fractSISA.o -MD -MP -MF _fractSISA.dep -DL_fract -DFROM_SI -DTO_SA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSIDA.o -MT _fractSIDA.o -MD -MP -MF _fractSIDA.dep -DL_fract -DFROM_SI -DTO_DA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSITA.o -MT _fractSITA.o -MD -MP -MF _fractSITA.dep -DL_fract -DFROM_SI -DTO_TA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSIUQQ.o -MT _fractSIUQQ.o -MD -MP -MF _fractSIUQQ.dep -DL_fract -DFROM_SI -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSIUHQ.o -MT _fractSIUHQ.o -MD -MP -MF _fractSIUHQ.dep -DL_fract -DFROM_SI -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSIUSQ.o -MT _fractSIUSQ.o -MD -MP -MF _fractSIUSQ.dep -DL_fract -DFROM_SI -DTO_USQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSIUDQ.o -MT _fractSIUDQ.o -MD -MP -MF _fractSIUDQ.dep -DL_fract -DFROM_SI -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSIUTQ.o -MT _fractSIUTQ.o -MD -MP -MF _fractSIUTQ.dep -DL_fract -DFROM_SI -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSIUHA.o -MT _fractSIUHA.o -MD -MP -MF _fractSIUHA.dep -DL_fract -DFROM_SI -DTO_UHA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSIUSA.o -MT _fractSIUSA.o -MD -MP -MF _fractSIUSA.dep -DL_fract -DFROM_SI -DTO_USA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSIUDA.o -MT _fractSIUDA.o -MD -MP -MF _fractSIUDA.dep -DL_fract -DFROM_SI -DTO_UDA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSIUTA.o -MT _fractSIUTA.o -MD -MP -MF _fractSIUTA.dep -DL_fract -DFROM_SI -DTO_UTA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDIQQ.o -MT _fractDIQQ.o -MD -MP -MF _fractDIQQ.dep -DL_fract -DFROM_DI -DTO_QQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDIHQ.o -MT _fractDIHQ.o -MD -MP -MF _fractDIHQ.dep -DL_fract -DFROM_DI -DTO_HQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDISQ.o -MT _fractDISQ.o -MD -MP -MF _fractDISQ.dep -DL_fract -DFROM_DI -DTO_SQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDIDQ.o -MT _fractDIDQ.o -MD -MP -MF _fractDIDQ.dep -DL_fract -DFROM_DI -DTO_DQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDITQ.o -MT _fractDITQ.o -MD -MP -MF _fractDITQ.dep -DL_fract -DFROM_DI -DTO_TQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDIHA.o -MT _fractDIHA.o -MD -MP -MF _fractDIHA.dep -DL_fract -DFROM_DI -DTO_HA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDISA.o -MT _fractDISA.o -MD -MP -MF _fractDISA.dep -DL_fract -DFROM_DI -DTO_SA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDIDA.o -MT _fractDIDA.o -MD -MP -MF _fractDIDA.dep -DL_fract -DFROM_DI -DTO_DA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDITA.o -MT _fractDITA.o -MD -MP -MF _fractDITA.dep -DL_fract -DFROM_DI -DTO_TA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDIUQQ.o -MT _fractDIUQQ.o -MD -MP -MF _fractDIUQQ.dep -DL_fract -DFROM_DI -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDIUHQ.o -MT _fractDIUHQ.o -MD -MP -MF _fractDIUHQ.dep -DL_fract -DFROM_DI -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDIUSQ.o -MT _fractDIUSQ.o -MD -MP -MF _fractDIUSQ.dep -DL_fract -DFROM_DI -DTO_USQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDIUDQ.o -MT _fractDIUDQ.o -MD -MP -MF _fractDIUDQ.dep -DL_fract -DFROM_DI -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDIUTQ.o -MT _fractDIUTQ.o -MD -MP -MF _fractDIUTQ.dep -DL_fract -DFROM_DI -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDIUHA.o -MT _fractDIUHA.o -MD -MP -MF _fractDIUHA.dep -DL_fract -DFROM_DI -DTO_UHA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDIUSA.o -MT _fractDIUSA.o -MD -MP -MF _fractDIUSA.dep -DL_fract -DFROM_DI -DTO_USA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDIUDA.o -MT _fractDIUDA.o -MD -MP -MF _fractDIUDA.dep -DL_fract -DFROM_DI -DTO_UDA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDIUTA.o -MT _fractDIUTA.o -MD -MP -MF _fractDIUTA.dep -DL_fract -DFROM_DI -DTO_UTA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTIQQ.o -MT _fractTIQQ.o -MD -MP -MF _fractTIQQ.dep -DL_fract -DFROM_TI -DTO_QQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTIHQ.o -MT _fractTIHQ.o -MD -MP -MF _fractTIHQ.dep -DL_fract -DFROM_TI -DTO_HQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTISQ.o -MT _fractTISQ.o -MD -MP -MF _fractTISQ.dep -DL_fract -DFROM_TI -DTO_SQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTIDQ.o -MT _fractTIDQ.o -MD -MP -MF _fractTIDQ.dep -DL_fract -DFROM_TI -DTO_DQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTITQ.o -MT _fractTITQ.o -MD -MP -MF _fractTITQ.dep -DL_fract -DFROM_TI -DTO_TQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTIHA.o -MT _fractTIHA.o -MD -MP -MF _fractTIHA.dep -DL_fract -DFROM_TI -DTO_HA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTISA.o -MT _fractTISA.o -MD -MP -MF _fractTISA.dep -DL_fract -DFROM_TI -DTO_SA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTIDA.o -MT _fractTIDA.o -MD -MP -MF _fractTIDA.dep -DL_fract -DFROM_TI -DTO_DA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTITA.o -MT _fractTITA.o -MD -MP -MF _fractTITA.dep -DL_fract -DFROM_TI -DTO_TA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTIUQQ.o -MT _fractTIUQQ.o -MD -MP -MF _fractTIUQQ.dep -DL_fract -DFROM_TI -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTIUHQ.o -MT _fractTIUHQ.o -MD -MP -MF _fractTIUHQ.dep -DL_fract -DFROM_TI -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTIUSQ.o -MT _fractTIUSQ.o -MD -MP -MF _fractTIUSQ.dep -DL_fract -DFROM_TI -DTO_USQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTIUDQ.o -MT _fractTIUDQ.o -MD -MP -MF _fractTIUDQ.dep -DL_fract -DFROM_TI -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTIUTQ.o -MT _fractTIUTQ.o -MD -MP -MF _fractTIUTQ.dep -DL_fract -DFROM_TI -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTIUHA.o -MT _fractTIUHA.o -MD -MP -MF _fractTIUHA.dep -DL_fract -DFROM_TI -DTO_UHA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTIUSA.o -MT _fractTIUSA.o -MD -MP -MF _fractTIUSA.dep -DL_fract -DFROM_TI -DTO_USA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTIUDA.o -MT _fractTIUDA.o -MD -MP -MF _fractTIUDA.dep -DL_fract -DFROM_TI -DTO_UDA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTIUTA.o -MT _fractTIUTA.o -MD -MP -MF _fractTIUTA.dep -DL_fract -DFROM_TI -DTO_UTA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSFQQ.o -MT _fractSFQQ.o -MD -MP -MF _fractSFQQ.dep -DL_fract -DFROM_SF -DTO_QQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSFHQ.o -MT _fractSFHQ.o -MD -MP -MF _fractSFHQ.dep -DL_fract -DFROM_SF -DTO_HQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSFSQ.o -MT _fractSFSQ.o -MD -MP -MF _fractSFSQ.dep -DL_fract -DFROM_SF -DTO_SQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSFDQ.o -MT _fractSFDQ.o -MD -MP -MF _fractSFDQ.dep -DL_fract -DFROM_SF -DTO_DQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSFTQ.o -MT _fractSFTQ.o -MD -MP -MF _fractSFTQ.dep -DL_fract -DFROM_SF -DTO_TQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSFHA.o -MT _fractSFHA.o -MD -MP -MF _fractSFHA.dep -DL_fract -DFROM_SF -DTO_HA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSFSA.o -MT _fractSFSA.o -MD -MP -MF _fractSFSA.dep -DL_fract -DFROM_SF -DTO_SA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSFDA.o -MT _fractSFDA.o -MD -MP -MF _fractSFDA.dep -DL_fract -DFROM_SF -DTO_DA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSFTA.o -MT _fractSFTA.o -MD -MP -MF _fractSFTA.dep -DL_fract -DFROM_SF -DTO_TA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSFUQQ.o -MT _fractSFUQQ.o -MD -MP -MF _fractSFUQQ.dep -DL_fract -DFROM_SF -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSFUHQ.o -MT _fractSFUHQ.o -MD -MP -MF _fractSFUHQ.dep -DL_fract -DFROM_SF -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSFUSQ.o -MT _fractSFUSQ.o -MD -MP -MF _fractSFUSQ.dep -DL_fract -DFROM_SF -DTO_USQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSFUDQ.o -MT _fractSFUDQ.o -MD -MP -MF _fractSFUDQ.dep -DL_fract -DFROM_SF -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSFUTQ.o -MT _fractSFUTQ.o -MD -MP -MF _fractSFUTQ.dep -DL_fract -DFROM_SF -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSFUHA.o -MT _fractSFUHA.o -MD -MP -MF _fractSFUHA.dep -DL_fract -DFROM_SF -DTO_UHA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSFUSA.o -MT _fractSFUSA.o -MD -MP -MF _fractSFUSA.dep -DL_fract -DFROM_SF -DTO_USA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSFUDA.o -MT _fractSFUDA.o -MD -MP -MF _fractSFUDA.dep -DL_fract -DFROM_SF -DTO_UDA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSFUTA.o -MT _fractSFUTA.o -MD -MP -MF _fractSFUTA.dep -DL_fract -DFROM_SF -DTO_UTA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDFQQ.o -MT _fractDFQQ.o -MD -MP -MF _fractDFQQ.dep -DL_fract -DFROM_DF -DTO_QQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDFHQ.o -MT _fractDFHQ.o -MD -MP -MF _fractDFHQ.dep -DL_fract -DFROM_DF -DTO_HQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDFSQ.o -MT _fractDFSQ.o -MD -MP -MF _fractDFSQ.dep -DL_fract -DFROM_DF -DTO_SQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDFDQ.o -MT _fractDFDQ.o -MD -MP -MF _fractDFDQ.dep -DL_fract -DFROM_DF -DTO_DQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDFTQ.o -MT _fractDFTQ.o -MD -MP -MF _fractDFTQ.dep -DL_fract -DFROM_DF -DTO_TQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDFHA.o -MT _fractDFHA.o -MD -MP -MF _fractDFHA.dep -DL_fract -DFROM_DF -DTO_HA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDFSA.o -MT _fractDFSA.o -MD -MP -MF _fractDFSA.dep -DL_fract -DFROM_DF -DTO_SA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDFDA.o -MT _fractDFDA.o -MD -MP -MF _fractDFDA.dep -DL_fract -DFROM_DF -DTO_DA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDFTA.o -MT _fractDFTA.o -MD -MP -MF _fractDFTA.dep -DL_fract -DFROM_DF -DTO_TA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDFUQQ.o -MT _fractDFUQQ.o -MD -MP -MF _fractDFUQQ.dep -DL_fract -DFROM_DF -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDFUHQ.o -MT _fractDFUHQ.o -MD -MP -MF _fractDFUHQ.dep -DL_fract -DFROM_DF -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDFUSQ.o -MT _fractDFUSQ.o -MD -MP -MF _fractDFUSQ.dep -DL_fract -DFROM_DF -DTO_USQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDFUDQ.o -MT _fractDFUDQ.o -MD -MP -MF _fractDFUDQ.dep -DL_fract -DFROM_DF -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDFUTQ.o -MT _fractDFUTQ.o -MD -MP -MF _fractDFUTQ.dep -DL_fract -DFROM_DF -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDFUHA.o -MT _fractDFUHA.o -MD -MP -MF _fractDFUHA.dep -DL_fract -DFROM_DF -DTO_UHA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDFUSA.o -MT _fractDFUSA.o -MD -MP -MF _fractDFUSA.dep -DL_fract -DFROM_DF -DTO_USA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDFUDA.o -MT _fractDFUDA.o -MD -MP -MF _fractDFUDA.dep -DL_fract -DFROM_DF -DTO_UDA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDFUTA.o -MT _fractDFUTA.o -MD -MP -MF _fractDFUTA.dep -DL_fract -DFROM_DF -DTO_UTA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractQQHQ.o -MT _satfractQQHQ.o -MD -MP -MF _satfractQQHQ.dep -DL_satfract -DFROM_QQ -DTO_HQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractQQSQ.o -MT _satfractQQSQ.o -MD -MP -MF _satfractQQSQ.dep -DL_satfract -DFROM_QQ -DTO_SQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractQQDQ.o -MT _satfractQQDQ.o -MD -MP -MF _satfractQQDQ.dep -DL_satfract -DFROM_QQ -DTO_DQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractQQTQ.o -MT _satfractQQTQ.o -MD -MP -MF _satfractQQTQ.dep -DL_satfract -DFROM_QQ -DTO_TQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractQQHA.o -MT _satfractQQHA.o -MD -MP -MF _satfractQQHA.dep -DL_satfract -DFROM_QQ -DTO_HA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractQQSA.o -MT _satfractQQSA.o -MD -MP -MF _satfractQQSA.dep -DL_satfract -DFROM_QQ -DTO_SA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractQQDA.o -MT _satfractQQDA.o -MD -MP -MF _satfractQQDA.dep -DL_satfract -DFROM_QQ -DTO_DA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractQQTA.o -MT _satfractQQTA.o -MD -MP -MF _satfractQQTA.dep -DL_satfract -DFROM_QQ -DTO_TA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractQQUQQ.o -MT _satfractQQUQQ.o -MD -MP -MF _satfractQQUQQ.dep -DL_satfract -DFROM_QQ -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractQQUHQ.o -MT _satfractQQUHQ.o -MD -MP -MF _satfractQQUHQ.dep -DL_satfract -DFROM_QQ -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractQQUSQ.o -MT _satfractQQUSQ.o -MD -MP -MF _satfractQQUSQ.dep -DL_satfract -DFROM_QQ -DTO_USQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractQQUDQ.o -MT _satfractQQUDQ.o -MD -MP -MF _satfractQQUDQ.dep -DL_satfract -DFROM_QQ -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractQQUTQ.o -MT _satfractQQUTQ.o -MD -MP -MF _satfractQQUTQ.dep -DL_satfract -DFROM_QQ -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractQQUHA.o -MT _satfractQQUHA.o -MD -MP -MF _satfractQQUHA.dep -DL_satfract -DFROM_QQ -DTO_UHA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractQQUSA.o -MT _satfractQQUSA.o -MD -MP -MF _satfractQQUSA.dep -DL_satfract -DFROM_QQ -DTO_USA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractQQUDA.o -MT _satfractQQUDA.o -MD -MP -MF _satfractQQUDA.dep -DL_satfract -DFROM_QQ -DTO_UDA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractQQUTA.o -MT _satfractQQUTA.o -MD -MP -MF _satfractQQUTA.dep -DL_satfract -DFROM_QQ -DTO_UTA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractHQQQ.o -MT _satfractHQQQ.o -MD -MP -MF _satfractHQQQ.dep -DL_satfract -DFROM_HQ -DTO_QQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractHQSQ.o -MT _satfractHQSQ.o -MD -MP -MF _satfractHQSQ.dep -DL_satfract -DFROM_HQ -DTO_SQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractHQDQ.o -MT _satfractHQDQ.o -MD -MP -MF _satfractHQDQ.dep -DL_satfract -DFROM_HQ -DTO_DQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractHQTQ.o -MT _satfractHQTQ.o -MD -MP -MF _satfractHQTQ.dep -DL_satfract -DFROM_HQ -DTO_TQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractHQHA.o -MT _satfractHQHA.o -MD -MP -MF _satfractHQHA.dep -DL_satfract -DFROM_HQ -DTO_HA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractHQSA.o -MT _satfractHQSA.o -MD -MP -MF _satfractHQSA.dep -DL_satfract -DFROM_HQ -DTO_SA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractHQDA.o -MT _satfractHQDA.o -MD -MP -MF _satfractHQDA.dep -DL_satfract -DFROM_HQ -DTO_DA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractHQTA.o -MT _satfractHQTA.o -MD -MP -MF _satfractHQTA.dep -DL_satfract -DFROM_HQ -DTO_TA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractHQUQQ.o -MT _satfractHQUQQ.o -MD -MP -MF _satfractHQUQQ.dep -DL_satfract -DFROM_HQ -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractHQUHQ.o -MT _satfractHQUHQ.o -MD -MP -MF _satfractHQUHQ.dep -DL_satfract -DFROM_HQ -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractHQUSQ.o -MT _satfractHQUSQ.o -MD -MP -MF _satfractHQUSQ.dep -DL_satfract -DFROM_HQ -DTO_USQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractHQUDQ.o -MT _satfractHQUDQ.o -MD -MP -MF _satfractHQUDQ.dep -DL_satfract -DFROM_HQ -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractHQUTQ.o -MT _satfractHQUTQ.o -MD -MP -MF _satfractHQUTQ.dep -DL_satfract -DFROM_HQ -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractHQUHA.o -MT _satfractHQUHA.o -MD -MP -MF _satfractHQUHA.dep -DL_satfract -DFROM_HQ -DTO_UHA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractHQUSA.o -MT _satfractHQUSA.o -MD -MP -MF _satfractHQUSA.dep -DL_satfract -DFROM_HQ -DTO_USA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractHQUDA.o -MT _satfractHQUDA.o -MD -MP -MF _satfractHQUDA.dep -DL_satfract -DFROM_HQ -DTO_UDA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractHQUTA.o -MT _satfractHQUTA.o -MD -MP -MF _satfractHQUTA.dep -DL_satfract -DFROM_HQ -DTO_UTA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSQQQ.o -MT _satfractSQQQ.o -MD -MP -MF _satfractSQQQ.dep -DL_satfract -DFROM_SQ -DTO_QQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSQHQ.o -MT _satfractSQHQ.o -MD -MP -MF _satfractSQHQ.dep -DL_satfract -DFROM_SQ -DTO_HQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSQDQ.o -MT _satfractSQDQ.o -MD -MP -MF _satfractSQDQ.dep -DL_satfract -DFROM_SQ -DTO_DQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSQTQ.o -MT _satfractSQTQ.o -MD -MP -MF _satfractSQTQ.dep -DL_satfract -DFROM_SQ -DTO_TQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSQHA.o -MT _satfractSQHA.o -MD -MP -MF _satfractSQHA.dep -DL_satfract -DFROM_SQ -DTO_HA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSQSA.o -MT _satfractSQSA.o -MD -MP -MF _satfractSQSA.dep -DL_satfract -DFROM_SQ -DTO_SA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSQDA.o -MT _satfractSQDA.o -MD -MP -MF _satfractSQDA.dep -DL_satfract -DFROM_SQ -DTO_DA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSQTA.o -MT _satfractSQTA.o -MD -MP -MF _satfractSQTA.dep -DL_satfract -DFROM_SQ -DTO_TA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSQUQQ.o -MT _satfractSQUQQ.o -MD -MP -MF _satfractSQUQQ.dep -DL_satfract -DFROM_SQ -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSQUHQ.o -MT _satfractSQUHQ.o -MD -MP -MF _satfractSQUHQ.dep -DL_satfract -DFROM_SQ -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSQUSQ.o -MT _satfractSQUSQ.o -MD -MP -MF _satfractSQUSQ.dep -DL_satfract -DFROM_SQ -DTO_USQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSQUDQ.o -MT _satfractSQUDQ.o -MD -MP -MF _satfractSQUDQ.dep -DL_satfract -DFROM_SQ -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSQUTQ.o -MT _satfractSQUTQ.o -MD -MP -MF _satfractSQUTQ.dep -DL_satfract -DFROM_SQ -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSQUHA.o -MT _satfractSQUHA.o -MD -MP -MF _satfractSQUHA.dep -DL_satfract -DFROM_SQ -DTO_UHA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSQUSA.o -MT _satfractSQUSA.o -MD -MP -MF _satfractSQUSA.dep -DL_satfract -DFROM_SQ -DTO_USA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSQUDA.o -MT _satfractSQUDA.o -MD -MP -MF _satfractSQUDA.dep -DL_satfract -DFROM_SQ -DTO_UDA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSQUTA.o -MT _satfractSQUTA.o -MD -MP -MF _satfractSQUTA.dep -DL_satfract -DFROM_SQ -DTO_UTA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDQQQ.o -MT _satfractDQQQ.o -MD -MP -MF _satfractDQQQ.dep -DL_satfract -DFROM_DQ -DTO_QQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDQHQ.o -MT _satfractDQHQ.o -MD -MP -MF _satfractDQHQ.dep -DL_satfract -DFROM_DQ -DTO_HQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDQSQ.o -MT _satfractDQSQ.o -MD -MP -MF _satfractDQSQ.dep -DL_satfract -DFROM_DQ -DTO_SQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDQTQ.o -MT _satfractDQTQ.o -MD -MP -MF _satfractDQTQ.dep -DL_satfract -DFROM_DQ -DTO_TQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDQHA.o -MT _satfractDQHA.o -MD -MP -MF _satfractDQHA.dep -DL_satfract -DFROM_DQ -DTO_HA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDQSA.o -MT _satfractDQSA.o -MD -MP -MF _satfractDQSA.dep -DL_satfract -DFROM_DQ -DTO_SA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDQDA.o -MT _satfractDQDA.o -MD -MP -MF _satfractDQDA.dep -DL_satfract -DFROM_DQ -DTO_DA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDQTA.o -MT _satfractDQTA.o -MD -MP -MF _satfractDQTA.dep -DL_satfract -DFROM_DQ -DTO_TA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDQUQQ.o -MT _satfractDQUQQ.o -MD -MP -MF _satfractDQUQQ.dep -DL_satfract -DFROM_DQ -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDQUHQ.o -MT _satfractDQUHQ.o -MD -MP -MF _satfractDQUHQ.dep -DL_satfract -DFROM_DQ -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDQUSQ.o -MT _satfractDQUSQ.o -MD -MP -MF _satfractDQUSQ.dep -DL_satfract -DFROM_DQ -DTO_USQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDQUDQ.o -MT _satfractDQUDQ.o -MD -MP -MF _satfractDQUDQ.dep -DL_satfract -DFROM_DQ -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDQUTQ.o -MT _satfractDQUTQ.o -MD -MP -MF _satfractDQUTQ.dep -DL_satfract -DFROM_DQ -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDQUHA.o -MT _satfractDQUHA.o -MD -MP -MF _satfractDQUHA.dep -DL_satfract -DFROM_DQ -DTO_UHA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDQUSA.o -MT _satfractDQUSA.o -MD -MP -MF _satfractDQUSA.dep -DL_satfract -DFROM_DQ -DTO_USA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDQUDA.o -MT _satfractDQUDA.o -MD -MP -MF _satfractDQUDA.dep -DL_satfract -DFROM_DQ -DTO_UDA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDQUTA.o -MT _satfractDQUTA.o -MD -MP -MF _satfractDQUTA.dep -DL_satfract -DFROM_DQ -DTO_UTA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractTQQQ.o -MT _satfractTQQQ.o -MD -MP -MF _satfractTQQQ.dep -DL_satfract -DFROM_TQ -DTO_QQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractTQHQ.o -MT _satfractTQHQ.o -MD -MP -MF _satfractTQHQ.dep -DL_satfract -DFROM_TQ -DTO_HQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractTQSQ.o -MT _satfractTQSQ.o -MD -MP -MF _satfractTQSQ.dep -DL_satfract -DFROM_TQ -DTO_SQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractTQDQ.o -MT _satfractTQDQ.o -MD -MP -MF _satfractTQDQ.dep -DL_satfract -DFROM_TQ -DTO_DQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractTQHA.o -MT _satfractTQHA.o -MD -MP -MF _satfractTQHA.dep -DL_satfract -DFROM_TQ -DTO_HA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractTQSA.o -MT _satfractTQSA.o -MD -MP -MF _satfractTQSA.dep -DL_satfract -DFROM_TQ -DTO_SA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractTQDA.o -MT _satfractTQDA.o -MD -MP -MF _satfractTQDA.dep -DL_satfract -DFROM_TQ -DTO_DA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractTQTA.o -MT _satfractTQTA.o -MD -MP -MF _satfractTQTA.dep -DL_satfract -DFROM_TQ -DTO_TA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractTQUQQ.o -MT _satfractTQUQQ.o -MD -MP -MF _satfractTQUQQ.dep -DL_satfract -DFROM_TQ -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractTQUHQ.o -MT _satfractTQUHQ.o -MD -MP -MF _satfractTQUHQ.dep -DL_satfract -DFROM_TQ -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractTQUSQ.o -MT _satfractTQUSQ.o -MD -MP -MF _satfractTQUSQ.dep -DL_satfract -DFROM_TQ -DTO_USQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractTQUDQ.o -MT _satfractTQUDQ.o -MD -MP -MF _satfractTQUDQ.dep -DL_satfract -DFROM_TQ -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractTQUTQ.o -MT _satfractTQUTQ.o -MD -MP -MF _satfractTQUTQ.dep -DL_satfract -DFROM_TQ -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractTQUHA.o -MT _satfractTQUHA.o -MD -MP -MF _satfractTQUHA.dep -DL_satfract -DFROM_TQ -DTO_UHA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractTQUSA.o -MT _satfractTQUSA.o -MD -MP -MF _satfractTQUSA.dep -DL_satfract -DFROM_TQ -DTO_USA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractTQUDA.o -MT _satfractTQUDA.o -MD -MP -MF _satfractTQUDA.dep -DL_satfract -DFROM_TQ -DTO_UDA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractTQUTA.o -MT _satfractTQUTA.o -MD -MP -MF _satfractTQUTA.dep -DL_satfract -DFROM_TQ -DTO_UTA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractHAQQ.o -MT _satfractHAQQ.o -MD -MP -MF _satfractHAQQ.dep -DL_satfract -DFROM_HA -DTO_QQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractHAHQ.o -MT _satfractHAHQ.o -MD -MP -MF _satfractHAHQ.dep -DL_satfract -DFROM_HA -DTO_HQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractHASQ.o -MT _satfractHASQ.o -MD -MP -MF _satfractHASQ.dep -DL_satfract -DFROM_HA -DTO_SQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractHADQ.o -MT _satfractHADQ.o -MD -MP -MF _satfractHADQ.dep -DL_satfract -DFROM_HA -DTO_DQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractHATQ.o -MT _satfractHATQ.o -MD -MP -MF _satfractHATQ.dep -DL_satfract -DFROM_HA -DTO_TQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractHASA.o -MT _satfractHASA.o -MD -MP -MF _satfractHASA.dep -DL_satfract -DFROM_HA -DTO_SA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractHADA.o -MT _satfractHADA.o -MD -MP -MF _satfractHADA.dep -DL_satfract -DFROM_HA -DTO_DA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractHATA.o -MT _satfractHATA.o -MD -MP -MF _satfractHATA.dep -DL_satfract -DFROM_HA -DTO_TA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractHAUQQ.o -MT _satfractHAUQQ.o -MD -MP -MF _satfractHAUQQ.dep -DL_satfract -DFROM_HA -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractHAUHQ.o -MT _satfractHAUHQ.o -MD -MP -MF _satfractHAUHQ.dep -DL_satfract -DFROM_HA -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractHAUSQ.o -MT _satfractHAUSQ.o -MD -MP -MF _satfractHAUSQ.dep -DL_satfract -DFROM_HA -DTO_USQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractHAUDQ.o -MT _satfractHAUDQ.o -MD -MP -MF _satfractHAUDQ.dep -DL_satfract -DFROM_HA -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractHAUTQ.o -MT _satfractHAUTQ.o -MD -MP -MF _satfractHAUTQ.dep -DL_satfract -DFROM_HA -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractHAUHA.o -MT _satfractHAUHA.o -MD -MP -MF _satfractHAUHA.dep -DL_satfract -DFROM_HA -DTO_UHA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractHAUSA.o -MT _satfractHAUSA.o -MD -MP -MF _satfractHAUSA.dep -DL_satfract -DFROM_HA -DTO_USA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractHAUDA.o -MT _satfractHAUDA.o -MD -MP -MF _satfractHAUDA.dep -DL_satfract -DFROM_HA -DTO_UDA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractHAUTA.o -MT _satfractHAUTA.o -MD -MP -MF _satfractHAUTA.dep -DL_satfract -DFROM_HA -DTO_UTA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSAQQ.o -MT _satfractSAQQ.o -MD -MP -MF _satfractSAQQ.dep -DL_satfract -DFROM_SA -DTO_QQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSAHQ.o -MT _satfractSAHQ.o -MD -MP -MF _satfractSAHQ.dep -DL_satfract -DFROM_SA -DTO_HQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSASQ.o -MT _satfractSASQ.o -MD -MP -MF _satfractSASQ.dep -DL_satfract -DFROM_SA -DTO_SQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSADQ.o -MT _satfractSADQ.o -MD -MP -MF _satfractSADQ.dep -DL_satfract -DFROM_SA -DTO_DQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSATQ.o -MT _satfractSATQ.o -MD -MP -MF _satfractSATQ.dep -DL_satfract -DFROM_SA -DTO_TQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSAHA.o -MT _satfractSAHA.o -MD -MP -MF _satfractSAHA.dep -DL_satfract -DFROM_SA -DTO_HA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSADA.o -MT _satfractSADA.o -MD -MP -MF _satfractSADA.dep -DL_satfract -DFROM_SA -DTO_DA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSATA.o -MT _satfractSATA.o -MD -MP -MF _satfractSATA.dep -DL_satfract -DFROM_SA -DTO_TA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSAUQQ.o -MT _satfractSAUQQ.o -MD -MP -MF _satfractSAUQQ.dep -DL_satfract -DFROM_SA -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSAUHQ.o -MT _satfractSAUHQ.o -MD -MP -MF _satfractSAUHQ.dep -DL_satfract -DFROM_SA -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSAUSQ.o -MT _satfractSAUSQ.o -MD -MP -MF _satfractSAUSQ.dep -DL_satfract -DFROM_SA -DTO_USQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSAUDQ.o -MT _satfractSAUDQ.o -MD -MP -MF _satfractSAUDQ.dep -DL_satfract -DFROM_SA -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSAUTQ.o -MT _satfractSAUTQ.o -MD -MP -MF _satfractSAUTQ.dep -DL_satfract -DFROM_SA -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSAUHA.o -MT _satfractSAUHA.o -MD -MP -MF _satfractSAUHA.dep -DL_satfract -DFROM_SA -DTO_UHA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSAUSA.o -MT _satfractSAUSA.o -MD -MP -MF _satfractSAUSA.dep -DL_satfract -DFROM_SA -DTO_USA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSAUDA.o -MT _satfractSAUDA.o -MD -MP -MF _satfractSAUDA.dep -DL_satfract -DFROM_SA -DTO_UDA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSAUTA.o -MT _satfractSAUTA.o -MD -MP -MF _satfractSAUTA.dep -DL_satfract -DFROM_SA -DTO_UTA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDAQQ.o -MT _satfractDAQQ.o -MD -MP -MF _satfractDAQQ.dep -DL_satfract -DFROM_DA -DTO_QQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDAHQ.o -MT _satfractDAHQ.o -MD -MP -MF _satfractDAHQ.dep -DL_satfract -DFROM_DA -DTO_HQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDASQ.o -MT _satfractDASQ.o -MD -MP -MF _satfractDASQ.dep -DL_satfract -DFROM_DA -DTO_SQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDADQ.o -MT _satfractDADQ.o -MD -MP -MF _satfractDADQ.dep -DL_satfract -DFROM_DA -DTO_DQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDATQ.o -MT _satfractDATQ.o -MD -MP -MF _satfractDATQ.dep -DL_satfract -DFROM_DA -DTO_TQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDAHA.o -MT _satfractDAHA.o -MD -MP -MF _satfractDAHA.dep -DL_satfract -DFROM_DA -DTO_HA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDASA.o -MT _satfractDASA.o -MD -MP -MF _satfractDASA.dep -DL_satfract -DFROM_DA -DTO_SA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDATA.o -MT _satfractDATA.o -MD -MP -MF _satfractDATA.dep -DL_satfract -DFROM_DA -DTO_TA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDAUQQ.o -MT _satfractDAUQQ.o -MD -MP -MF _satfractDAUQQ.dep -DL_satfract -DFROM_DA -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDAUHQ.o -MT _satfractDAUHQ.o -MD -MP -MF _satfractDAUHQ.dep -DL_satfract -DFROM_DA -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDAUSQ.o -MT _satfractDAUSQ.o -MD -MP -MF _satfractDAUSQ.dep -DL_satfract -DFROM_DA -DTO_USQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDAUDQ.o -MT _satfractDAUDQ.o -MD -MP -MF _satfractDAUDQ.dep -DL_satfract -DFROM_DA -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDAUTQ.o -MT _satfractDAUTQ.o -MD -MP -MF _satfractDAUTQ.dep -DL_satfract -DFROM_DA -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDAUHA.o -MT _satfractDAUHA.o -MD -MP -MF _satfractDAUHA.dep -DL_satfract -DFROM_DA -DTO_UHA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDAUSA.o -MT _satfractDAUSA.o -MD -MP -MF _satfractDAUSA.dep -DL_satfract -DFROM_DA -DTO_USA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDAUDA.o -MT _satfractDAUDA.o -MD -MP -MF _satfractDAUDA.dep -DL_satfract -DFROM_DA -DTO_UDA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDAUTA.o -MT _satfractDAUTA.o -MD -MP -MF _satfractDAUTA.dep -DL_satfract -DFROM_DA -DTO_UTA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractTAQQ.o -MT _satfractTAQQ.o -MD -MP -MF _satfractTAQQ.dep -DL_satfract -DFROM_TA -DTO_QQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractTAHQ.o -MT _satfractTAHQ.o -MD -MP -MF _satfractTAHQ.dep -DL_satfract -DFROM_TA -DTO_HQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractTASQ.o -MT _satfractTASQ.o -MD -MP -MF _satfractTASQ.dep -DL_satfract -DFROM_TA -DTO_SQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractTADQ.o -MT _satfractTADQ.o -MD -MP -MF _satfractTADQ.dep -DL_satfract -DFROM_TA -DTO_DQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractTATQ.o -MT _satfractTATQ.o -MD -MP -MF _satfractTATQ.dep -DL_satfract -DFROM_TA -DTO_TQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractTAHA.o -MT _satfractTAHA.o -MD -MP -MF _satfractTAHA.dep -DL_satfract -DFROM_TA -DTO_HA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractTASA.o -MT _satfractTASA.o -MD -MP -MF _satfractTASA.dep -DL_satfract -DFROM_TA -DTO_SA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractTADA.o -MT _satfractTADA.o -MD -MP -MF _satfractTADA.dep -DL_satfract -DFROM_TA -DTO_DA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractTAUQQ.o -MT _satfractTAUQQ.o -MD -MP -MF _satfractTAUQQ.dep -DL_satfract -DFROM_TA -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractTAUHQ.o -MT _satfractTAUHQ.o -MD -MP -MF _satfractTAUHQ.dep -DL_satfract -DFROM_TA -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractTAUSQ.o -MT _satfractTAUSQ.o -MD -MP -MF _satfractTAUSQ.dep -DL_satfract -DFROM_TA -DTO_USQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractTAUDQ.o -MT _satfractTAUDQ.o -MD -MP -MF _satfractTAUDQ.dep -DL_satfract -DFROM_TA -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractTAUTQ.o -MT _satfractTAUTQ.o -MD -MP -MF _satfractTAUTQ.dep -DL_satfract -DFROM_TA -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractTAUHA.o -MT _satfractTAUHA.o -MD -MP -MF _satfractTAUHA.dep -DL_satfract -DFROM_TA -DTO_UHA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractTAUSA.o -MT _satfractTAUSA.o -MD -MP -MF _satfractTAUSA.dep -DL_satfract -DFROM_TA -DTO_USA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractTAUDA.o -MT _satfractTAUDA.o -MD -MP -MF _satfractTAUDA.dep -DL_satfract -DFROM_TA -DTO_UDA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractTAUTA.o -MT _satfractTAUTA.o -MD -MP -MF _satfractTAUTA.dep -DL_satfract -DFROM_TA -DTO_UTA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUQQQQ.o -MT _satfractUQQQQ.o -MD -MP -MF _satfractUQQQQ.dep -DL_satfract -DFROM_UQQ -DTO_QQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUQQHQ.o -MT _satfractUQQHQ.o -MD -MP -MF _satfractUQQHQ.dep -DL_satfract -DFROM_UQQ -DTO_HQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUQQSQ.o -MT _satfractUQQSQ.o -MD -MP -MF _satfractUQQSQ.dep -DL_satfract -DFROM_UQQ -DTO_SQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUQQDQ.o -MT _satfractUQQDQ.o -MD -MP -MF _satfractUQQDQ.dep -DL_satfract -DFROM_UQQ -DTO_DQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUQQTQ.o -MT _satfractUQQTQ.o -MD -MP -MF _satfractUQQTQ.dep -DL_satfract -DFROM_UQQ -DTO_TQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUQQHA.o -MT _satfractUQQHA.o -MD -MP -MF _satfractUQQHA.dep -DL_satfract -DFROM_UQQ -DTO_HA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUQQSA.o -MT _satfractUQQSA.o -MD -MP -MF _satfractUQQSA.dep -DL_satfract -DFROM_UQQ -DTO_SA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUQQDA.o -MT _satfractUQQDA.o -MD -MP -MF _satfractUQQDA.dep -DL_satfract -DFROM_UQQ -DTO_DA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUQQTA.o -MT _satfractUQQTA.o -MD -MP -MF _satfractUQQTA.dep -DL_satfract -DFROM_UQQ -DTO_TA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUQQUHQ.o -MT _satfractUQQUHQ.o -MD -MP -MF _satfractUQQUHQ.dep -DL_satfract -DFROM_UQQ -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUQQUSQ.o -MT _satfractUQQUSQ.o -MD -MP -MF _satfractUQQUSQ.dep -DL_satfract -DFROM_UQQ -DTO_USQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUQQUDQ.o -MT _satfractUQQUDQ.o -MD -MP -MF _satfractUQQUDQ.dep -DL_satfract -DFROM_UQQ -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUQQUTQ.o -MT _satfractUQQUTQ.o -MD -MP -MF _satfractUQQUTQ.dep -DL_satfract -DFROM_UQQ -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUQQUHA.o -MT _satfractUQQUHA.o -MD -MP -MF _satfractUQQUHA.dep -DL_satfract -DFROM_UQQ -DTO_UHA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUQQUSA.o -MT _satfractUQQUSA.o -MD -MP -MF _satfractUQQUSA.dep -DL_satfract -DFROM_UQQ -DTO_USA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUQQUDA.o -MT _satfractUQQUDA.o -MD -MP -MF _satfractUQQUDA.dep -DL_satfract -DFROM_UQQ -DTO_UDA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUQQUTA.o -MT _satfractUQQUTA.o -MD -MP -MF _satfractUQQUTA.dep -DL_satfract -DFROM_UQQ -DTO_UTA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUHQQQ.o -MT _satfractUHQQQ.o -MD -MP -MF _satfractUHQQQ.dep -DL_satfract -DFROM_UHQ -DTO_QQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUHQHQ.o -MT _satfractUHQHQ.o -MD -MP -MF _satfractUHQHQ.dep -DL_satfract -DFROM_UHQ -DTO_HQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUHQSQ.o -MT _satfractUHQSQ.o -MD -MP -MF _satfractUHQSQ.dep -DL_satfract -DFROM_UHQ -DTO_SQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUHQDQ.o -MT _satfractUHQDQ.o -MD -MP -MF _satfractUHQDQ.dep -DL_satfract -DFROM_UHQ -DTO_DQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUHQTQ.o -MT _satfractUHQTQ.o -MD -MP -MF _satfractUHQTQ.dep -DL_satfract -DFROM_UHQ -DTO_TQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUHQHA.o -MT _satfractUHQHA.o -MD -MP -MF _satfractUHQHA.dep -DL_satfract -DFROM_UHQ -DTO_HA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUHQSA.o -MT _satfractUHQSA.o -MD -MP -MF _satfractUHQSA.dep -DL_satfract -DFROM_UHQ -DTO_SA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUHQDA.o -MT _satfractUHQDA.o -MD -MP -MF _satfractUHQDA.dep -DL_satfract -DFROM_UHQ -DTO_DA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUHQTA.o -MT _satfractUHQTA.o -MD -MP -MF _satfractUHQTA.dep -DL_satfract -DFROM_UHQ -DTO_TA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUHQUQQ.o -MT _satfractUHQUQQ.o -MD -MP -MF _satfractUHQUQQ.dep -DL_satfract -DFROM_UHQ -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUHQUSQ.o -MT _satfractUHQUSQ.o -MD -MP -MF _satfractUHQUSQ.dep -DL_satfract -DFROM_UHQ -DTO_USQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUHQUDQ.o -MT _satfractUHQUDQ.o -MD -MP -MF _satfractUHQUDQ.dep -DL_satfract -DFROM_UHQ -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUHQUTQ.o -MT _satfractUHQUTQ.o -MD -MP -MF _satfractUHQUTQ.dep -DL_satfract -DFROM_UHQ -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUHQUHA.o -MT _satfractUHQUHA.o -MD -MP -MF _satfractUHQUHA.dep -DL_satfract -DFROM_UHQ -DTO_UHA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUHQUSA.o -MT _satfractUHQUSA.o -MD -MP -MF _satfractUHQUSA.dep -DL_satfract -DFROM_UHQ -DTO_USA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUHQUDA.o -MT _satfractUHQUDA.o -MD -MP -MF _satfractUHQUDA.dep -DL_satfract -DFROM_UHQ -DTO_UDA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUHQUTA.o -MT _satfractUHQUTA.o -MD -MP -MF _satfractUHQUTA.dep -DL_satfract -DFROM_UHQ -DTO_UTA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUSQQQ.o -MT _satfractUSQQQ.o -MD -MP -MF _satfractUSQQQ.dep -DL_satfract -DFROM_USQ -DTO_QQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUSQHQ.o -MT _satfractUSQHQ.o -MD -MP -MF _satfractUSQHQ.dep -DL_satfract -DFROM_USQ -DTO_HQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUSQSQ.o -MT _satfractUSQSQ.o -MD -MP -MF _satfractUSQSQ.dep -DL_satfract -DFROM_USQ -DTO_SQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUSQDQ.o -MT _satfractUSQDQ.o -MD -MP -MF _satfractUSQDQ.dep -DL_satfract -DFROM_USQ -DTO_DQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUSQTQ.o -MT _satfractUSQTQ.o -MD -MP -MF _satfractUSQTQ.dep -DL_satfract -DFROM_USQ -DTO_TQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUSQHA.o -MT _satfractUSQHA.o -MD -MP -MF _satfractUSQHA.dep -DL_satfract -DFROM_USQ -DTO_HA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUSQSA.o -MT _satfractUSQSA.o -MD -MP -MF _satfractUSQSA.dep -DL_satfract -DFROM_USQ -DTO_SA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUSQDA.o -MT _satfractUSQDA.o -MD -MP -MF _satfractUSQDA.dep -DL_satfract -DFROM_USQ -DTO_DA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUSQTA.o -MT _satfractUSQTA.o -MD -MP -MF _satfractUSQTA.dep -DL_satfract -DFROM_USQ -DTO_TA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUSQUQQ.o -MT _satfractUSQUQQ.o -MD -MP -MF _satfractUSQUQQ.dep -DL_satfract -DFROM_USQ -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUSQUHQ.o -MT _satfractUSQUHQ.o -MD -MP -MF _satfractUSQUHQ.dep -DL_satfract -DFROM_USQ -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUSQUDQ.o -MT _satfractUSQUDQ.o -MD -MP -MF _satfractUSQUDQ.dep -DL_satfract -DFROM_USQ -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUSQUTQ.o -MT _satfractUSQUTQ.o -MD -MP -MF _satfractUSQUTQ.dep -DL_satfract -DFROM_USQ -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUSQUHA.o -MT _satfractUSQUHA.o -MD -MP -MF _satfractUSQUHA.dep -DL_satfract -DFROM_USQ -DTO_UHA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUSQUSA.o -MT _satfractUSQUSA.o -MD -MP -MF _satfractUSQUSA.dep -DL_satfract -DFROM_USQ -DTO_USA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUSQUDA.o -MT _satfractUSQUDA.o -MD -MP -MF _satfractUSQUDA.dep -DL_satfract -DFROM_USQ -DTO_UDA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUSQUTA.o -MT _satfractUSQUTA.o -MD -MP -MF _satfractUSQUTA.dep -DL_satfract -DFROM_USQ -DTO_UTA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUDQQQ.o -MT _satfractUDQQQ.o -MD -MP -MF _satfractUDQQQ.dep -DL_satfract -DFROM_UDQ -DTO_QQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUDQHQ.o -MT _satfractUDQHQ.o -MD -MP -MF _satfractUDQHQ.dep -DL_satfract -DFROM_UDQ -DTO_HQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUDQSQ.o -MT _satfractUDQSQ.o -MD -MP -MF _satfractUDQSQ.dep -DL_satfract -DFROM_UDQ -DTO_SQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUDQDQ.o -MT _satfractUDQDQ.o -MD -MP -MF _satfractUDQDQ.dep -DL_satfract -DFROM_UDQ -DTO_DQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUDQTQ.o -MT _satfractUDQTQ.o -MD -MP -MF _satfractUDQTQ.dep -DL_satfract -DFROM_UDQ -DTO_TQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUDQHA.o -MT _satfractUDQHA.o -MD -MP -MF _satfractUDQHA.dep -DL_satfract -DFROM_UDQ -DTO_HA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUDQSA.o -MT _satfractUDQSA.o -MD -MP -MF _satfractUDQSA.dep -DL_satfract -DFROM_UDQ -DTO_SA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUDQDA.o -MT _satfractUDQDA.o -MD -MP -MF _satfractUDQDA.dep -DL_satfract -DFROM_UDQ -DTO_DA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUDQTA.o -MT _satfractUDQTA.o -MD -MP -MF _satfractUDQTA.dep -DL_satfract -DFROM_UDQ -DTO_TA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUDQUQQ.o -MT _satfractUDQUQQ.o -MD -MP -MF _satfractUDQUQQ.dep -DL_satfract -DFROM_UDQ -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUDQUHQ.o -MT _satfractUDQUHQ.o -MD -MP -MF _satfractUDQUHQ.dep -DL_satfract -DFROM_UDQ -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUDQUSQ.o -MT _satfractUDQUSQ.o -MD -MP -MF _satfractUDQUSQ.dep -DL_satfract -DFROM_UDQ -DTO_USQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUDQUTQ.o -MT _satfractUDQUTQ.o -MD -MP -MF _satfractUDQUTQ.dep -DL_satfract -DFROM_UDQ -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUDQUHA.o -MT _satfractUDQUHA.o -MD -MP -MF _satfractUDQUHA.dep -DL_satfract -DFROM_UDQ -DTO_UHA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUDQUSA.o -MT _satfractUDQUSA.o -MD -MP -MF _satfractUDQUSA.dep -DL_satfract -DFROM_UDQ -DTO_USA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUDQUDA.o -MT _satfractUDQUDA.o -MD -MP -MF _satfractUDQUDA.dep -DL_satfract -DFROM_UDQ -DTO_UDA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUDQUTA.o -MT _satfractUDQUTA.o -MD -MP -MF _satfractUDQUTA.dep -DL_satfract -DFROM_UDQ -DTO_UTA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUTQQQ.o -MT _satfractUTQQQ.o -MD -MP -MF _satfractUTQQQ.dep -DL_satfract -DFROM_UTQ -DTO_QQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUTQHQ.o -MT _satfractUTQHQ.o -MD -MP -MF _satfractUTQHQ.dep -DL_satfract -DFROM_UTQ -DTO_HQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUTQSQ.o -MT _satfractUTQSQ.o -MD -MP -MF _satfractUTQSQ.dep -DL_satfract -DFROM_UTQ -DTO_SQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUTQDQ.o -MT _satfractUTQDQ.o -MD -MP -MF _satfractUTQDQ.dep -DL_satfract -DFROM_UTQ -DTO_DQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUTQTQ.o -MT _satfractUTQTQ.o -MD -MP -MF _satfractUTQTQ.dep -DL_satfract -DFROM_UTQ -DTO_TQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUTQHA.o -MT _satfractUTQHA.o -MD -MP -MF _satfractUTQHA.dep -DL_satfract -DFROM_UTQ -DTO_HA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUTQSA.o -MT _satfractUTQSA.o -MD -MP -MF _satfractUTQSA.dep -DL_satfract -DFROM_UTQ -DTO_SA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUTQDA.o -MT _satfractUTQDA.o -MD -MP -MF _satfractUTQDA.dep -DL_satfract -DFROM_UTQ -DTO_DA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUTQTA.o -MT _satfractUTQTA.o -MD -MP -MF _satfractUTQTA.dep -DL_satfract -DFROM_UTQ -DTO_TA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUTQUQQ.o -MT _satfractUTQUQQ.o -MD -MP -MF _satfractUTQUQQ.dep -DL_satfract -DFROM_UTQ -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUTQUHQ.o -MT _satfractUTQUHQ.o -MD -MP -MF _satfractUTQUHQ.dep -DL_satfract -DFROM_UTQ -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUTQUSQ.o -MT _satfractUTQUSQ.o -MD -MP -MF _satfractUTQUSQ.dep -DL_satfract -DFROM_UTQ -DTO_USQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUTQUDQ.o -MT _satfractUTQUDQ.o -MD -MP -MF _satfractUTQUDQ.dep -DL_satfract -DFROM_UTQ -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUTQUHA.o -MT _satfractUTQUHA.o -MD -MP -MF _satfractUTQUHA.dep -DL_satfract -DFROM_UTQ -DTO_UHA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUTQUSA.o -MT _satfractUTQUSA.o -MD -MP -MF _satfractUTQUSA.dep -DL_satfract -DFROM_UTQ -DTO_USA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUTQUDA.o -MT _satfractUTQUDA.o -MD -MP -MF _satfractUTQUDA.dep -DL_satfract -DFROM_UTQ -DTO_UDA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUTQUTA.o -MT _satfractUTQUTA.o -MD -MP -MF _satfractUTQUTA.dep -DL_satfract -DFROM_UTQ -DTO_UTA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUHAQQ.o -MT _satfractUHAQQ.o -MD -MP -MF _satfractUHAQQ.dep -DL_satfract -DFROM_UHA -DTO_QQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUHAHQ.o -MT _satfractUHAHQ.o -MD -MP -MF _satfractUHAHQ.dep -DL_satfract -DFROM_UHA -DTO_HQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUHASQ.o -MT _satfractUHASQ.o -MD -MP -MF _satfractUHASQ.dep -DL_satfract -DFROM_UHA -DTO_SQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUHADQ.o -MT _satfractUHADQ.o -MD -MP -MF _satfractUHADQ.dep -DL_satfract -DFROM_UHA -DTO_DQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUHATQ.o -MT _satfractUHATQ.o -MD -MP -MF _satfractUHATQ.dep -DL_satfract -DFROM_UHA -DTO_TQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUHAHA.o -MT _satfractUHAHA.o -MD -MP -MF _satfractUHAHA.dep -DL_satfract -DFROM_UHA -DTO_HA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUHASA.o -MT _satfractUHASA.o -MD -MP -MF _satfractUHASA.dep -DL_satfract -DFROM_UHA -DTO_SA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUHADA.o -MT _satfractUHADA.o -MD -MP -MF _satfractUHADA.dep -DL_satfract -DFROM_UHA -DTO_DA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUHATA.o -MT _satfractUHATA.o -MD -MP -MF _satfractUHATA.dep -DL_satfract -DFROM_UHA -DTO_TA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUHAUQQ.o -MT _satfractUHAUQQ.o -MD -MP -MF _satfractUHAUQQ.dep -DL_satfract -DFROM_UHA -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUHAUHQ.o -MT _satfractUHAUHQ.o -MD -MP -MF _satfractUHAUHQ.dep -DL_satfract -DFROM_UHA -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUHAUSQ.o -MT _satfractUHAUSQ.o -MD -MP -MF _satfractUHAUSQ.dep -DL_satfract -DFROM_UHA -DTO_USQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUHAUDQ.o -MT _satfractUHAUDQ.o -MD -MP -MF _satfractUHAUDQ.dep -DL_satfract -DFROM_UHA -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUHAUTQ.o -MT _satfractUHAUTQ.o -MD -MP -MF _satfractUHAUTQ.dep -DL_satfract -DFROM_UHA -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUHAUSA.o -MT _satfractUHAUSA.o -MD -MP -MF _satfractUHAUSA.dep -DL_satfract -DFROM_UHA -DTO_USA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUHAUDA.o -MT _satfractUHAUDA.o -MD -MP -MF _satfractUHAUDA.dep -DL_satfract -DFROM_UHA -DTO_UDA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUHAUTA.o -MT _satfractUHAUTA.o -MD -MP -MF _satfractUHAUTA.dep -DL_satfract -DFROM_UHA -DTO_UTA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUSAQQ.o -MT _satfractUSAQQ.o -MD -MP -MF _satfractUSAQQ.dep -DL_satfract -DFROM_USA -DTO_QQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUSAHQ.o -MT _satfractUSAHQ.o -MD -MP -MF _satfractUSAHQ.dep -DL_satfract -DFROM_USA -DTO_HQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUSASQ.o -MT _satfractUSASQ.o -MD -MP -MF _satfractUSASQ.dep -DL_satfract -DFROM_USA -DTO_SQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUSADQ.o -MT _satfractUSADQ.o -MD -MP -MF _satfractUSADQ.dep -DL_satfract -DFROM_USA -DTO_DQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUSATQ.o -MT _satfractUSATQ.o -MD -MP -MF _satfractUSATQ.dep -DL_satfract -DFROM_USA -DTO_TQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUSAHA.o -MT _satfractUSAHA.o -MD -MP -MF _satfractUSAHA.dep -DL_satfract -DFROM_USA -DTO_HA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUSASA.o -MT _satfractUSASA.o -MD -MP -MF _satfractUSASA.dep -DL_satfract -DFROM_USA -DTO_SA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUSADA.o -MT _satfractUSADA.o -MD -MP -MF _satfractUSADA.dep -DL_satfract -DFROM_USA -DTO_DA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUSATA.o -MT _satfractUSATA.o -MD -MP -MF _satfractUSATA.dep -DL_satfract -DFROM_USA -DTO_TA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUSAUQQ.o -MT _satfractUSAUQQ.o -MD -MP -MF _satfractUSAUQQ.dep -DL_satfract -DFROM_USA -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUSAUHQ.o -MT _satfractUSAUHQ.o -MD -MP -MF _satfractUSAUHQ.dep -DL_satfract -DFROM_USA -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUSAUSQ.o -MT _satfractUSAUSQ.o -MD -MP -MF _satfractUSAUSQ.dep -DL_satfract -DFROM_USA -DTO_USQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUSAUDQ.o -MT _satfractUSAUDQ.o -MD -MP -MF _satfractUSAUDQ.dep -DL_satfract -DFROM_USA -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUSAUTQ.o -MT _satfractUSAUTQ.o -MD -MP -MF _satfractUSAUTQ.dep -DL_satfract -DFROM_USA -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUSAUHA.o -MT _satfractUSAUHA.o -MD -MP -MF _satfractUSAUHA.dep -DL_satfract -DFROM_USA -DTO_UHA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUSAUDA.o -MT _satfractUSAUDA.o -MD -MP -MF _satfractUSAUDA.dep -DL_satfract -DFROM_USA -DTO_UDA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUSAUTA.o -MT _satfractUSAUTA.o -MD -MP -MF _satfractUSAUTA.dep -DL_satfract -DFROM_USA -DTO_UTA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUDAQQ.o -MT _satfractUDAQQ.o -MD -MP -MF _satfractUDAQQ.dep -DL_satfract -DFROM_UDA -DTO_QQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUDAHQ.o -MT _satfractUDAHQ.o -MD -MP -MF _satfractUDAHQ.dep -DL_satfract -DFROM_UDA -DTO_HQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUDASQ.o -MT _satfractUDASQ.o -MD -MP -MF _satfractUDASQ.dep -DL_satfract -DFROM_UDA -DTO_SQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUDADQ.o -MT _satfractUDADQ.o -MD -MP -MF _satfractUDADQ.dep -DL_satfract -DFROM_UDA -DTO_DQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUDATQ.o -MT _satfractUDATQ.o -MD -MP -MF _satfractUDATQ.dep -DL_satfract -DFROM_UDA -DTO_TQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUDAHA.o -MT _satfractUDAHA.o -MD -MP -MF _satfractUDAHA.dep -DL_satfract -DFROM_UDA -DTO_HA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUDASA.o -MT _satfractUDASA.o -MD -MP -MF _satfractUDASA.dep -DL_satfract -DFROM_UDA -DTO_SA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUDADA.o -MT _satfractUDADA.o -MD -MP -MF _satfractUDADA.dep -DL_satfract -DFROM_UDA -DTO_DA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUDATA.o -MT _satfractUDATA.o -MD -MP -MF _satfractUDATA.dep -DL_satfract -DFROM_UDA -DTO_TA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUDAUQQ.o -MT _satfractUDAUQQ.o -MD -MP -MF _satfractUDAUQQ.dep -DL_satfract -DFROM_UDA -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUDAUHQ.o -MT _satfractUDAUHQ.o -MD -MP -MF _satfractUDAUHQ.dep -DL_satfract -DFROM_UDA -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUDAUSQ.o -MT _satfractUDAUSQ.o -MD -MP -MF _satfractUDAUSQ.dep -DL_satfract -DFROM_UDA -DTO_USQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUDAUDQ.o -MT _satfractUDAUDQ.o -MD -MP -MF _satfractUDAUDQ.dep -DL_satfract -DFROM_UDA -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUDAUTQ.o -MT _satfractUDAUTQ.o -MD -MP -MF _satfractUDAUTQ.dep -DL_satfract -DFROM_UDA -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUDAUHA.o -MT _satfractUDAUHA.o -MD -MP -MF _satfractUDAUHA.dep -DL_satfract -DFROM_UDA -DTO_UHA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUDAUSA.o -MT _satfractUDAUSA.o -MD -MP -MF _satfractUDAUSA.dep -DL_satfract -DFROM_UDA -DTO_USA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUDAUTA.o -MT _satfractUDAUTA.o -MD -MP -MF _satfractUDAUTA.dep -DL_satfract -DFROM_UDA -DTO_UTA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUTAQQ.o -MT _satfractUTAQQ.o -MD -MP -MF _satfractUTAQQ.dep -DL_satfract -DFROM_UTA -DTO_QQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUTAHQ.o -MT _satfractUTAHQ.o -MD -MP -MF _satfractUTAHQ.dep -DL_satfract -DFROM_UTA -DTO_HQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUTASQ.o -MT _satfractUTASQ.o -MD -MP -MF _satfractUTASQ.dep -DL_satfract -DFROM_UTA -DTO_SQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUTADQ.o -MT _satfractUTADQ.o -MD -MP -MF _satfractUTADQ.dep -DL_satfract -DFROM_UTA -DTO_DQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUTATQ.o -MT _satfractUTATQ.o -MD -MP -MF _satfractUTATQ.dep -DL_satfract -DFROM_UTA -DTO_TQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUTAHA.o -MT _satfractUTAHA.o -MD -MP -MF _satfractUTAHA.dep -DL_satfract -DFROM_UTA -DTO_HA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUTASA.o -MT _satfractUTASA.o -MD -MP -MF _satfractUTASA.dep -DL_satfract -DFROM_UTA -DTO_SA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUTADA.o -MT _satfractUTADA.o -MD -MP -MF _satfractUTADA.dep -DL_satfract -DFROM_UTA -DTO_DA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUTATA.o -MT _satfractUTATA.o -MD -MP -MF _satfractUTATA.dep -DL_satfract -DFROM_UTA -DTO_TA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUTAUQQ.o -MT _satfractUTAUQQ.o -MD -MP -MF _satfractUTAUQQ.dep -DL_satfract -DFROM_UTA -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUTAUHQ.o -MT _satfractUTAUHQ.o -MD -MP -MF _satfractUTAUHQ.dep -DL_satfract -DFROM_UTA -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUTAUSQ.o -MT _satfractUTAUSQ.o -MD -MP -MF _satfractUTAUSQ.dep -DL_satfract -DFROM_UTA -DTO_USQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUTAUDQ.o -MT _satfractUTAUDQ.o -MD -MP -MF _satfractUTAUDQ.dep -DL_satfract -DFROM_UTA -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUTAUTQ.o -MT _satfractUTAUTQ.o -MD -MP -MF _satfractUTAUTQ.dep -DL_satfract -DFROM_UTA -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUTAUHA.o -MT _satfractUTAUHA.o -MD -MP -MF _satfractUTAUHA.dep -DL_satfract -DFROM_UTA -DTO_UHA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUTAUSA.o -MT _satfractUTAUSA.o -MD -MP -MF _satfractUTAUSA.dep -DL_satfract -DFROM_UTA -DTO_USA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUTAUDA.o -MT _satfractUTAUDA.o -MD -MP -MF _satfractUTAUDA.dep -DL_satfract -DFROM_UTA -DTO_UDA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractQIQQ.o -MT _satfractQIQQ.o -MD -MP -MF _satfractQIQQ.dep -DL_satfract -DFROM_QI -DTO_QQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractQIHQ.o -MT _satfractQIHQ.o -MD -MP -MF _satfractQIHQ.dep -DL_satfract -DFROM_QI -DTO_HQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractQISQ.o -MT _satfractQISQ.o -MD -MP -MF _satfractQISQ.dep -DL_satfract -DFROM_QI -DTO_SQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractQIDQ.o -MT _satfractQIDQ.o -MD -MP -MF _satfractQIDQ.dep -DL_satfract -DFROM_QI -DTO_DQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractQITQ.o -MT _satfractQITQ.o -MD -MP -MF _satfractQITQ.dep -DL_satfract -DFROM_QI -DTO_TQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractQIHA.o -MT _satfractQIHA.o -MD -MP -MF _satfractQIHA.dep -DL_satfract -DFROM_QI -DTO_HA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractQISA.o -MT _satfractQISA.o -MD -MP -MF _satfractQISA.dep -DL_satfract -DFROM_QI -DTO_SA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractQIDA.o -MT _satfractQIDA.o -MD -MP -MF _satfractQIDA.dep -DL_satfract -DFROM_QI -DTO_DA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractQITA.o -MT _satfractQITA.o -MD -MP -MF _satfractQITA.dep -DL_satfract -DFROM_QI -DTO_TA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractQIUQQ.o -MT _satfractQIUQQ.o -MD -MP -MF _satfractQIUQQ.dep -DL_satfract -DFROM_QI -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractQIUHQ.o -MT _satfractQIUHQ.o -MD -MP -MF _satfractQIUHQ.dep -DL_satfract -DFROM_QI -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractQIUSQ.o -MT _satfractQIUSQ.o -MD -MP -MF _satfractQIUSQ.dep -DL_satfract -DFROM_QI -DTO_USQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractQIUDQ.o -MT _satfractQIUDQ.o -MD -MP -MF _satfractQIUDQ.dep -DL_satfract -DFROM_QI -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractQIUTQ.o -MT _satfractQIUTQ.o -MD -MP -MF _satfractQIUTQ.dep -DL_satfract -DFROM_QI -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractQIUHA.o -MT _satfractQIUHA.o -MD -MP -MF _satfractQIUHA.dep -DL_satfract -DFROM_QI -DTO_UHA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractQIUSA.o -MT _satfractQIUSA.o -MD -MP -MF _satfractQIUSA.dep -DL_satfract -DFROM_QI -DTO_USA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractQIUDA.o -MT _satfractQIUDA.o -MD -MP -MF _satfractQIUDA.dep -DL_satfract -DFROM_QI -DTO_UDA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractQIUTA.o -MT _satfractQIUTA.o -MD -MP -MF _satfractQIUTA.dep -DL_satfract -DFROM_QI -DTO_UTA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractHIQQ.o -MT _satfractHIQQ.o -MD -MP -MF _satfractHIQQ.dep -DL_satfract -DFROM_HI -DTO_QQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractHIHQ.o -MT _satfractHIHQ.o -MD -MP -MF _satfractHIHQ.dep -DL_satfract -DFROM_HI -DTO_HQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractHISQ.o -MT _satfractHISQ.o -MD -MP -MF _satfractHISQ.dep -DL_satfract -DFROM_HI -DTO_SQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractHIDQ.o -MT _satfractHIDQ.o -MD -MP -MF _satfractHIDQ.dep -DL_satfract -DFROM_HI -DTO_DQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractHITQ.o -MT _satfractHITQ.o -MD -MP -MF _satfractHITQ.dep -DL_satfract -DFROM_HI -DTO_TQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractHIHA.o -MT _satfractHIHA.o -MD -MP -MF _satfractHIHA.dep -DL_satfract -DFROM_HI -DTO_HA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractHISA.o -MT _satfractHISA.o -MD -MP -MF _satfractHISA.dep -DL_satfract -DFROM_HI -DTO_SA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractHIDA.o -MT _satfractHIDA.o -MD -MP -MF _satfractHIDA.dep -DL_satfract -DFROM_HI -DTO_DA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractHITA.o -MT _satfractHITA.o -MD -MP -MF _satfractHITA.dep -DL_satfract -DFROM_HI -DTO_TA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractHIUQQ.o -MT _satfractHIUQQ.o -MD -MP -MF _satfractHIUQQ.dep -DL_satfract -DFROM_HI -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractHIUHQ.o -MT _satfractHIUHQ.o -MD -MP -MF _satfractHIUHQ.dep -DL_satfract -DFROM_HI -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractHIUSQ.o -MT _satfractHIUSQ.o -MD -MP -MF _satfractHIUSQ.dep -DL_satfract -DFROM_HI -DTO_USQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractHIUDQ.o -MT _satfractHIUDQ.o -MD -MP -MF _satfractHIUDQ.dep -DL_satfract -DFROM_HI -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractHIUTQ.o -MT _satfractHIUTQ.o -MD -MP -MF _satfractHIUTQ.dep -DL_satfract -DFROM_HI -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractHIUHA.o -MT _satfractHIUHA.o -MD -MP -MF _satfractHIUHA.dep -DL_satfract -DFROM_HI -DTO_UHA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractHIUSA.o -MT _satfractHIUSA.o -MD -MP -MF _satfractHIUSA.dep -DL_satfract -DFROM_HI -DTO_USA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractHIUDA.o -MT _satfractHIUDA.o -MD -MP -MF _satfractHIUDA.dep -DL_satfract -DFROM_HI -DTO_UDA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractHIUTA.o -MT _satfractHIUTA.o -MD -MP -MF _satfractHIUTA.dep -DL_satfract -DFROM_HI -DTO_UTA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSIQQ.o -MT _satfractSIQQ.o -MD -MP -MF _satfractSIQQ.dep -DL_satfract -DFROM_SI -DTO_QQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSIHQ.o -MT _satfractSIHQ.o -MD -MP -MF _satfractSIHQ.dep -DL_satfract -DFROM_SI -DTO_HQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSISQ.o -MT _satfractSISQ.o -MD -MP -MF _satfractSISQ.dep -DL_satfract -DFROM_SI -DTO_SQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSIDQ.o -MT _satfractSIDQ.o -MD -MP -MF _satfractSIDQ.dep -DL_satfract -DFROM_SI -DTO_DQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSITQ.o -MT _satfractSITQ.o -MD -MP -MF _satfractSITQ.dep -DL_satfract -DFROM_SI -DTO_TQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSIHA.o -MT _satfractSIHA.o -MD -MP -MF _satfractSIHA.dep -DL_satfract -DFROM_SI -DTO_HA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSISA.o -MT _satfractSISA.o -MD -MP -MF _satfractSISA.dep -DL_satfract -DFROM_SI -DTO_SA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSIDA.o -MT _satfractSIDA.o -MD -MP -MF _satfractSIDA.dep -DL_satfract -DFROM_SI -DTO_DA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSITA.o -MT _satfractSITA.o -MD -MP -MF _satfractSITA.dep -DL_satfract -DFROM_SI -DTO_TA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSIUQQ.o -MT _satfractSIUQQ.o -MD -MP -MF _satfractSIUQQ.dep -DL_satfract -DFROM_SI -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSIUHQ.o -MT _satfractSIUHQ.o -MD -MP -MF _satfractSIUHQ.dep -DL_satfract -DFROM_SI -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSIUSQ.o -MT _satfractSIUSQ.o -MD -MP -MF _satfractSIUSQ.dep -DL_satfract -DFROM_SI -DTO_USQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSIUDQ.o -MT _satfractSIUDQ.o -MD -MP -MF _satfractSIUDQ.dep -DL_satfract -DFROM_SI -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSIUTQ.o -MT _satfractSIUTQ.o -MD -MP -MF _satfractSIUTQ.dep -DL_satfract -DFROM_SI -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSIUHA.o -MT _satfractSIUHA.o -MD -MP -MF _satfractSIUHA.dep -DL_satfract -DFROM_SI -DTO_UHA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSIUSA.o -MT _satfractSIUSA.o -MD -MP -MF _satfractSIUSA.dep -DL_satfract -DFROM_SI -DTO_USA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSIUDA.o -MT _satfractSIUDA.o -MD -MP -MF _satfractSIUDA.dep -DL_satfract -DFROM_SI -DTO_UDA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSIUTA.o -MT _satfractSIUTA.o -MD -MP -MF _satfractSIUTA.dep -DL_satfract -DFROM_SI -DTO_UTA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDIQQ.o -MT _satfractDIQQ.o -MD -MP -MF _satfractDIQQ.dep -DL_satfract -DFROM_DI -DTO_QQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDIHQ.o -MT _satfractDIHQ.o -MD -MP -MF _satfractDIHQ.dep -DL_satfract -DFROM_DI -DTO_HQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDISQ.o -MT _satfractDISQ.o -MD -MP -MF _satfractDISQ.dep -DL_satfract -DFROM_DI -DTO_SQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDIDQ.o -MT _satfractDIDQ.o -MD -MP -MF _satfractDIDQ.dep -DL_satfract -DFROM_DI -DTO_DQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDITQ.o -MT _satfractDITQ.o -MD -MP -MF _satfractDITQ.dep -DL_satfract -DFROM_DI -DTO_TQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDIHA.o -MT _satfractDIHA.o -MD -MP -MF _satfractDIHA.dep -DL_satfract -DFROM_DI -DTO_HA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDISA.o -MT _satfractDISA.o -MD -MP -MF _satfractDISA.dep -DL_satfract -DFROM_DI -DTO_SA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDIDA.o -MT _satfractDIDA.o -MD -MP -MF _satfractDIDA.dep -DL_satfract -DFROM_DI -DTO_DA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDITA.o -MT _satfractDITA.o -MD -MP -MF _satfractDITA.dep -DL_satfract -DFROM_DI -DTO_TA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDIUQQ.o -MT _satfractDIUQQ.o -MD -MP -MF _satfractDIUQQ.dep -DL_satfract -DFROM_DI -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDIUHQ.o -MT _satfractDIUHQ.o -MD -MP -MF _satfractDIUHQ.dep -DL_satfract -DFROM_DI -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDIUSQ.o -MT _satfractDIUSQ.o -MD -MP -MF _satfractDIUSQ.dep -DL_satfract -DFROM_DI -DTO_USQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDIUDQ.o -MT _satfractDIUDQ.o -MD -MP -MF _satfractDIUDQ.dep -DL_satfract -DFROM_DI -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDIUTQ.o -MT _satfractDIUTQ.o -MD -MP -MF _satfractDIUTQ.dep -DL_satfract -DFROM_DI -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDIUHA.o -MT _satfractDIUHA.o -MD -MP -MF _satfractDIUHA.dep -DL_satfract -DFROM_DI -DTO_UHA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDIUSA.o -MT _satfractDIUSA.o -MD -MP -MF _satfractDIUSA.dep -DL_satfract -DFROM_DI -DTO_USA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDIUDA.o -MT _satfractDIUDA.o -MD -MP -MF _satfractDIUDA.dep -DL_satfract -DFROM_DI -DTO_UDA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDIUTA.o -MT _satfractDIUTA.o -MD -MP -MF _satfractDIUTA.dep -DL_satfract -DFROM_DI -DTO_UTA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractTIQQ.o -MT _satfractTIQQ.o -MD -MP -MF _satfractTIQQ.dep -DL_satfract -DFROM_TI -DTO_QQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractTIHQ.o -MT _satfractTIHQ.o -MD -MP -MF _satfractTIHQ.dep -DL_satfract -DFROM_TI -DTO_HQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractTISQ.o -MT _satfractTISQ.o -MD -MP -MF _satfractTISQ.dep -DL_satfract -DFROM_TI -DTO_SQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractTIDQ.o -MT _satfractTIDQ.o -MD -MP -MF _satfractTIDQ.dep -DL_satfract -DFROM_TI -DTO_DQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractTITQ.o -MT _satfractTITQ.o -MD -MP -MF _satfractTITQ.dep -DL_satfract -DFROM_TI -DTO_TQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractTIHA.o -MT _satfractTIHA.o -MD -MP -MF _satfractTIHA.dep -DL_satfract -DFROM_TI -DTO_HA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractTISA.o -MT _satfractTISA.o -MD -MP -MF _satfractTISA.dep -DL_satfract -DFROM_TI -DTO_SA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractTIDA.o -MT _satfractTIDA.o -MD -MP -MF _satfractTIDA.dep -DL_satfract -DFROM_TI -DTO_DA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractTITA.o -MT _satfractTITA.o -MD -MP -MF _satfractTITA.dep -DL_satfract -DFROM_TI -DTO_TA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractTIUQQ.o -MT _satfractTIUQQ.o -MD -MP -MF _satfractTIUQQ.dep -DL_satfract -DFROM_TI -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractTIUHQ.o -MT _satfractTIUHQ.o -MD -MP -MF _satfractTIUHQ.dep -DL_satfract -DFROM_TI -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractTIUSQ.o -MT _satfractTIUSQ.o -MD -MP -MF _satfractTIUSQ.dep -DL_satfract -DFROM_TI -DTO_USQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractTIUDQ.o -MT _satfractTIUDQ.o -MD -MP -MF _satfractTIUDQ.dep -DL_satfract -DFROM_TI -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractTIUTQ.o -MT _satfractTIUTQ.o -MD -MP -MF _satfractTIUTQ.dep -DL_satfract -DFROM_TI -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractTIUHA.o -MT _satfractTIUHA.o -MD -MP -MF _satfractTIUHA.dep -DL_satfract -DFROM_TI -DTO_UHA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractTIUSA.o -MT _satfractTIUSA.o -MD -MP -MF _satfractTIUSA.dep -DL_satfract -DFROM_TI -DTO_USA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractTIUDA.o -MT _satfractTIUDA.o -MD -MP -MF _satfractTIUDA.dep -DL_satfract -DFROM_TI -DTO_UDA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractTIUTA.o -MT _satfractTIUTA.o -MD -MP -MF _satfractTIUTA.dep -DL_satfract -DFROM_TI -DTO_UTA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSFQQ.o -MT _satfractSFQQ.o -MD -MP -MF _satfractSFQQ.dep -DL_satfract -DFROM_SF -DTO_QQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSFHQ.o -MT _satfractSFHQ.o -MD -MP -MF _satfractSFHQ.dep -DL_satfract -DFROM_SF -DTO_HQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSFSQ.o -MT _satfractSFSQ.o -MD -MP -MF _satfractSFSQ.dep -DL_satfract -DFROM_SF -DTO_SQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSFDQ.o -MT _satfractSFDQ.o -MD -MP -MF _satfractSFDQ.dep -DL_satfract -DFROM_SF -DTO_DQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSFTQ.o -MT _satfractSFTQ.o -MD -MP -MF _satfractSFTQ.dep -DL_satfract -DFROM_SF -DTO_TQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSFHA.o -MT _satfractSFHA.o -MD -MP -MF _satfractSFHA.dep -DL_satfract -DFROM_SF -DTO_HA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSFSA.o -MT _satfractSFSA.o -MD -MP -MF _satfractSFSA.dep -DL_satfract -DFROM_SF -DTO_SA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSFDA.o -MT _satfractSFDA.o -MD -MP -MF _satfractSFDA.dep -DL_satfract -DFROM_SF -DTO_DA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSFTA.o -MT _satfractSFTA.o -MD -MP -MF _satfractSFTA.dep -DL_satfract -DFROM_SF -DTO_TA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSFUQQ.o -MT _satfractSFUQQ.o -MD -MP -MF _satfractSFUQQ.dep -DL_satfract -DFROM_SF -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSFUHQ.o -MT _satfractSFUHQ.o -MD -MP -MF _satfractSFUHQ.dep -DL_satfract -DFROM_SF -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSFUSQ.o -MT _satfractSFUSQ.o -MD -MP -MF _satfractSFUSQ.dep -DL_satfract -DFROM_SF -DTO_USQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSFUDQ.o -MT _satfractSFUDQ.o -MD -MP -MF _satfractSFUDQ.dep -DL_satfract -DFROM_SF -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSFUTQ.o -MT _satfractSFUTQ.o -MD -MP -MF _satfractSFUTQ.dep -DL_satfract -DFROM_SF -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSFUHA.o -MT _satfractSFUHA.o -MD -MP -MF _satfractSFUHA.dep -DL_satfract -DFROM_SF -DTO_UHA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSFUSA.o -MT _satfractSFUSA.o -MD -MP -MF _satfractSFUSA.dep -DL_satfract -DFROM_SF -DTO_USA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSFUDA.o -MT _satfractSFUDA.o -MD -MP -MF _satfractSFUDA.dep -DL_satfract -DFROM_SF -DTO_UDA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSFUTA.o -MT _satfractSFUTA.o -MD -MP -MF _satfractSFUTA.dep -DL_satfract -DFROM_SF -DTO_UTA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDFQQ.o -MT _satfractDFQQ.o -MD -MP -MF _satfractDFQQ.dep -DL_satfract -DFROM_DF -DTO_QQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDFHQ.o -MT _satfractDFHQ.o -MD -MP -MF _satfractDFHQ.dep -DL_satfract -DFROM_DF -DTO_HQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDFSQ.o -MT _satfractDFSQ.o -MD -MP -MF _satfractDFSQ.dep -DL_satfract -DFROM_DF -DTO_SQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDFDQ.o -MT _satfractDFDQ.o -MD -MP -MF _satfractDFDQ.dep -DL_satfract -DFROM_DF -DTO_DQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDFTQ.o -MT _satfractDFTQ.o -MD -MP -MF _satfractDFTQ.dep -DL_satfract -DFROM_DF -DTO_TQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDFHA.o -MT _satfractDFHA.o -MD -MP -MF _satfractDFHA.dep -DL_satfract -DFROM_DF -DTO_HA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDFSA.o -MT _satfractDFSA.o -MD -MP -MF _satfractDFSA.dep -DL_satfract -DFROM_DF -DTO_SA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDFDA.o -MT _satfractDFDA.o -MD -MP -MF _satfractDFDA.dep -DL_satfract -DFROM_DF -DTO_DA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDFTA.o -MT _satfractDFTA.o -MD -MP -MF _satfractDFTA.dep -DL_satfract -DFROM_DF -DTO_TA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDFUQQ.o -MT _satfractDFUQQ.o -MD -MP -MF _satfractDFUQQ.dep -DL_satfract -DFROM_DF -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDFUHQ.o -MT _satfractDFUHQ.o -MD -MP -MF _satfractDFUHQ.dep -DL_satfract -DFROM_DF -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDFUSQ.o -MT _satfractDFUSQ.o -MD -MP -MF _satfractDFUSQ.dep -DL_satfract -DFROM_DF -DTO_USQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDFUDQ.o -MT _satfractDFUDQ.o -MD -MP -MF _satfractDFUDQ.dep -DL_satfract -DFROM_DF -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDFUTQ.o -MT _satfractDFUTQ.o -MD -MP -MF _satfractDFUTQ.dep -DL_satfract -DFROM_DF -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDFUHA.o -MT _satfractDFUHA.o -MD -MP -MF _satfractDFUHA.dep -DL_satfract -DFROM_DF -DTO_UHA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDFUSA.o -MT _satfractDFUSA.o -MD -MP -MF _satfractDFUSA.dep -DL_satfract -DFROM_DF -DTO_USA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDFUDA.o -MT _satfractDFUDA.o -MD -MP -MF _satfractDFUDA.dep -DL_satfract -DFROM_DF -DTO_UDA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDFUTA.o -MT _satfractDFUTA.o -MD -MP -MF _satfractDFUTA.dep -DL_satfract -DFROM_DF -DTO_UTA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsQQUQI.o -MT _fractunsQQUQI.o -MD -MP -MF _fractunsQQUQI.dep -DL_fractuns -DFROM_QQ -DTO_UQI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsQQUHI.o -MT _fractunsQQUHI.o -MD -MP -MF _fractunsQQUHI.dep -DL_fractuns -DFROM_QQ -DTO_UHI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsQQUSI.o -MT _fractunsQQUSI.o -MD -MP -MF _fractunsQQUSI.dep -DL_fractuns -DFROM_QQ -DTO_USI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsQQUDI.o -MT _fractunsQQUDI.o -MD -MP -MF _fractunsQQUDI.dep -DL_fractuns -DFROM_QQ -DTO_UDI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsQQUTI.o -MT _fractunsQQUTI.o -MD -MP -MF _fractunsQQUTI.dep -DL_fractuns -DFROM_QQ -DTO_UTI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsHQUQI.o -MT _fractunsHQUQI.o -MD -MP -MF _fractunsHQUQI.dep -DL_fractuns -DFROM_HQ -DTO_UQI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsHQUHI.o -MT _fractunsHQUHI.o -MD -MP -MF _fractunsHQUHI.dep -DL_fractuns -DFROM_HQ -DTO_UHI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsHQUSI.o -MT _fractunsHQUSI.o -MD -MP -MF _fractunsHQUSI.dep -DL_fractuns -DFROM_HQ -DTO_USI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsHQUDI.o -MT _fractunsHQUDI.o -MD -MP -MF _fractunsHQUDI.dep -DL_fractuns -DFROM_HQ -DTO_UDI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsHQUTI.o -MT _fractunsHQUTI.o -MD -MP -MF _fractunsHQUTI.dep -DL_fractuns -DFROM_HQ -DTO_UTI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsSQUQI.o -MT _fractunsSQUQI.o -MD -MP -MF _fractunsSQUQI.dep -DL_fractuns -DFROM_SQ -DTO_UQI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsSQUHI.o -MT _fractunsSQUHI.o -MD -MP -MF _fractunsSQUHI.dep -DL_fractuns -DFROM_SQ -DTO_UHI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsSQUSI.o -MT _fractunsSQUSI.o -MD -MP -MF _fractunsSQUSI.dep -DL_fractuns -DFROM_SQ -DTO_USI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsSQUDI.o -MT _fractunsSQUDI.o -MD -MP -MF _fractunsSQUDI.dep -DL_fractuns -DFROM_SQ -DTO_UDI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsSQUTI.o -MT _fractunsSQUTI.o -MD -MP -MF _fractunsSQUTI.dep -DL_fractuns -DFROM_SQ -DTO_UTI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsDQUQI.o -MT _fractunsDQUQI.o -MD -MP -MF _fractunsDQUQI.dep -DL_fractuns -DFROM_DQ -DTO_UQI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsDQUHI.o -MT _fractunsDQUHI.o -MD -MP -MF _fractunsDQUHI.dep -DL_fractuns -DFROM_DQ -DTO_UHI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsDQUSI.o -MT _fractunsDQUSI.o -MD -MP -MF _fractunsDQUSI.dep -DL_fractuns -DFROM_DQ -DTO_USI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsDQUDI.o -MT _fractunsDQUDI.o -MD -MP -MF _fractunsDQUDI.dep -DL_fractuns -DFROM_DQ -DTO_UDI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsDQUTI.o -MT _fractunsDQUTI.o -MD -MP -MF _fractunsDQUTI.dep -DL_fractuns -DFROM_DQ -DTO_UTI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsTQUQI.o -MT _fractunsTQUQI.o -MD -MP -MF _fractunsTQUQI.dep -DL_fractuns -DFROM_TQ -DTO_UQI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsTQUHI.o -MT _fractunsTQUHI.o -MD -MP -MF _fractunsTQUHI.dep -DL_fractuns -DFROM_TQ -DTO_UHI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsTQUSI.o -MT _fractunsTQUSI.o -MD -MP -MF _fractunsTQUSI.dep -DL_fractuns -DFROM_TQ -DTO_USI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsTQUDI.o -MT _fractunsTQUDI.o -MD -MP -MF _fractunsTQUDI.dep -DL_fractuns -DFROM_TQ -DTO_UDI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsTQUTI.o -MT _fractunsTQUTI.o -MD -MP -MF _fractunsTQUTI.dep -DL_fractuns -DFROM_TQ -DTO_UTI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsHAUQI.o -MT _fractunsHAUQI.o -MD -MP -MF _fractunsHAUQI.dep -DL_fractuns -DFROM_HA -DTO_UQI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsHAUHI.o -MT _fractunsHAUHI.o -MD -MP -MF _fractunsHAUHI.dep -DL_fractuns -DFROM_HA -DTO_UHI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsHAUSI.o -MT _fractunsHAUSI.o -MD -MP -MF _fractunsHAUSI.dep -DL_fractuns -DFROM_HA -DTO_USI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsHAUDI.o -MT _fractunsHAUDI.o -MD -MP -MF _fractunsHAUDI.dep -DL_fractuns -DFROM_HA -DTO_UDI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsHAUTI.o -MT _fractunsHAUTI.o -MD -MP -MF _fractunsHAUTI.dep -DL_fractuns -DFROM_HA -DTO_UTI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsSAUQI.o -MT _fractunsSAUQI.o -MD -MP -MF _fractunsSAUQI.dep -DL_fractuns -DFROM_SA -DTO_UQI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsSAUHI.o -MT _fractunsSAUHI.o -MD -MP -MF _fractunsSAUHI.dep -DL_fractuns -DFROM_SA -DTO_UHI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsSAUSI.o -MT _fractunsSAUSI.o -MD -MP -MF _fractunsSAUSI.dep -DL_fractuns -DFROM_SA -DTO_USI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsSAUDI.o -MT _fractunsSAUDI.o -MD -MP -MF _fractunsSAUDI.dep -DL_fractuns -DFROM_SA -DTO_UDI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsSAUTI.o -MT _fractunsSAUTI.o -MD -MP -MF _fractunsSAUTI.dep -DL_fractuns -DFROM_SA -DTO_UTI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsDAUQI.o -MT _fractunsDAUQI.o -MD -MP -MF _fractunsDAUQI.dep -DL_fractuns -DFROM_DA -DTO_UQI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsDAUHI.o -MT _fractunsDAUHI.o -MD -MP -MF _fractunsDAUHI.dep -DL_fractuns -DFROM_DA -DTO_UHI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsDAUSI.o -MT _fractunsDAUSI.o -MD -MP -MF _fractunsDAUSI.dep -DL_fractuns -DFROM_DA -DTO_USI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsDAUDI.o -MT _fractunsDAUDI.o -MD -MP -MF _fractunsDAUDI.dep -DL_fractuns -DFROM_DA -DTO_UDI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsDAUTI.o -MT _fractunsDAUTI.o -MD -MP -MF _fractunsDAUTI.dep -DL_fractuns -DFROM_DA -DTO_UTI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsTAUQI.o -MT _fractunsTAUQI.o -MD -MP -MF _fractunsTAUQI.dep -DL_fractuns -DFROM_TA -DTO_UQI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsTAUHI.o -MT _fractunsTAUHI.o -MD -MP -MF _fractunsTAUHI.dep -DL_fractuns -DFROM_TA -DTO_UHI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsTAUSI.o -MT _fractunsTAUSI.o -MD -MP -MF _fractunsTAUSI.dep -DL_fractuns -DFROM_TA -DTO_USI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsTAUDI.o -MT _fractunsTAUDI.o -MD -MP -MF _fractunsTAUDI.dep -DL_fractuns -DFROM_TA -DTO_UDI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsTAUTI.o -MT _fractunsTAUTI.o -MD -MP -MF _fractunsTAUTI.dep -DL_fractuns -DFROM_TA -DTO_UTI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUQQUQI.o -MT _fractunsUQQUQI.o -MD -MP -MF _fractunsUQQUQI.dep -DL_fractuns -DFROM_UQQ -DTO_UQI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUQQUHI.o -MT _fractunsUQQUHI.o -MD -MP -MF _fractunsUQQUHI.dep -DL_fractuns -DFROM_UQQ -DTO_UHI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUQQUSI.o -MT _fractunsUQQUSI.o -MD -MP -MF _fractunsUQQUSI.dep -DL_fractuns -DFROM_UQQ -DTO_USI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUQQUDI.o -MT _fractunsUQQUDI.o -MD -MP -MF _fractunsUQQUDI.dep -DL_fractuns -DFROM_UQQ -DTO_UDI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUQQUTI.o -MT _fractunsUQQUTI.o -MD -MP -MF _fractunsUQQUTI.dep -DL_fractuns -DFROM_UQQ -DTO_UTI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUHQUQI.o -MT _fractunsUHQUQI.o -MD -MP -MF _fractunsUHQUQI.dep -DL_fractuns -DFROM_UHQ -DTO_UQI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUHQUHI.o -MT _fractunsUHQUHI.o -MD -MP -MF _fractunsUHQUHI.dep -DL_fractuns -DFROM_UHQ -DTO_UHI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUHQUSI.o -MT _fractunsUHQUSI.o -MD -MP -MF _fractunsUHQUSI.dep -DL_fractuns -DFROM_UHQ -DTO_USI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUHQUDI.o -MT _fractunsUHQUDI.o -MD -MP -MF _fractunsUHQUDI.dep -DL_fractuns -DFROM_UHQ -DTO_UDI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUHQUTI.o -MT _fractunsUHQUTI.o -MD -MP -MF _fractunsUHQUTI.dep -DL_fractuns -DFROM_UHQ -DTO_UTI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUSQUQI.o -MT _fractunsUSQUQI.o -MD -MP -MF _fractunsUSQUQI.dep -DL_fractuns -DFROM_USQ -DTO_UQI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUSQUHI.o -MT _fractunsUSQUHI.o -MD -MP -MF _fractunsUSQUHI.dep -DL_fractuns -DFROM_USQ -DTO_UHI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUSQUSI.o -MT _fractunsUSQUSI.o -MD -MP -MF _fractunsUSQUSI.dep -DL_fractuns -DFROM_USQ -DTO_USI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUSQUDI.o -MT _fractunsUSQUDI.o -MD -MP -MF _fractunsUSQUDI.dep -DL_fractuns -DFROM_USQ -DTO_UDI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUSQUTI.o -MT _fractunsUSQUTI.o -MD -MP -MF _fractunsUSQUTI.dep -DL_fractuns -DFROM_USQ -DTO_UTI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUDQUQI.o -MT _fractunsUDQUQI.o -MD -MP -MF _fractunsUDQUQI.dep -DL_fractuns -DFROM_UDQ -DTO_UQI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUDQUHI.o -MT _fractunsUDQUHI.o -MD -MP -MF _fractunsUDQUHI.dep -DL_fractuns -DFROM_UDQ -DTO_UHI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUDQUSI.o -MT _fractunsUDQUSI.o -MD -MP -MF _fractunsUDQUSI.dep -DL_fractuns -DFROM_UDQ -DTO_USI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUDQUDI.o -MT _fractunsUDQUDI.o -MD -MP -MF _fractunsUDQUDI.dep -DL_fractuns -DFROM_UDQ -DTO_UDI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUDQUTI.o -MT _fractunsUDQUTI.o -MD -MP -MF _fractunsUDQUTI.dep -DL_fractuns -DFROM_UDQ -DTO_UTI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUTQUQI.o -MT _fractunsUTQUQI.o -MD -MP -MF _fractunsUTQUQI.dep -DL_fractuns -DFROM_UTQ -DTO_UQI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUTQUHI.o -MT _fractunsUTQUHI.o -MD -MP -MF _fractunsUTQUHI.dep -DL_fractuns -DFROM_UTQ -DTO_UHI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUTQUSI.o -MT _fractunsUTQUSI.o -MD -MP -MF _fractunsUTQUSI.dep -DL_fractuns -DFROM_UTQ -DTO_USI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUTQUDI.o -MT _fractunsUTQUDI.o -MD -MP -MF _fractunsUTQUDI.dep -DL_fractuns -DFROM_UTQ -DTO_UDI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUTQUTI.o -MT _fractunsUTQUTI.o -MD -MP -MF _fractunsUTQUTI.dep -DL_fractuns -DFROM_UTQ -DTO_UTI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUHAUQI.o -MT _fractunsUHAUQI.o -MD -MP -MF _fractunsUHAUQI.dep -DL_fractuns -DFROM_UHA -DTO_UQI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUHAUHI.o -MT _fractunsUHAUHI.o -MD -MP -MF _fractunsUHAUHI.dep -DL_fractuns -DFROM_UHA -DTO_UHI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUHAUSI.o -MT _fractunsUHAUSI.o -MD -MP -MF _fractunsUHAUSI.dep -DL_fractuns -DFROM_UHA -DTO_USI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUHAUDI.o -MT _fractunsUHAUDI.o -MD -MP -MF _fractunsUHAUDI.dep -DL_fractuns -DFROM_UHA -DTO_UDI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUHAUTI.o -MT _fractunsUHAUTI.o -MD -MP -MF _fractunsUHAUTI.dep -DL_fractuns -DFROM_UHA -DTO_UTI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUSAUQI.o -MT _fractunsUSAUQI.o -MD -MP -MF _fractunsUSAUQI.dep -DL_fractuns -DFROM_USA -DTO_UQI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUSAUHI.o -MT _fractunsUSAUHI.o -MD -MP -MF _fractunsUSAUHI.dep -DL_fractuns -DFROM_USA -DTO_UHI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUSAUSI.o -MT _fractunsUSAUSI.o -MD -MP -MF _fractunsUSAUSI.dep -DL_fractuns -DFROM_USA -DTO_USI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUSAUDI.o -MT _fractunsUSAUDI.o -MD -MP -MF _fractunsUSAUDI.dep -DL_fractuns -DFROM_USA -DTO_UDI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUSAUTI.o -MT _fractunsUSAUTI.o -MD -MP -MF _fractunsUSAUTI.dep -DL_fractuns -DFROM_USA -DTO_UTI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUDAUQI.o -MT _fractunsUDAUQI.o -MD -MP -MF _fractunsUDAUQI.dep -DL_fractuns -DFROM_UDA -DTO_UQI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUDAUHI.o -MT _fractunsUDAUHI.o -MD -MP -MF _fractunsUDAUHI.dep -DL_fractuns -DFROM_UDA -DTO_UHI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUDAUSI.o -MT _fractunsUDAUSI.o -MD -MP -MF _fractunsUDAUSI.dep -DL_fractuns -DFROM_UDA -DTO_USI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUDAUDI.o -MT _fractunsUDAUDI.o -MD -MP -MF _fractunsUDAUDI.dep -DL_fractuns -DFROM_UDA -DTO_UDI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUDAUTI.o -MT _fractunsUDAUTI.o -MD -MP -MF _fractunsUDAUTI.dep -DL_fractuns -DFROM_UDA -DTO_UTI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUTAUQI.o -MT _fractunsUTAUQI.o -MD -MP -MF _fractunsUTAUQI.dep -DL_fractuns -DFROM_UTA -DTO_UQI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUTAUHI.o -MT _fractunsUTAUHI.o -MD -MP -MF _fractunsUTAUHI.dep -DL_fractuns -DFROM_UTA -DTO_UHI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUTAUSI.o -MT _fractunsUTAUSI.o -MD -MP -MF _fractunsUTAUSI.dep -DL_fractuns -DFROM_UTA -DTO_USI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUTAUDI.o -MT _fractunsUTAUDI.o -MD -MP -MF _fractunsUTAUDI.dep -DL_fractuns -DFROM_UTA -DTO_UDI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUTAUTI.o -MT _fractunsUTAUTI.o -MD -MP -MF _fractunsUTAUTI.dep -DL_fractuns -DFROM_UTA -DTO_UTI -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUQIQQ.o -MT _fractunsUQIQQ.o -MD -MP -MF _fractunsUQIQQ.dep -DL_fractuns -DFROM_UQI -DTO_QQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUQIHQ.o -MT _fractunsUQIHQ.o -MD -MP -MF _fractunsUQIHQ.dep -DL_fractuns -DFROM_UQI -DTO_HQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUQISQ.o -MT _fractunsUQISQ.o -MD -MP -MF _fractunsUQISQ.dep -DL_fractuns -DFROM_UQI -DTO_SQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUQIDQ.o -MT _fractunsUQIDQ.o -MD -MP -MF _fractunsUQIDQ.dep -DL_fractuns -DFROM_UQI -DTO_DQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUQITQ.o -MT _fractunsUQITQ.o -MD -MP -MF _fractunsUQITQ.dep -DL_fractuns -DFROM_UQI -DTO_TQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUQIHA.o -MT _fractunsUQIHA.o -MD -MP -MF _fractunsUQIHA.dep -DL_fractuns -DFROM_UQI -DTO_HA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUQISA.o -MT _fractunsUQISA.o -MD -MP -MF _fractunsUQISA.dep -DL_fractuns -DFROM_UQI -DTO_SA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUQIDA.o -MT _fractunsUQIDA.o -MD -MP -MF _fractunsUQIDA.dep -DL_fractuns -DFROM_UQI -DTO_DA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUQITA.o -MT _fractunsUQITA.o -MD -MP -MF _fractunsUQITA.dep -DL_fractuns -DFROM_UQI -DTO_TA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUQIUQQ.o -MT _fractunsUQIUQQ.o -MD -MP -MF _fractunsUQIUQQ.dep -DL_fractuns -DFROM_UQI -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUQIUHQ.o -MT _fractunsUQIUHQ.o -MD -MP -MF _fractunsUQIUHQ.dep -DL_fractuns -DFROM_UQI -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUQIUSQ.o -MT _fractunsUQIUSQ.o -MD -MP -MF _fractunsUQIUSQ.dep -DL_fractuns -DFROM_UQI -DTO_USQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUQIUDQ.o -MT _fractunsUQIUDQ.o -MD -MP -MF _fractunsUQIUDQ.dep -DL_fractuns -DFROM_UQI -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUQIUTQ.o -MT _fractunsUQIUTQ.o -MD -MP -MF _fractunsUQIUTQ.dep -DL_fractuns -DFROM_UQI -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUQIUHA.o -MT _fractunsUQIUHA.o -MD -MP -MF _fractunsUQIUHA.dep -DL_fractuns -DFROM_UQI -DTO_UHA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUQIUSA.o -MT _fractunsUQIUSA.o -MD -MP -MF _fractunsUQIUSA.dep -DL_fractuns -DFROM_UQI -DTO_USA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUQIUDA.o -MT _fractunsUQIUDA.o -MD -MP -MF _fractunsUQIUDA.dep -DL_fractuns -DFROM_UQI -DTO_UDA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUQIUTA.o -MT _fractunsUQIUTA.o -MD -MP -MF _fractunsUQIUTA.dep -DL_fractuns -DFROM_UQI -DTO_UTA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUHIQQ.o -MT _fractunsUHIQQ.o -MD -MP -MF _fractunsUHIQQ.dep -DL_fractuns -DFROM_UHI -DTO_QQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUHIHQ.o -MT _fractunsUHIHQ.o -MD -MP -MF _fractunsUHIHQ.dep -DL_fractuns -DFROM_UHI -DTO_HQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUHISQ.o -MT _fractunsUHISQ.o -MD -MP -MF _fractunsUHISQ.dep -DL_fractuns -DFROM_UHI -DTO_SQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUHIDQ.o -MT _fractunsUHIDQ.o -MD -MP -MF _fractunsUHIDQ.dep -DL_fractuns -DFROM_UHI -DTO_DQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUHITQ.o -MT _fractunsUHITQ.o -MD -MP -MF _fractunsUHITQ.dep -DL_fractuns -DFROM_UHI -DTO_TQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUHIHA.o -MT _fractunsUHIHA.o -MD -MP -MF _fractunsUHIHA.dep -DL_fractuns -DFROM_UHI -DTO_HA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUHISA.o -MT _fractunsUHISA.o -MD -MP -MF _fractunsUHISA.dep -DL_fractuns -DFROM_UHI -DTO_SA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUHIDA.o -MT _fractunsUHIDA.o -MD -MP -MF _fractunsUHIDA.dep -DL_fractuns -DFROM_UHI -DTO_DA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUHITA.o -MT _fractunsUHITA.o -MD -MP -MF _fractunsUHITA.dep -DL_fractuns -DFROM_UHI -DTO_TA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUHIUQQ.o -MT _fractunsUHIUQQ.o -MD -MP -MF _fractunsUHIUQQ.dep -DL_fractuns -DFROM_UHI -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUHIUHQ.o -MT _fractunsUHIUHQ.o -MD -MP -MF _fractunsUHIUHQ.dep -DL_fractuns -DFROM_UHI -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUHIUSQ.o -MT _fractunsUHIUSQ.o -MD -MP -MF _fractunsUHIUSQ.dep -DL_fractuns -DFROM_UHI -DTO_USQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUHIUDQ.o -MT _fractunsUHIUDQ.o -MD -MP -MF _fractunsUHIUDQ.dep -DL_fractuns -DFROM_UHI -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUHIUTQ.o -MT _fractunsUHIUTQ.o -MD -MP -MF _fractunsUHIUTQ.dep -DL_fractuns -DFROM_UHI -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUHIUHA.o -MT _fractunsUHIUHA.o -MD -MP -MF _fractunsUHIUHA.dep -DL_fractuns -DFROM_UHI -DTO_UHA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUHIUSA.o -MT _fractunsUHIUSA.o -MD -MP -MF _fractunsUHIUSA.dep -DL_fractuns -DFROM_UHI -DTO_USA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUHIUDA.o -MT _fractunsUHIUDA.o -MD -MP -MF _fractunsUHIUDA.dep -DL_fractuns -DFROM_UHI -DTO_UDA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUHIUTA.o -MT _fractunsUHIUTA.o -MD -MP -MF _fractunsUHIUTA.dep -DL_fractuns -DFROM_UHI -DTO_UTA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUSIQQ.o -MT _fractunsUSIQQ.o -MD -MP -MF _fractunsUSIQQ.dep -DL_fractuns -DFROM_USI -DTO_QQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUSIHQ.o -MT _fractunsUSIHQ.o -MD -MP -MF _fractunsUSIHQ.dep -DL_fractuns -DFROM_USI -DTO_HQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUSISQ.o -MT _fractunsUSISQ.o -MD -MP -MF _fractunsUSISQ.dep -DL_fractuns -DFROM_USI -DTO_SQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUSIDQ.o -MT _fractunsUSIDQ.o -MD -MP -MF _fractunsUSIDQ.dep -DL_fractuns -DFROM_USI -DTO_DQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUSITQ.o -MT _fractunsUSITQ.o -MD -MP -MF _fractunsUSITQ.dep -DL_fractuns -DFROM_USI -DTO_TQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUSIHA.o -MT _fractunsUSIHA.o -MD -MP -MF _fractunsUSIHA.dep -DL_fractuns -DFROM_USI -DTO_HA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUSISA.o -MT _fractunsUSISA.o -MD -MP -MF _fractunsUSISA.dep -DL_fractuns -DFROM_USI -DTO_SA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUSIDA.o -MT _fractunsUSIDA.o -MD -MP -MF _fractunsUSIDA.dep -DL_fractuns -DFROM_USI -DTO_DA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUSITA.o -MT _fractunsUSITA.o -MD -MP -MF _fractunsUSITA.dep -DL_fractuns -DFROM_USI -DTO_TA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUSIUQQ.o -MT _fractunsUSIUQQ.o -MD -MP -MF _fractunsUSIUQQ.dep -DL_fractuns -DFROM_USI -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUSIUHQ.o -MT _fractunsUSIUHQ.o -MD -MP -MF _fractunsUSIUHQ.dep -DL_fractuns -DFROM_USI -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUSIUSQ.o -MT _fractunsUSIUSQ.o -MD -MP -MF _fractunsUSIUSQ.dep -DL_fractuns -DFROM_USI -DTO_USQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUSIUDQ.o -MT _fractunsUSIUDQ.o -MD -MP -MF _fractunsUSIUDQ.dep -DL_fractuns -DFROM_USI -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUSIUTQ.o -MT _fractunsUSIUTQ.o -MD -MP -MF _fractunsUSIUTQ.dep -DL_fractuns -DFROM_USI -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUSIUHA.o -MT _fractunsUSIUHA.o -MD -MP -MF _fractunsUSIUHA.dep -DL_fractuns -DFROM_USI -DTO_UHA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUSIUSA.o -MT _fractunsUSIUSA.o -MD -MP -MF _fractunsUSIUSA.dep -DL_fractuns -DFROM_USI -DTO_USA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUSIUDA.o -MT _fractunsUSIUDA.o -MD -MP -MF _fractunsUSIUDA.dep -DL_fractuns -DFROM_USI -DTO_UDA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUSIUTA.o -MT _fractunsUSIUTA.o -MD -MP -MF _fractunsUSIUTA.dep -DL_fractuns -DFROM_USI -DTO_UTA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUDIQQ.o -MT _fractunsUDIQQ.o -MD -MP -MF _fractunsUDIQQ.dep -DL_fractuns -DFROM_UDI -DTO_QQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUDIHQ.o -MT _fractunsUDIHQ.o -MD -MP -MF _fractunsUDIHQ.dep -DL_fractuns -DFROM_UDI -DTO_HQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUDISQ.o -MT _fractunsUDISQ.o -MD -MP -MF _fractunsUDISQ.dep -DL_fractuns -DFROM_UDI -DTO_SQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUDIDQ.o -MT _fractunsUDIDQ.o -MD -MP -MF _fractunsUDIDQ.dep -DL_fractuns -DFROM_UDI -DTO_DQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUDITQ.o -MT _fractunsUDITQ.o -MD -MP -MF _fractunsUDITQ.dep -DL_fractuns -DFROM_UDI -DTO_TQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUDIHA.o -MT _fractunsUDIHA.o -MD -MP -MF _fractunsUDIHA.dep -DL_fractuns -DFROM_UDI -DTO_HA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUDISA.o -MT _fractunsUDISA.o -MD -MP -MF _fractunsUDISA.dep -DL_fractuns -DFROM_UDI -DTO_SA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUDIDA.o -MT _fractunsUDIDA.o -MD -MP -MF _fractunsUDIDA.dep -DL_fractuns -DFROM_UDI -DTO_DA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUDITA.o -MT _fractunsUDITA.o -MD -MP -MF _fractunsUDITA.dep -DL_fractuns -DFROM_UDI -DTO_TA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUDIUQQ.o -MT _fractunsUDIUQQ.o -MD -MP -MF _fractunsUDIUQQ.dep -DL_fractuns -DFROM_UDI -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUDIUHQ.o -MT _fractunsUDIUHQ.o -MD -MP -MF _fractunsUDIUHQ.dep -DL_fractuns -DFROM_UDI -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUDIUSQ.o -MT _fractunsUDIUSQ.o -MD -MP -MF _fractunsUDIUSQ.dep -DL_fractuns -DFROM_UDI -DTO_USQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUDIUDQ.o -MT _fractunsUDIUDQ.o -MD -MP -MF _fractunsUDIUDQ.dep -DL_fractuns -DFROM_UDI -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUDIUTQ.o -MT _fractunsUDIUTQ.o -MD -MP -MF _fractunsUDIUTQ.dep -DL_fractuns -DFROM_UDI -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUDIUHA.o -MT _fractunsUDIUHA.o -MD -MP -MF _fractunsUDIUHA.dep -DL_fractuns -DFROM_UDI -DTO_UHA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUDIUSA.o -MT _fractunsUDIUSA.o -MD -MP -MF _fractunsUDIUSA.dep -DL_fractuns -DFROM_UDI -DTO_USA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUDIUDA.o -MT _fractunsUDIUDA.o -MD -MP -MF _fractunsUDIUDA.dep -DL_fractuns -DFROM_UDI -DTO_UDA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUDIUTA.o -MT _fractunsUDIUTA.o -MD -MP -MF _fractunsUDIUTA.dep -DL_fractuns -DFROM_UDI -DTO_UTA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUTIQQ.o -MT _fractunsUTIQQ.o -MD -MP -MF _fractunsUTIQQ.dep -DL_fractuns -DFROM_UTI -DTO_QQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUTIHQ.o -MT _fractunsUTIHQ.o -MD -MP -MF _fractunsUTIHQ.dep -DL_fractuns -DFROM_UTI -DTO_HQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUTISQ.o -MT _fractunsUTISQ.o -MD -MP -MF _fractunsUTISQ.dep -DL_fractuns -DFROM_UTI -DTO_SQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUTIDQ.o -MT _fractunsUTIDQ.o -MD -MP -MF _fractunsUTIDQ.dep -DL_fractuns -DFROM_UTI -DTO_DQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUTITQ.o -MT _fractunsUTITQ.o -MD -MP -MF _fractunsUTITQ.dep -DL_fractuns -DFROM_UTI -DTO_TQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUTIHA.o -MT _fractunsUTIHA.o -MD -MP -MF _fractunsUTIHA.dep -DL_fractuns -DFROM_UTI -DTO_HA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUTISA.o -MT _fractunsUTISA.o -MD -MP -MF _fractunsUTISA.dep -DL_fractuns -DFROM_UTI -DTO_SA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUTIDA.o -MT _fractunsUTIDA.o -MD -MP -MF _fractunsUTIDA.dep -DL_fractuns -DFROM_UTI -DTO_DA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUTITA.o -MT _fractunsUTITA.o -MD -MP -MF _fractunsUTITA.dep -DL_fractuns -DFROM_UTI -DTO_TA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUTIUQQ.o -MT _fractunsUTIUQQ.o -MD -MP -MF _fractunsUTIUQQ.dep -DL_fractuns -DFROM_UTI -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUTIUHQ.o -MT _fractunsUTIUHQ.o -MD -MP -MF _fractunsUTIUHQ.dep -DL_fractuns -DFROM_UTI -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUTIUSQ.o -MT _fractunsUTIUSQ.o -MD -MP -MF _fractunsUTIUSQ.dep -DL_fractuns -DFROM_UTI -DTO_USQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUTIUDQ.o -MT _fractunsUTIUDQ.o -MD -MP -MF _fractunsUTIUDQ.dep -DL_fractuns -DFROM_UTI -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUTIUTQ.o -MT _fractunsUTIUTQ.o -MD -MP -MF _fractunsUTIUTQ.dep -DL_fractuns -DFROM_UTI -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUTIUHA.o -MT _fractunsUTIUHA.o -MD -MP -MF _fractunsUTIUHA.dep -DL_fractuns -DFROM_UTI -DTO_UHA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUTIUSA.o -MT _fractunsUTIUSA.o -MD -MP -MF _fractunsUTIUSA.dep -DL_fractuns -DFROM_UTI -DTO_USA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUTIUDA.o -MT _fractunsUTIUDA.o -MD -MP -MF _fractunsUTIUDA.dep -DL_fractuns -DFROM_UTI -DTO_UDA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUTIUTA.o -MT _fractunsUTIUTA.o -MD -MP -MF _fractunsUTIUTA.dep -DL_fractuns -DFROM_UTI -DTO_UTA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUQIQQ.o -MT _satfractunsUQIQQ.o -MD -MP -MF _satfractunsUQIQQ.dep -DL_satfractuns -DFROM_UQI -DTO_QQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUQIHQ.o -MT _satfractunsUQIHQ.o -MD -MP -MF _satfractunsUQIHQ.dep -DL_satfractuns -DFROM_UQI -DTO_HQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUQISQ.o -MT _satfractunsUQISQ.o -MD -MP -MF _satfractunsUQISQ.dep -DL_satfractuns -DFROM_UQI -DTO_SQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUQIDQ.o -MT _satfractunsUQIDQ.o -MD -MP -MF _satfractunsUQIDQ.dep -DL_satfractuns -DFROM_UQI -DTO_DQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUQITQ.o -MT _satfractunsUQITQ.o -MD -MP -MF _satfractunsUQITQ.dep -DL_satfractuns -DFROM_UQI -DTO_TQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUQIHA.o -MT _satfractunsUQIHA.o -MD -MP -MF _satfractunsUQIHA.dep -DL_satfractuns -DFROM_UQI -DTO_HA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUQISA.o -MT _satfractunsUQISA.o -MD -MP -MF _satfractunsUQISA.dep -DL_satfractuns -DFROM_UQI -DTO_SA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUQIDA.o -MT _satfractunsUQIDA.o -MD -MP -MF _satfractunsUQIDA.dep -DL_satfractuns -DFROM_UQI -DTO_DA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUQITA.o -MT _satfractunsUQITA.o -MD -MP -MF _satfractunsUQITA.dep -DL_satfractuns -DFROM_UQI -DTO_TA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUQIUQQ.o -MT _satfractunsUQIUQQ.o -MD -MP -MF _satfractunsUQIUQQ.dep -DL_satfractuns -DFROM_UQI -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUQIUHQ.o -MT _satfractunsUQIUHQ.o -MD -MP -MF _satfractunsUQIUHQ.dep -DL_satfractuns -DFROM_UQI -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUQIUSQ.o -MT _satfractunsUQIUSQ.o -MD -MP -MF _satfractunsUQIUSQ.dep -DL_satfractuns -DFROM_UQI -DTO_USQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUQIUDQ.o -MT _satfractunsUQIUDQ.o -MD -MP -MF _satfractunsUQIUDQ.dep -DL_satfractuns -DFROM_UQI -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUQIUTQ.o -MT _satfractunsUQIUTQ.o -MD -MP -MF _satfractunsUQIUTQ.dep -DL_satfractuns -DFROM_UQI -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUQIUHA.o -MT _satfractunsUQIUHA.o -MD -MP -MF _satfractunsUQIUHA.dep -DL_satfractuns -DFROM_UQI -DTO_UHA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUQIUSA.o -MT _satfractunsUQIUSA.o -MD -MP -MF _satfractunsUQIUSA.dep -DL_satfractuns -DFROM_UQI -DTO_USA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUQIUDA.o -MT _satfractunsUQIUDA.o -MD -MP -MF _satfractunsUQIUDA.dep -DL_satfractuns -DFROM_UQI -DTO_UDA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUQIUTA.o -MT _satfractunsUQIUTA.o -MD -MP -MF _satfractunsUQIUTA.dep -DL_satfractuns -DFROM_UQI -DTO_UTA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUHIQQ.o -MT _satfractunsUHIQQ.o -MD -MP -MF _satfractunsUHIQQ.dep -DL_satfractuns -DFROM_UHI -DTO_QQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUHIHQ.o -MT _satfractunsUHIHQ.o -MD -MP -MF _satfractunsUHIHQ.dep -DL_satfractuns -DFROM_UHI -DTO_HQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUHISQ.o -MT _satfractunsUHISQ.o -MD -MP -MF _satfractunsUHISQ.dep -DL_satfractuns -DFROM_UHI -DTO_SQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUHIDQ.o -MT _satfractunsUHIDQ.o -MD -MP -MF _satfractunsUHIDQ.dep -DL_satfractuns -DFROM_UHI -DTO_DQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUHITQ.o -MT _satfractunsUHITQ.o -MD -MP -MF _satfractunsUHITQ.dep -DL_satfractuns -DFROM_UHI -DTO_TQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUHIHA.o -MT _satfractunsUHIHA.o -MD -MP -MF _satfractunsUHIHA.dep -DL_satfractuns -DFROM_UHI -DTO_HA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUHISA.o -MT _satfractunsUHISA.o -MD -MP -MF _satfractunsUHISA.dep -DL_satfractuns -DFROM_UHI -DTO_SA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUHIDA.o -MT _satfractunsUHIDA.o -MD -MP -MF _satfractunsUHIDA.dep -DL_satfractuns -DFROM_UHI -DTO_DA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUHITA.o -MT _satfractunsUHITA.o -MD -MP -MF _satfractunsUHITA.dep -DL_satfractuns -DFROM_UHI -DTO_TA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUHIUQQ.o -MT _satfractunsUHIUQQ.o -MD -MP -MF _satfractunsUHIUQQ.dep -DL_satfractuns -DFROM_UHI -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUHIUHQ.o -MT _satfractunsUHIUHQ.o -MD -MP -MF _satfractunsUHIUHQ.dep -DL_satfractuns -DFROM_UHI -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUHIUSQ.o -MT _satfractunsUHIUSQ.o -MD -MP -MF _satfractunsUHIUSQ.dep -DL_satfractuns -DFROM_UHI -DTO_USQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUHIUDQ.o -MT _satfractunsUHIUDQ.o -MD -MP -MF _satfractunsUHIUDQ.dep -DL_satfractuns -DFROM_UHI -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUHIUTQ.o -MT _satfractunsUHIUTQ.o -MD -MP -MF _satfractunsUHIUTQ.dep -DL_satfractuns -DFROM_UHI -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUHIUHA.o -MT _satfractunsUHIUHA.o -MD -MP -MF _satfractunsUHIUHA.dep -DL_satfractuns -DFROM_UHI -DTO_UHA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUHIUSA.o -MT _satfractunsUHIUSA.o -MD -MP -MF _satfractunsUHIUSA.dep -DL_satfractuns -DFROM_UHI -DTO_USA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUHIUDA.o -MT _satfractunsUHIUDA.o -MD -MP -MF _satfractunsUHIUDA.dep -DL_satfractuns -DFROM_UHI -DTO_UDA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUHIUTA.o -MT _satfractunsUHIUTA.o -MD -MP -MF _satfractunsUHIUTA.dep -DL_satfractuns -DFROM_UHI -DTO_UTA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUSIQQ.o -MT _satfractunsUSIQQ.o -MD -MP -MF _satfractunsUSIQQ.dep -DL_satfractuns -DFROM_USI -DTO_QQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUSIHQ.o -MT _satfractunsUSIHQ.o -MD -MP -MF _satfractunsUSIHQ.dep -DL_satfractuns -DFROM_USI -DTO_HQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUSISQ.o -MT _satfractunsUSISQ.o -MD -MP -MF _satfractunsUSISQ.dep -DL_satfractuns -DFROM_USI -DTO_SQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUSIDQ.o -MT _satfractunsUSIDQ.o -MD -MP -MF _satfractunsUSIDQ.dep -DL_satfractuns -DFROM_USI -DTO_DQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUSITQ.o -MT _satfractunsUSITQ.o -MD -MP -MF _satfractunsUSITQ.dep -DL_satfractuns -DFROM_USI -DTO_TQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUSIHA.o -MT _satfractunsUSIHA.o -MD -MP -MF _satfractunsUSIHA.dep -DL_satfractuns -DFROM_USI -DTO_HA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUSISA.o -MT _satfractunsUSISA.o -MD -MP -MF _satfractunsUSISA.dep -DL_satfractuns -DFROM_USI -DTO_SA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUSIDA.o -MT _satfractunsUSIDA.o -MD -MP -MF _satfractunsUSIDA.dep -DL_satfractuns -DFROM_USI -DTO_DA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUSITA.o -MT _satfractunsUSITA.o -MD -MP -MF _satfractunsUSITA.dep -DL_satfractuns -DFROM_USI -DTO_TA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUSIUQQ.o -MT _satfractunsUSIUQQ.o -MD -MP -MF _satfractunsUSIUQQ.dep -DL_satfractuns -DFROM_USI -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUSIUHQ.o -MT _satfractunsUSIUHQ.o -MD -MP -MF _satfractunsUSIUHQ.dep -DL_satfractuns -DFROM_USI -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUSIUSQ.o -MT _satfractunsUSIUSQ.o -MD -MP -MF _satfractunsUSIUSQ.dep -DL_satfractuns -DFROM_USI -DTO_USQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUSIUDQ.o -MT _satfractunsUSIUDQ.o -MD -MP -MF _satfractunsUSIUDQ.dep -DL_satfractuns -DFROM_USI -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUSIUTQ.o -MT _satfractunsUSIUTQ.o -MD -MP -MF _satfractunsUSIUTQ.dep -DL_satfractuns -DFROM_USI -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUSIUHA.o -MT _satfractunsUSIUHA.o -MD -MP -MF _satfractunsUSIUHA.dep -DL_satfractuns -DFROM_USI -DTO_UHA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUSIUSA.o -MT _satfractunsUSIUSA.o -MD -MP -MF _satfractunsUSIUSA.dep -DL_satfractuns -DFROM_USI -DTO_USA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUSIUDA.o -MT _satfractunsUSIUDA.o -MD -MP -MF _satfractunsUSIUDA.dep -DL_satfractuns -DFROM_USI -DTO_UDA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUSIUTA.o -MT _satfractunsUSIUTA.o -MD -MP -MF _satfractunsUSIUTA.dep -DL_satfractuns -DFROM_USI -DTO_UTA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUDIQQ.o -MT _satfractunsUDIQQ.o -MD -MP -MF _satfractunsUDIQQ.dep -DL_satfractuns -DFROM_UDI -DTO_QQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUDIHQ.o -MT _satfractunsUDIHQ.o -MD -MP -MF _satfractunsUDIHQ.dep -DL_satfractuns -DFROM_UDI -DTO_HQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUDISQ.o -MT _satfractunsUDISQ.o -MD -MP -MF _satfractunsUDISQ.dep -DL_satfractuns -DFROM_UDI -DTO_SQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUDIDQ.o -MT _satfractunsUDIDQ.o -MD -MP -MF _satfractunsUDIDQ.dep -DL_satfractuns -DFROM_UDI -DTO_DQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUDITQ.o -MT _satfractunsUDITQ.o -MD -MP -MF _satfractunsUDITQ.dep -DL_satfractuns -DFROM_UDI -DTO_TQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUDIHA.o -MT _satfractunsUDIHA.o -MD -MP -MF _satfractunsUDIHA.dep -DL_satfractuns -DFROM_UDI -DTO_HA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUDISA.o -MT _satfractunsUDISA.o -MD -MP -MF _satfractunsUDISA.dep -DL_satfractuns -DFROM_UDI -DTO_SA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUDIDA.o -MT _satfractunsUDIDA.o -MD -MP -MF _satfractunsUDIDA.dep -DL_satfractuns -DFROM_UDI -DTO_DA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUDITA.o -MT _satfractunsUDITA.o -MD -MP -MF _satfractunsUDITA.dep -DL_satfractuns -DFROM_UDI -DTO_TA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUDIUQQ.o -MT _satfractunsUDIUQQ.o -MD -MP -MF _satfractunsUDIUQQ.dep -DL_satfractuns -DFROM_UDI -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUDIUHQ.o -MT _satfractunsUDIUHQ.o -MD -MP -MF _satfractunsUDIUHQ.dep -DL_satfractuns -DFROM_UDI -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUDIUSQ.o -MT _satfractunsUDIUSQ.o -MD -MP -MF _satfractunsUDIUSQ.dep -DL_satfractuns -DFROM_UDI -DTO_USQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUDIUDQ.o -MT _satfractunsUDIUDQ.o -MD -MP -MF _satfractunsUDIUDQ.dep -DL_satfractuns -DFROM_UDI -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUDIUTQ.o -MT _satfractunsUDIUTQ.o -MD -MP -MF _satfractunsUDIUTQ.dep -DL_satfractuns -DFROM_UDI -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUDIUHA.o -MT _satfractunsUDIUHA.o -MD -MP -MF _satfractunsUDIUHA.dep -DL_satfractuns -DFROM_UDI -DTO_UHA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUDIUSA.o -MT _satfractunsUDIUSA.o -MD -MP -MF _satfractunsUDIUSA.dep -DL_satfractuns -DFROM_UDI -DTO_USA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUDIUDA.o -MT _satfractunsUDIUDA.o -MD -MP -MF _satfractunsUDIUDA.dep -DL_satfractuns -DFROM_UDI -DTO_UDA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUDIUTA.o -MT _satfractunsUDIUTA.o -MD -MP -MF _satfractunsUDIUTA.dep -DL_satfractuns -DFROM_UDI -DTO_UTA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUTIQQ.o -MT _satfractunsUTIQQ.o -MD -MP -MF _satfractunsUTIQQ.dep -DL_satfractuns -DFROM_UTI -DTO_QQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUTIHQ.o -MT _satfractunsUTIHQ.o -MD -MP -MF _satfractunsUTIHQ.dep -DL_satfractuns -DFROM_UTI -DTO_HQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUTISQ.o -MT _satfractunsUTISQ.o -MD -MP -MF _satfractunsUTISQ.dep -DL_satfractuns -DFROM_UTI -DTO_SQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUTIDQ.o -MT _satfractunsUTIDQ.o -MD -MP -MF _satfractunsUTIDQ.dep -DL_satfractuns -DFROM_UTI -DTO_DQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUTITQ.o -MT _satfractunsUTITQ.o -MD -MP -MF _satfractunsUTITQ.dep -DL_satfractuns -DFROM_UTI -DTO_TQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUTIHA.o -MT _satfractunsUTIHA.o -MD -MP -MF _satfractunsUTIHA.dep -DL_satfractuns -DFROM_UTI -DTO_HA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUTISA.o -MT _satfractunsUTISA.o -MD -MP -MF _satfractunsUTISA.dep -DL_satfractuns -DFROM_UTI -DTO_SA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUTIDA.o -MT _satfractunsUTIDA.o -MD -MP -MF _satfractunsUTIDA.dep -DL_satfractuns -DFROM_UTI -DTO_DA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUTITA.o -MT _satfractunsUTITA.o -MD -MP -MF _satfractunsUTITA.dep -DL_satfractuns -DFROM_UTI -DTO_TA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUTIUQQ.o -MT _satfractunsUTIUQQ.o -MD -MP -MF _satfractunsUTIUQQ.dep -DL_satfractuns -DFROM_UTI -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUTIUHQ.o -MT _satfractunsUTIUHQ.o -MD -MP -MF _satfractunsUTIUHQ.dep -DL_satfractuns -DFROM_UTI -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUTIUSQ.o -MT _satfractunsUTIUSQ.o -MD -MP -MF _satfractunsUTIUSQ.dep -DL_satfractuns -DFROM_UTI -DTO_USQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUTIUDQ.o -MT _satfractunsUTIUDQ.o -MD -MP -MF _satfractunsUTIUDQ.dep -DL_satfractuns -DFROM_UTI -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUTIUTQ.o -MT _satfractunsUTIUTQ.o -MD -MP -MF _satfractunsUTIUTQ.dep -DL_satfractuns -DFROM_UTI -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUTIUHA.o -MT _satfractunsUTIUHA.o -MD -MP -MF _satfractunsUTIUHA.dep -DL_satfractuns -DFROM_UTI -DTO_UHA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUTIUSA.o -MT _satfractunsUTIUSA.o -MD -MP -MF _satfractunsUTIUSA.dep -DL_satfractuns -DFROM_UTI -DTO_USA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUTIUDA.o -MT _satfractunsUTIUDA.o -MD -MP -MF _satfractunsUTIUDA.dep -DL_satfractuns -DFROM_UTI -DTO_UDA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUTIUTA.o -MT _satfractunsUTIUTA.o -MD -MP -MF _satfractunsUTIUTA.dep -DL_satfractuns -DFROM_UTI -DTO_UTA -c ../../../src/libgcc/fixed-bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o bpabi.o -MT bpabi.o -MD -MP -MF bpabi.dep  -c ../../../src/libgcc/config/arm/bpabi.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o unaligned-funcs.o -MT unaligned-funcs.o -MD -MP -MF unaligned-funcs.dep  -c ../../../src/libgcc/config/arm/unaligned-funcs.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS  -Wno-missing-prototypes -Wno-type-limits  -o addsf3.o -MT addsf3.o -MD -MP -MF addsf3.dep  -c addsf3.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS  -Wno-missing-prototypes -Wno-type-limits  -o divsf3.o -MT divsf3.o -MD -MP -MF divsf3.dep  -c divsf3.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS  -Wno-missing-prototypes -Wno-type-limits  -o eqsf2.o -MT eqsf2.o -MD -MP -MF eqsf2.dep  -c eqsf2.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS  -Wno-missing-prototypes -Wno-type-limits  -o gesf2.o -MT gesf2.o -MD -MP -MF gesf2.dep  -c gesf2.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS  -Wno-missing-prototypes -Wno-type-limits  -o lesf2.o -MT lesf2.o -MD -MP -MF lesf2.dep  -c lesf2.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS  -Wno-missing-prototypes -Wno-type-limits  -o mulsf3.o -MT mulsf3.o -MD -MP -MF mulsf3.dep  -c mulsf3.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS  -Wno-missing-prototypes -Wno-type-limits  -o negsf2.o -MT negsf2.o -MD -MP -MF negsf2.dep  -c negsf2.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS  -Wno-missing-prototypes -Wno-type-limits  -o subsf3.o -MT subsf3.o -MD -MP -MF subsf3.dep  -c subsf3.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS  -Wno-missing-prototypes -Wno-type-limits  -o unordsf2.o -MT unordsf2.o -MD -MP -MF unordsf2.dep  -c unordsf2.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS  -Wno-missing-prototypes -Wno-type-limits  -o fixsfsi.o -MT fixsfsi.o -MD -MP -MF fixsfsi.dep  -c fixsfsi.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS  -Wno-missing-prototypes -Wno-type-limits  -o floatsisf.o -MT floatsisf.o -MD -MP -MF floatsisf.dep  -c floatsisf.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS  -Wno-missing-prototypes -Wno-type-limits  -o floatunsisf.o -MT floatunsisf.o -MD -MP -MF floatunsisf.dep  -c floatunsisf.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS  -Wno-missing-prototypes -Wno-type-limits  -o adddf3.o -MT adddf3.o -MD -MP -MF adddf3.dep  -c adddf3.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS  -Wno-missing-prototypes -Wno-type-limits  -o divdf3.o -MT divdf3.o -MD -MP -MF divdf3.dep  -c divdf3.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS  -Wno-missing-prototypes -Wno-type-limits  -o eqdf2.o -MT eqdf2.o -MD -MP -MF eqdf2.dep  -c eqdf2.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS  -Wno-missing-prototypes -Wno-type-limits  -o gedf2.o -MT gedf2.o -MD -MP -MF gedf2.dep  -c gedf2.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS  -Wno-missing-prototypes -Wno-type-limits  -o ledf2.o -MT ledf2.o -MD -MP -MF ledf2.dep  -c ledf2.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS  -Wno-missing-prototypes -Wno-type-limits  -o muldf3.o -MT muldf3.o -MD -MP -MF muldf3.dep  -c muldf3.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS  -Wno-missing-prototypes -Wno-type-limits  -o negdf2.o -MT negdf2.o -MD -MP -MF negdf2.dep  -c negdf2.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS  -Wno-missing-prototypes -Wno-type-limits  -o subdf3.o -MT subdf3.o -MD -MP -MF subdf3.dep  -c subdf3.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS  -Wno-missing-prototypes -Wno-type-limits  -o unorddf2.o -MT unorddf2.o -MD -MP -MF unorddf2.dep  -c unorddf2.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS  -Wno-missing-prototypes -Wno-type-limits  -o fixdfsi.o -MT fixdfsi.o -MD -MP -MF fixdfsi.dep  -c fixdfsi.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS  -Wno-missing-prototypes -Wno-type-limits  -o floatsidf.o -MT floatsidf.o -MD -MP -MF floatsidf.dep  -c floatsidf.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS  -Wno-missing-prototypes -Wno-type-limits  -o floatunsidf.o -MT floatunsidf.o -MD -MP -MF floatunsidf.dep  -c floatunsidf.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS  -Wno-missing-prototypes -Wno-type-limits  -o extendsfdf2.o -MT extendsfdf2.o -MD -MP -MF extendsfdf2.dep  -c extendsfdf2.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS  -Wno-missing-prototypes -Wno-type-limits  -o truncdfsf2.o -MT truncdfsf2.o -MD -MP -MF truncdfsf2.dep  -c truncdfsf2.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o enable-execute-stack.o -MT enable-execute-stack.o -MD -MP -MF enable-execute-stack.dep  -c enable-execute-stack.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o fp16.o -MT fp16.o -MD -MP -MF fp16.dep  -c ../../../src/libgcc/config/arm/fp16.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o linux-atomic.o -MT linux-atomic.o -MD -MP -MF linux-atomic.dep  -c ../../../src/libgcc/config/arm/linux-atomic.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o linux-atomic-64bit.o -MT linux-atomic-64bit.o -MD -MP -MF linux-atomic-64bit.dep  -c ../../../src/libgcc/config/arm/linux-atomic-64bit.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _gcov_merge_add.o -MT _gcov_merge_add.o -MD -MP -MF _gcov_merge_add.dep -DL_gcov_merge_add -c ../../../src/libgcc/libgcov-merge.c
../../../src/libgcc/config/arm/linux-atomic.c:104:3: warning: conflicting types for built-in function '__sync_fetch_and_add_4'; expected 'unsigned int(volatile void *, unsigned int)' [-Wbuiltin-declaration-mismatch]
  104 |   __sync_fetch_and_##OP##_4 (int *ptr, int val)                         \
      |   ^~~~~~~~~~~~~~~~~
../../../src/libgcc/config/arm/linux-atomic.c:116:1: note: in expansion of macro 'FETCH_AND_OP_WORD'
  116 | FETCH_AND_OP_WORD (add,   , +)
      | ^~~~~~~~~~~~~~~~~
../../../src/libgcc/config/arm/linux-atomic.c:104:3: warning: conflicting types for built-in function '__sync_fetch_and_sub_4'; expected 'unsigned int(volatile void *, unsigned int)' [-Wbuiltin-declaration-mismatch]
  104 |   __sync_fetch_and_##OP##_4 (int *ptr, int val)                         \
      |   ^~~~~~~~~~~~~~~~~
../../../src/libgcc/config/arm/linux-atomic.c:117:1: note: in expansion of macro 'FETCH_AND_OP_WORD'
  117 | FETCH_AND_OP_WORD (sub,   , -)
      | ^~~~~~~~~~~~~~~~~
../../../src/libgcc/config/arm/linux-atomic.c:104:3: warning: conflicting types for built-in function '__sync_fetch_and_or_4'; expected 'unsigned int(volatile void *, unsigned int)' [-Wbuiltin-declaration-mismatch]
  104 |   __sync_fetch_and_##OP##_4 (int *ptr, int val)                         \
      |   ^~~~~~~~~~~~~~~~~
../../../src/libgcc/config/arm/linux-atomic.c:118:1: note: in expansion of macro 'FETCH_AND_OP_WORD'
  118 | FETCH_AND_OP_WORD (or,    , |)
      | ^~~~~~~~~~~~~~~~~
../../../src/libgcc/config/arm/linux-atomic.c:104:3: warning: conflicting types for built-in function '__sync_fetch_and_and_4'; expected 'unsigned int(volatile void *, unsigned int)' [-Wbuiltin-declaration-mismatch]
  104 |   __sync_fetch_and_##OP##_4 (int *ptr, int val)                         \
      |   ^~~~~~~~~~~~~~~~~
../../../src/libgcc/config/arm/linux-atomic.c:119:1: note: in expansion of macro 'FETCH_AND_OP_WORD'
  119 | FETCH_AND_OP_WORD (and,   , &)
      | ^~~~~~~~~~~~~~~~~
../../../src/libgcc/config/arm/linux-atomic.c:104:3: warning: conflicting types for built-in function '__sync_fetch_and_xor_4'; expected 'unsigned int(volatile void *, unsigned int)' [-Wbuiltin-declaration-mismatch]
  104 |   __sync_fetch_and_##OP##_4 (int *ptr, int val)                         \
      |   ^~~~~~~~~~~~~~~~~
../../../src/libgcc/config/arm/linux-atomic.c:120:1: note: in expansion of macro 'FETCH_AND_OP_WORD'
  120 | FETCH_AND_OP_WORD (xor,   , ^)
      | ^~~~~~~~~~~~~~~~~
../../../src/libgcc/config/arm/linux-atomic.c:104:3: warning: conflicting types for built-in function '__sync_fetch_and_nand_4'; expected 'unsigned int(volatile void *, unsigned int)' [-Wbuiltin-declaration-mismatch]
  104 |   __sync_fetch_and_##OP##_4 (int *ptr, int val)                         \
      |   ^~~~~~~~~~~~~~~~~
../../../src/libgcc/config/arm/linux-atomic.c:121:1: note: in expansion of macro 'FETCH_AND_OP_WORD'
  121 | FETCH_AND_OP_WORD (nand, ~, &)
      | ^~~~~~~~~~~~~~~~~
../../../src/libgcc/config/arm/linux-atomic.c:123:32: warning: conflicting types for built-in function '__sync_fetch_and_add_2'; expected 'short unsigned int(volatile void *, short unsigned int)' [-Wbuiltin-declaration-mismatch]
  123 | #define NAME_oldval(OP, WIDTH) __sync_fetch_and_##OP##_##WIDTH
      |                                ^~~~~~~~~~~~~~~~~
../../../src/libgcc/config/arm/linux-atomic.c:131:3: note: in expansion of macro 'NAME_oldval'
  131 |   NAME##_##RETURN (OP, WIDTH) (TYPE *ptr, TYPE val)                     \
      |   ^~~~
../../../src/libgcc/config/arm/linux-atomic.c:151:1: note: in expansion of macro 'SUBWORD_SYNC_OP'
  151 | SUBWORD_SYNC_OP (add,   , +, short, 2, oldval)
      | ^~~~~~~~~~~~~~~
../../../src/libgcc/config/arm/linux-atomic.c:123:32: warning: conflicting types for built-in function '__sync_fetch_and_sub_2'; expected 'short unsigned int(volatile void *, short unsigned int)' [-Wbuiltin-declaration-mismatch]
  123 | #define NAME_oldval(OP, WIDTH) __sync_fetch_and_##OP##_##WIDTH
      |                                ^~~~~~~~~~~~~~~~~
../../../src/libgcc/config/arm/linux-atomic.c:131:3: note: in expansion of macro 'NAME_oldval'
  131 |   NAME##_##RETURN (OP, WIDTH) (TYPE *ptr, TYPE val)                     \
      |   ^~~~
../../../src/libgcc/config/arm/linux-atomic.c:152:1: note: in expansion of macro 'SUBWORD_SYNC_OP'
  152 | SUBWORD_SYNC_OP (sub,   , -, short, 2, oldval)
      | ^~~~~~~~~~~~~~~
../../../src/libgcc/config/arm/linux-atomic.c:123:32: warning: conflicting types for built-in function '__sync_fetch_and_or_2'; expected 'short unsigned int(volatile void *, short unsigned int)' [-Wbuiltin-declaration-mismatch]
  123 | #define NAME_oldval(OP, WIDTH) __sync_fetch_and_##OP##_##WIDTH
      |                                ^~~~~~~~~~~~~~~~~
../../../src/libgcc/config/arm/linux-atomic.c:131:3: note: in expansion of macro 'NAME_oldval'
  131 |   NAME##_##RETURN (OP, WIDTH) (TYPE *ptr, TYPE val)                     \
      |   ^~~~
../../../src/libgcc/config/arm/linux-atomic.c:153:1: note: in expansion of macro 'SUBWORD_SYNC_OP'
  153 | SUBWORD_SYNC_OP (or,    , |, short, 2, oldval)
      | ^~~~~~~~~~~~~~~
../../../src/libgcc/config/arm/linux-atomic.c:123:32: warning: conflicting types for built-in function '__sync_fetch_and_and_2'; expected 'short unsigned int(volatile void *, short unsigned int)' [-Wbuiltin-declaration-mismatch]
  123 | #define NAME_oldval(OP, WIDTH) __sync_fetch_and_##OP##_##WIDTH
      |                                ^~~~~~~~~~~~~~~~~
../../../src/libgcc/config/arm/linux-atomic.c:131:3: note: in expansion of macro 'NAME_oldval'
  131 |   NAME##_##RETURN (OP, WIDTH) (TYPE *ptr, TYPE val)                     \
      |   ^~~~
../../../src/libgcc/config/arm/linux-atomic.c:154:1: note: in expansion of macro 'SUBWORD_SYNC_OP'
  154 | SUBWORD_SYNC_OP (and,   , &, short, 2, oldval)
      | ^~~~~~~~~~~~~~~
../../../src/libgcc/config/arm/linux-atomic.c:123:32: warning: conflicting types for built-in function '__sync_fetch_and_xor_2'; expected 'short unsigned int(volatile void *, short unsigned int)' [-Wbuiltin-declaration-mismatch]
  123 | #define NAME_oldval(OP, WIDTH) __sync_fetch_and_##OP##_##WIDTH
      |                                ^~~~~~~~~~~~~~~~~
../../../src/libgcc/config/arm/linux-atomic.c:131:3: note: in expansion of macro 'NAME_oldval'
  131 |   NAME##_##RETURN (OP, WIDTH) (TYPE *ptr, TYPE val)                     \
      |   ^~~~
../../../src/libgcc/config/arm/linux-atomic.c:155:1: note: in expansion of macro 'SUBWORD_SYNC_OP'
  155 | SUBWORD_SYNC_OP (xor,   , ^, short, 2, oldval)
      | ^~~~~~~~~~~~~~~
../../../src/libgcc/config/arm/linux-atomic.c:123:32: warning: conflicting types for built-in function '__sync_fetch_and_nand_2'; expected 'short unsigned int(volatile void *, short unsigned int)' [-Wbuiltin-declaration-mismatch]
  123 | #define NAME_oldval(OP, WIDTH) __sync_fetch_and_##OP##_##WIDTH
      |                                ^~~~~~~~~~~~~~~~~
../../../src/libgcc/config/arm/linux-atomic.c:131:3: note: in expansion of macro 'NAME_oldval'
  131 |   NAME##_##RETURN (OP, WIDTH) (TYPE *ptr, TYPE val)                     \
      |   ^~~~
../../../src/libgcc/config/arm/linux-atomic.c:156:1: note: in expansion of macro 'SUBWORD_SYNC_OP'
  156 | SUBWORD_SYNC_OP (nand, ~, &, short, 2, oldval)
      | ^~~~~~~~~~~~~~~
../../../src/libgcc/config/arm/linux-atomic.c:123:32: warning: conflicting types for built-in function '__sync_fetch_and_add_1'; expected 'unsigned char(volatile void *, unsigned char)' [-Wbuiltin-declaration-mismatch]
  123 | #define NAME_oldval(OP, WIDTH) __sync_fetch_and_##OP##_##WIDTH
      |                                ^~~~~~~~~~~~~~~~~
../../../src/libgcc/config/arm/linux-atomic.c:131:3: note: in expansion of macro 'NAME_oldval'
  131 |   NAME##_##RETURN (OP, WIDTH) (TYPE *ptr, TYPE val)                     \
      |   ^~~~
../../../src/libgcc/config/arm/linux-atomic.c:158:1: note: in expansion of macro 'SUBWORD_SYNC_OP'
  158 | SUBWORD_SYNC_OP (add,   , +, signed char, 1, oldval)
      | ^~~~~~~~~~~~~~~
../../../src/libgcc/config/arm/linux-atomic.c:123:32: warning: conflicting types for built-in function '__sync_fetch_and_sub_1'; expected 'unsigned char(volatile void *, unsigned char)' [-Wbuiltin-declaration-mismatch]
  123 | #define NAME_oldval(OP, WIDTH) __sync_fetch_and_##OP##_##WIDTH
      |                                ^~~~~~~~~~~~~~~~~
../../../src/libgcc/config/arm/linux-atomic.c:131:3: note: in expansion of macro 'NAME_oldval'
  131 |   NAME##_##RETURN (OP, WIDTH) (TYPE *ptr, TYPE val)                     \
      |   ^~~~
../../../src/libgcc/config/arm/linux-atomic.c:159:1: note: in expansion of macro 'SUBWORD_SYNC_OP'
  159 | SUBWORD_SYNC_OP (sub,   , -, signed char, 1, oldval)
      | ^~~~~~~~~~~~~~~
../../../src/libgcc/config/arm/linux-atomic.c:123:32: warning: conflicting types for built-in function '__sync_fetch_and_or_1'; expected 'unsigned char(volatile void *, unsigned char)' [-Wbuiltin-declaration-mismatch]
  123 | #define NAME_oldval(OP, WIDTH) __sync_fetch_and_##OP##_##WIDTH
      |                                ^~~~~~~~~~~~~~~~~
../../../src/libgcc/config/arm/linux-atomic.c:131:3: note: in expansion of macro 'NAME_oldval'
  131 |   NAME##_##RETURN (OP, WIDTH) (TYPE *ptr, TYPE val)                     \
      |   ^~~~
../../../src/libgcc/config/arm/linux-atomic.c:160:1: note: in expansion of macro 'SUBWORD_SYNC_OP'
  160 | SUBWORD_SYNC_OP (or,    , |, signed char, 1, oldval)
      | ^~~~~~~~~~~~~~~
../../../src/libgcc/config/arm/linux-atomic.c:123:32: warning: conflicting types for built-in function '__sync_fetch_and_and_1'; expected 'unsigned char(volatile void *, unsigned char)' [-Wbuiltin-declaration-mismatch]
  123 | #define NAME_oldval(OP, WIDTH) __sync_fetch_and_##OP##_##WIDTH
      |                                ^~~~~~~~~~~~~~~~~
../../../src/libgcc/config/arm/linux-atomic.c:131:3: note: in expansion of macro 'NAME_oldval'
  131 |   NAME##_##RETURN (OP, WIDTH) (TYPE *ptr, TYPE val)                     \
      |   ^~~~
../../../src/libgcc/config/arm/linux-atomic.c:161:1: note: in expansion of macro 'SUBWORD_SYNC_OP'
  161 | SUBWORD_SYNC_OP (and,   , &, signed char, 1, oldval)
      | ^~~~~~~~~~~~~~~
../../../src/libgcc/config/arm/linux-atomic.c:123:32: warning: conflicting types for built-in function '__sync_fetch_and_xor_1'; expected 'unsigned char(volatile void *, unsigned char)' [-Wbuiltin-declaration-mismatch]
  123 | #define NAME_oldval(OP, WIDTH) __sync_fetch_and_##OP##_##WIDTH
      |                                ^~~~~~~~~~~~~~~~~
../../../src/libgcc/config/arm/linux-atomic.c:131:3: note: in expansion of macro 'NAME_oldval'
  131 |   NAME##_##RETURN (OP, WIDTH) (TYPE *ptr, TYPE val)                     \
      |   ^~~~
../../../src/libgcc/config/arm/linux-atomic.c:162:1: note: in expansion of macro 'SUBWORD_SYNC_OP'
  162 | SUBWORD_SYNC_OP (xor,   , ^, signed char, 1, oldval)
      | ^~~~~~~~~~~~~~~
../../../src/libgcc/config/arm/linux-atomic.c:123:32: warning: conflicting types for built-in function '__sync_fetch_and_nand_1'; expected 'unsigned char(volatile void *, unsigned char)' [-Wbuiltin-declaration-mismatch]
  123 | #define NAME_oldval(OP, WIDTH) __sync_fetch_and_##OP##_##WIDTH
      |                                ^~~~~~~~~~~~~~~~~
../../../src/libgcc/config/arm/linux-atomic.c:131:3: note: in expansion of macro 'NAME_oldval'
  131 |   NAME##_##RETURN (OP, WIDTH) (TYPE *ptr, TYPE val)                     \
      |   ^~~~
../../../src/libgcc/config/arm/linux-atomic.c:163:1: note: in expansion of macro 'SUBWORD_SYNC_OP'
  163 | SUBWORD_SYNC_OP (nand, ~, &, signed char, 1, oldval)
      | ^~~~~~~~~~~~~~~
../../../src/libgcc/config/arm/linux-atomic.c:167:3: warning: conflicting types for built-in function '__sync_add_and_fetch_4'; expected 'unsigned int(volatile void *, unsigned int)' [-Wbuiltin-declaration-mismatch]
  167 |   __sync_##OP##_and_fetch_4 (int *ptr, int val)                         \
      |   ^~~~~~~
../../../src/libgcc/config/arm/linux-atomic.c:179:1: note: in expansion of macro 'OP_AND_FETCH_WORD'
  179 | OP_AND_FETCH_WORD (add,   , +)
      | ^~~~~~~~~~~~~~~~~
../../../src/libgcc/config/arm/linux-atomic.c:167:3: warning: conflicting types for built-in function '__sync_sub_and_fetch_4'; expected 'unsigned int(volatile void *, unsigned int)' [-Wbuiltin-declaration-mismatch]
  167 |   __sync_##OP##_and_fetch_4 (int *ptr, int val)                         \
      |   ^~~~~~~
../../../src/libgcc/config/arm/linux-atomic.c:180:1: note: in expansion of macro 'OP_AND_FETCH_WORD'
  180 | OP_AND_FETCH_WORD (sub,   , -)
      | ^~~~~~~~~~~~~~~~~
../../../src/libgcc/config/arm/linux-atomic.c:167:3: warning: conflicting types for built-in function '__sync_or_and_fetch_4'; expected 'unsigned int(volatile void *, unsigned int)' [-Wbuiltin-declaration-mismatch]
  167 |   __sync_##OP##_and_fetch_4 (int *ptr, int val)                         \
      |   ^~~~~~~
../../../src/libgcc/config/arm/linux-atomic.c:181:1: note: in expansion of macro 'OP_AND_FETCH_WORD'
  181 | OP_AND_FETCH_WORD (or,    , |)
      | ^~~~~~~~~~~~~~~~~
../../../src/libgcc/config/arm/linux-atomic.c:167:3: warning: conflicting types for built-in function '__sync_and_and_fetch_4'; expected 'unsigned int(volatile void *, unsigned int)' [-Wbuiltin-declaration-mismatch]
  167 |   __sync_##OP##_and_fetch_4 (int *ptr, int val)                         \
      |   ^~~~~~~
../../../src/libgcc/config/arm/linux-atomic.c:182:1: note: in expansion of macro 'OP_AND_FETCH_WORD'
  182 | OP_AND_FETCH_WORD (and,   , &)
      | ^~~~~~~~~~~~~~~~~
../../../src/libgcc/config/arm/linux-atomic.c:167:3: warning: conflicting types for built-in function '__sync_xor_and_fetch_4'; expected 'unsigned int(volatile void *, unsigned int)' [-Wbuiltin-declaration-mismatch]
  167 |   __sync_##OP##_and_fetch_4 (int *ptr, int val)                         \
      |   ^~~~~~~
../../../src/libgcc/config/arm/linux-atomic.c:183:1: note: in expansion of macro 'OP_AND_FETCH_WORD'
  183 | OP_AND_FETCH_WORD (xor,   , ^)
      | ^~~~~~~~~~~~~~~~~
../../../src/libgcc/config/arm/linux-atomic.c:167:3: warning: conflicting types for built-in function '__sync_nand_and_fetch_4'; expected 'unsigned int(volatile void *, unsigned int)' [-Wbuiltin-declaration-mismatch]
  167 |   __sync_##OP##_and_fetch_4 (int *ptr, int val)                         \
      |   ^~~~~~~
../../../src/libgcc/config/arm/linux-atomic.c:184:1: note: in expansion of macro 'OP_AND_FETCH_WORD'
  184 | OP_AND_FETCH_WORD (nand, ~, &)
      | ^~~~~~~~~~~~~~~~~
../../../src/libgcc/config/arm/linux-atomic.c:124:32: warning: conflicting types for built-in function '__sync_add_and_fetch_2'; expected 'short unsigned int(volatile void *, short unsigned int)' [-Wbuiltin-declaration-mismatch]
  124 | #define NAME_newval(OP, WIDTH) __sync_##OP##_and_fetch_##WIDTH
      |                                ^~~~~~~
../../../src/libgcc/config/arm/linux-atomic.c:131:3: note: in expansion of macro 'NAME_newval'
  131 |   NAME##_##RETURN (OP, WIDTH) (TYPE *ptr, TYPE val)                     \
      |   ^~~~
../../../src/libgcc/config/arm/linux-atomic.c:186:1: note: in expansion of macro 'SUBWORD_SYNC_OP'
  186 | SUBWORD_SYNC_OP (add,   , +, short, 2, newval)
      | ^~~~~~~~~~~~~~~
../../../src/libgcc/config/arm/linux-atomic.c:124:32: warning: conflicting types for built-in function '__sync_sub_and_fetch_2'; expected 'short unsigned int(volatile void *, short unsigned int)' [-Wbuiltin-declaration-mismatch]
  124 | #define NAME_newval(OP, WIDTH) __sync_##OP##_and_fetch_##WIDTH
      |                                ^~~~~~~
../../../src/libgcc/config/arm/linux-atomic.c:131:3: note: in expansion of macro 'NAME_newval'
  131 |   NAME##_##RETURN (OP, WIDTH) (TYPE *ptr, TYPE val)                     \
      |   ^~~~
../../../src/libgcc/config/arm/linux-atomic.c:187:1: note: in expansion of macro 'SUBWORD_SYNC_OP'
  187 | SUBWORD_SYNC_OP (sub,   , -, short, 2, newval)
      | ^~~~~~~~~~~~~~~
../../../src/libgcc/config/arm/linux-atomic.c:124:32: warning: conflicting types for built-in function '__sync_or_and_fetch_2'; expected 'short unsigned int(volatile void *, short unsigned int)' [-Wbuiltin-declaration-mismatch]
  124 | #define NAME_newval(OP, WIDTH) __sync_##OP##_and_fetch_##WIDTH
      |                                ^~~~~~~
../../../src/libgcc/config/arm/linux-atomic.c:131:3: note: in expansion of macro 'NAME_newval'
  131 |   NAME##_##RETURN (OP, WIDTH) (TYPE *ptr, TYPE val)                     \
      |   ^~~~
../../../src/libgcc/config/arm/linux-atomic.c:188:1: note: in expansion of macro 'SUBWORD_SYNC_OP'
  188 | SUBWORD_SYNC_OP (or,    , |, short, 2, newval)
      | ^~~~~~~~~~~~~~~
../../../src/libgcc/config/arm/linux-atomic.c:124:32: warning: conflicting types for built-in function '__sync_and_and_fetch_2'; expected 'short unsigned int(volatile void *, short unsigned int)' [-Wbuiltin-declaration-mismatch]
  124 | #define NAME_newval(OP, WIDTH) __sync_##OP##_and_fetch_##WIDTH
      |                                ^~~~~~~
../../../src/libgcc/config/arm/linux-atomic.c:131:3: note: in expansion of macro 'NAME_newval'
  131 |   NAME##_##RETURN (OP, WIDTH) (TYPE *ptr, TYPE val)                     \
      |   ^~~~
../../../src/libgcc/config/arm/linux-atomic.c:189:1: note: in expansion of macro 'SUBWORD_SYNC_OP'
  189 | SUBWORD_SYNC_OP (and,   , &, short, 2, newval)
      | ^~~~~~~~~~~~~~~
../../../src/libgcc/config/arm/linux-atomic.c:124:32: warning: conflicting types for built-in function '__sync_xor_and_fetch_2'; expected 'short unsigned int(volatile void *, short unsigned int)' [-Wbuiltin-declaration-mismatch]
  124 | #define NAME_newval(OP, WIDTH) __sync_##OP##_and_fetch_##WIDTH
      |                                ^~~~~~~
../../../src/libgcc/config/arm/linux-atomic.c:131:3: note: in expansion of macro 'NAME_newval'
  131 |   NAME##_##RETURN (OP, WIDTH) (TYPE *ptr, TYPE val)                     \
      |   ^~~~
../../../src/libgcc/config/arm/linux-atomic.c:190:1: note: in expansion of macro 'SUBWORD_SYNC_OP'
  190 | SUBWORD_SYNC_OP (xor,   , ^, short, 2, newval)
      | ^~~~~~~~~~~~~~~
../../../src/libgcc/config/arm/linux-atomic.c:124:32: warning: conflicting types for built-in function '__sync_nand_and_fetch_2'; expected 'short unsigned int(volatile void *, short unsigned int)' [-Wbuiltin-declaration-mismatch]
  124 | #define NAME_newval(OP, WIDTH) __sync_##OP##_and_fetch_##WIDTH
      |                                ^~~~~~~
../../../src/libgcc/config/arm/linux-atomic.c:131:3: note: in expansion of macro 'NAME_newval'
  131 |   NAME##_##RETURN (OP, WIDTH) (TYPE *ptr, TYPE val)                     \
      |   ^~~~
../../../src/libgcc/config/arm/linux-atomic.c:191:1: note: in expansion of macro 'SUBWORD_SYNC_OP'
  191 | SUBWORD_SYNC_OP (nand, ~, &, short, 2, newval)
      | ^~~~~~~~~~~~~~~
../../../src/libgcc/config/arm/linux-atomic.c:124:32: warning: conflicting types for built-in function '__sync_add_and_fetch_1'; expected 'unsigned char(volatile void *, unsigned char)' [-Wbuiltin-declaration-mismatch]
  124 | #define NAME_newval(OP, WIDTH) __sync_##OP##_and_fetch_##WIDTH
      |                                ^~~~~~~
../../../src/libgcc/config/arm/linux-atomic.c:131:3: note: in expansion of macro 'NAME_newval'
  131 |   NAME##_##RETURN (OP, WIDTH) (TYPE *ptr, TYPE val)                     \
      |   ^~~~
../../../src/libgcc/config/arm/linux-atomic.c:193:1: note: in expansion of macro 'SUBWORD_SYNC_OP'
  193 | SUBWORD_SYNC_OP (add,   , +, signed char, 1, newval)
      | ^~~~~~~~~~~~~~~
../../../src/libgcc/config/arm/linux-atomic.c:124:32: warning: conflicting types for built-in function '__sync_sub_and_fetch_1'; expected 'unsigned char(volatile void *, unsigned char)' [-Wbuiltin-declaration-mismatch]
  124 | #define NAME_newval(OP, WIDTH) __sync_##OP##_and_fetch_##WIDTH
      |                                ^~~~~~~
../../../src/libgcc/config/arm/linux-atomic.c:131:3: note: in expansion of macro 'NAME_newval'
  131 |   NAME##_##RETURN (OP, WIDTH) (TYPE *ptr, TYPE val)                     \
      |   ^~~~
../../../src/libgcc/config/arm/linux-atomic.c:194:1: note: in expansion of macro 'SUBWORD_SYNC_OP'
  194 | SUBWORD_SYNC_OP (sub,   , -, signed char, 1, newval)
      | ^~~~~~~~~~~~~~~
../../../src/libgcc/config/arm/linux-atomic.c:124:32: warning: conflicting types for built-in function '__sync_or_and_fetch_1'; expected 'unsigned char(volatile void *, unsigned char)' [-Wbuiltin-declaration-mismatch]
  124 | #define NAME_newval(OP, WIDTH) __sync_##OP##_and_fetch_##WIDTH
      |                                ^~~~~~~
../../../src/libgcc/config/arm/linux-atomic.c:131:3: note: in expansion of macro 'NAME_newval'
  131 |   NAME##_##RETURN (OP, WIDTH) (TYPE *ptr, TYPE val)                     \
      |   ^~~~
../../../src/libgcc/config/arm/linux-atomic.c:195:1: note: in expansion of macro 'SUBWORD_SYNC_OP'
  195 | SUBWORD_SYNC_OP (or,    , |, signed char, 1, newval)
      | ^~~~~~~~~~~~~~~
../../../src/libgcc/config/arm/linux-atomic.c:124:32: warning: conflicting types for built-in function '__sync_and_and_fetch_1'; expected 'unsigned char(volatile void *, unsigned char)' [-Wbuiltin-declaration-mismatch]
  124 | #define NAME_newval(OP, WIDTH) __sync_##OP##_and_fetch_##WIDTH
      |                                ^~~~~~~
../../../src/libgcc/config/arm/linux-atomic.c:131:3: note: in expansion of macro 'NAME_newval'
  131 |   NAME##_##RETURN (OP, WIDTH) (TYPE *ptr, TYPE val)                     \
      |   ^~~~
../../../src/libgcc/config/arm/linux-atomic.c:196:1: note: in expansion of macro 'SUBWORD_SYNC_OP'
  196 | SUBWORD_SYNC_OP (and,   , &, signed char, 1, newval)
      | ^~~~~~~~~~~~~~~
../../../src/libgcc/config/arm/linux-atomic.c:124:32: warning: conflicting types for built-in function '__sync_xor_and_fetch_1'; expected 'unsigned char(volatile void *, unsigned char)' [-Wbuiltin-declaration-mismatch]
  124 | #define NAME_newval(OP, WIDTH) __sync_##OP##_and_fetch_##WIDTH
      |                                ^~~~~~~
../../../src/libgcc/config/arm/linux-atomic.c:131:3: note: in expansion of macro 'NAME_newval'
  131 |   NAME##_##RETURN (OP, WIDTH) (TYPE *ptr, TYPE val)                     \
      |   ^~~~
../../../src/libgcc/config/arm/linux-atomic.c:197:1: note: in expansion of macro 'SUBWORD_SYNC_OP'
  197 | SUBWORD_SYNC_OP (xor,   , ^, signed char, 1, newval)
      | ^~~~~~~~~~~~~~~
../../../src/libgcc/config/arm/linux-atomic.c:124:32: warning: conflicting types for built-in function '__sync_nand_and_fetch_1'; expected 'unsigned char(volatile void *, unsigned char)' [-Wbuiltin-declaration-mismatch]
  124 | #define NAME_newval(OP, WIDTH) __sync_##OP##_and_fetch_##WIDTH
      |                                ^~~~~~~
../../../src/libgcc/config/arm/linux-atomic.c:131:3: note: in expansion of macro 'NAME_newval'
  131 |   NAME##_##RETURN (OP, WIDTH) (TYPE *ptr, TYPE val)                     \
      |   ^~~~
../../../src/libgcc/config/arm/linux-atomic.c:198:1: note: in expansion of macro 'SUBWORD_SYNC_OP'
  198 | SUBWORD_SYNC_OP (nand, ~, &, signed char, 1, newval)
      | ^~~~~~~~~~~~~~~
../../../src/libgcc/config/arm/linux-atomic.c:201:1: warning: conflicting types for built-in function '__sync_val_compare_and_swap_4'; expected 'unsigned int(volatile void *, unsigned int,  unsigned int)' [-Wbuiltin-declaration-mismatch]
  201 | __sync_val_compare_and_swap_4 (int *ptr, int oldval, int newval)
      | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~
../../../src/libgcc/config/arm/linux-atomic.c:221:3: warning: conflicting types for built-in function '__sync_val_compare_and_swap_2'; expected 'short unsigned int(volatile void *, short unsigned int,  short unsigned int)' [-Wbuiltin-declaration-mismatch]
  221 |   __sync_val_compare_and_swap_##WIDTH (TYPE *ptr, TYPE oldval,          \
      |   ^~~~~~~~~~~~~~~~~~~~~~~~~~~~
../../../src/libgcc/config/arm/linux-atomic.c:249:1: note: in expansion of macro 'SUBWORD_VAL_CAS'
  249 | SUBWORD_VAL_CAS (short,       2)
      | ^~~~~~~~~~~~~~~
../../../src/libgcc/config/arm/linux-atomic.c:221:3: warning: conflicting types for built-in function '__sync_val_compare_and_swap_1'; expected 'unsigned char(volatile void *, unsigned char,  unsigned char)' [-Wbuiltin-declaration-mismatch]
  221 |   __sync_val_compare_and_swap_##WIDTH (TYPE *ptr, TYPE oldval,          \
      |   ^~~~~~~~~~~~~~~~~~~~~~~~~~~~
../../../src/libgcc/config/arm/linux-atomic.c:250:1: note: in expansion of macro 'SUBWORD_VAL_CAS'
  250 | SUBWORD_VAL_CAS (signed char, 1)
      | ^~~~~~~~~~~~~~~
../../../src/libgcc/config/arm/linux-atomic.c:255:1: warning: conflicting types for built-in function '__sync_bool_compare_and_swap_4'; expected '_Bool(volatile void *, unsigned int,  unsigned int)' [-Wbuiltin-declaration-mismatch]
  255 | __sync_bool_compare_and_swap_4 (int *ptr, int oldval, int newval)
      | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
../../../src/libgcc/config/arm/linux-atomic.c:263:3: warning: conflicting types for built-in function '__sync_bool_compare_and_swap_2'; expected '_Bool(volatile void *, short unsigned int,  short unsigned int)' [-Wbuiltin-declaration-mismatch]
  263 |   __sync_bool_compare_and_swap_##WIDTH (TYPE *ptr, TYPE oldval,         \
      |   ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~
../../../src/libgcc/config/arm/linux-atomic.c:271:1: note: in expansion of macro 'SUBWORD_BOOL_CAS'
  271 | SUBWORD_BOOL_CAS (short,       2)
      | ^~~~~~~~~~~~~~~~
../../../src/libgcc/config/arm/linux-atomic.c:263:3: warning: conflicting types for built-in function '__sync_bool_compare_and_swap_1'; expected '_Bool(volatile void *, unsigned char,  unsigned char)' [-Wbuiltin-declaration-mismatch]
  263 |   __sync_bool_compare_and_swap_##WIDTH (TYPE *ptr, TYPE oldval,         \
      |   ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~
../../../src/libgcc/config/arm/linux-atomic.c:272:1: note: in expansion of macro 'SUBWORD_BOOL_CAS'
  272 | SUBWORD_BOOL_CAS (signed char, 1)
      | ^~~~~~~~~~~~~~~~
../../../src/libgcc/config/arm/linux-atomic.c:281:1: warning: conflicting types for built-in function '__sync_lock_test_and_set_4'; expected 'unsigned int(volatile void *, unsigned int)' [-Wbuiltin-declaration-mismatch]
  281 | __sync_lock_test_and_set_4 (int *ptr, int val)
      | ^~~~~~~~~~~~~~~~~~~~~~~~~~
../../../src/libgcc/config/arm/linux-atomic.c:295:3: warning: conflicting types for built-in function '__sync_lock_test_and_set_2'; expected 'short unsigned int(volatile void *, short unsigned int)' [-Wbuiltin-declaration-mismatch]
  295 |   __sync_lock_test_and_set_##WIDTH (TYPE *ptr, TYPE val)                \
      |   ^~~~~~~~~~~~~~~~~~~~~~~~~
../../../src/libgcc/config/arm/linux-atomic.c:314:1: note: in expansion of macro 'SUBWORD_TEST_AND_SET'
  314 | SUBWORD_TEST_AND_SET (short,       2)
      | ^~~~~~~~~~~~~~~~~~~~
../../../src/libgcc/config/arm/linux-atomic.c:295:3: warning: conflicting types for built-in function '__sync_lock_test_and_set_1'; expected 'unsigned char(volatile void *, unsigned char)' [-Wbuiltin-declaration-mismatch]
  295 |   __sync_lock_test_and_set_##WIDTH (TYPE *ptr, TYPE val)                \
      |   ^~~~~~~~~~~~~~~~~~~~~~~~~
../../../src/libgcc/config/arm/linux-atomic.c:315:1: note: in expansion of macro 'SUBWORD_TEST_AND_SET'
  315 | SUBWORD_TEST_AND_SET (signed char, 1)
      | ^~~~~~~~~~~~~~~~~~~~
../../../src/libgcc/config/arm/linux-atomic.c:319:3: warning: conflicting types for built-in function '__sync_lock_release_8'; expected 'void(volatile void *)' [-Wbuiltin-declaration-mismatch]
  319 |   __sync_lock_release_##WIDTH (TYPE *ptr)                               \
      |   ^~~~~~~~~~~~~~~~~~~~
../../../src/libgcc/config/arm/linux-atomic.c:327:1: note: in expansion of macro 'SYNC_LOCK_RELEASE'
  327 | SYNC_LOCK_RELEASE (long long,   8)
      | ^~~~~~~~~~~~~~~~~
../../../src/libgcc/config/arm/linux-atomic.c:319:3: warning: conflicting types for built-in function '__sync_lock_release_4'; expected 'void(volatile void *)' [-Wbuiltin-declaration-mismatch]
  319 |   __sync_lock_release_##WIDTH (TYPE *ptr)                               \
      |   ^~~~~~~~~~~~~~~~~~~~
../../../src/libgcc/config/arm/linux-atomic.c:328:1: note: in expansion of macro 'SYNC_LOCK_RELEASE'
  328 | SYNC_LOCK_RELEASE (int,   4)
      | ^~~~~~~~~~~~~~~~~
../../../src/libgcc/config/arm/linux-atomic-64bit.c:73:3: warning: conflicting types for built-in function '__sync_fetch_and_add_8'; expected 'long long unsigned int(volatile void *, long long unsigned int)' [-Wbuiltin-declaration-mismatch]
   73 |   __sync_fetch_and_##OP##_8 (long long *ptr, long long val)     \
      |   ^~~~~~~~~~~~~~~~~
../../../src/libgcc/config/arm/linux-atomic-64bit.c:87:1: note: in expansion of macro 'FETCH_AND_OP_WORD64'
   87 | FETCH_AND_OP_WORD64 (add,   , +)
      | ^~~~~~~~~~~~~~~~~~~
../../../src/libgcc/config/arm/linux-atomic-64bit.c:73:3: warning: conflicting types for built-in function '__sync_fetch_and_sub_8'; expected 'long long unsigned int(volatile void *, long long unsigned int)' [-Wbuiltin-declaration-mismatch]
   73 |   __sync_fetch_and_##OP##_8 (long long *ptr, long long val)     \
      |   ^~~~~~~~~~~~~~~~~
../../../src/libgcc/config/arm/linux-atomic-64bit.c:88:1: note: in expansion of macro 'FETCH_AND_OP_WORD64'
   88 | FETCH_AND_OP_WORD64 (sub,   , -)
      | ^~~~~~~~~~~~~~~~~~~
../../../src/libgcc/config/arm/linux-atomic.c:319:3: warning: conflicting types for built-in function '__sync_lock_release_2'; expected 'void(volatile void *)' [-Wbuiltin-declaration-mismatch]
  319 |   __sync_lock_release_##WIDTH (TYPE *ptr)                               \
      |   ^~~~~~~~~~~~~~~~~~~~
../../../src/libgcc/config/arm/linux-atomic.c:329:1: note: in expansion of macro 'SYNC_LOCK_RELEASE'
  329 | SYNC_LOCK_RELEASE (short, 2)
      | ^~~~~~~~~~~~~~~~~
../../../src/libgcc/config/arm/linux-atomic.c:319:3: warning: conflicting types for built-in function '__sync_lock_release_1'; expected 'void(volatile void *)' [-Wbuiltin-declaration-mismatch]
  319 |   __sync_lock_release_##WIDTH (TYPE *ptr)                               \
      |   ^~~~~~~~~~~~~~~~~~~~
../../../src/libgcc/config/arm/linux-atomic.c:330:1: note: in expansion of macro 'SYNC_LOCK_RELEASE'
  330 | SYNC_LOCK_RELEASE (char,  1)
      | ^~~~~~~~~~~~~~~~~
../../../src/libgcc/config/arm/linux-atomic-64bit.c:73:3: warning: conflicting types for built-in function '__sync_fetch_and_or_8'; expected 'long long unsigned int(volatile void *, long long unsigned int)' [-Wbuiltin-declaration-mismatch]
   73 |   __sync_fetch_and_##OP##_8 (long long *ptr, long long val)     \
      |   ^~~~~~~~~~~~~~~~~
../../../src/libgcc/config/arm/linux-atomic-64bit.c:89:1: note: in expansion of macro 'FETCH_AND_OP_WORD64'
   89 | FETCH_AND_OP_WORD64 (or,    , |)
      | ^~~~~~~~~~~~~~~~~~~
../../../src/libgcc/config/arm/linux-atomic-64bit.c:73:3: warning: conflicting types for built-in function '__sync_fetch_and_and_8'; expected 'long long unsigned int(volatile void *, long long unsigned int)' [-Wbuiltin-declaration-mismatch]
   73 |   __sync_fetch_and_##OP##_8 (long long *ptr, long long val)     \
      |   ^~~~~~~~~~~~~~~~~
../../../src/libgcc/config/arm/linux-atomic-64bit.c:90:1: note: in expansion of macro 'FETCH_AND_OP_WORD64'
   90 | FETCH_AND_OP_WORD64 (and,   , &)
      | ^~~~~~~~~~~~~~~~~~~
../../../src/libgcc/config/arm/linux-atomic-64bit.c:73:3: warning: conflicting types for built-in function '__sync_fetch_and_xor_8'; expected 'long long unsigned int(volatile void *, long long unsigned int)' [-Wbuiltin-declaration-mismatch]
   73 |   __sync_fetch_and_##OP##_8 (long long *ptr, long long val)     \
      |   ^~~~~~~~~~~~~~~~~
../../../src/libgcc/config/arm/linux-atomic-64bit.c:91:1: note: in expansion of macro 'FETCH_AND_OP_WORD64'
   91 | FETCH_AND_OP_WORD64 (xor,   , ^)
      | ^~~~~~~~~~~~~~~~~~~
../../../src/libgcc/config/arm/linux-atomic-64bit.c:73:3: warning: conflicting types for built-in function '__sync_fetch_and_nand_8'; expected 'long long unsigned int(volatile void *, long long unsigned int)' [-Wbuiltin-declaration-mismatch]
   73 |   __sync_fetch_and_##OP##_8 (long long *ptr, long long val)     \
      |   ^~~~~~~~~~~~~~~~~
../../../src/libgcc/config/arm/linux-atomic-64bit.c:92:1: note: in expansion of macro 'FETCH_AND_OP_WORD64'
   92 | FETCH_AND_OP_WORD64 (nand, ~, &)
      | ^~~~~~~~~~~~~~~~~~~
../../../src/libgcc/config/arm/linux-atomic-64bit.c:102:3: warning: conflicting types for built-in function '__sync_add_and_fetch_8'; expected 'long long unsigned int(volatile void *, long long unsigned int)' [-Wbuiltin-declaration-mismatch]
  102 |   __sync_##OP##_and_fetch_8 (long long *ptr, long long val)     \
      |   ^~~~~~~
../../../src/libgcc/config/arm/linux-atomic-64bit.c:116:1: note: in expansion of macro 'OP_AND_FETCH_WORD64'
  116 | OP_AND_FETCH_WORD64 (add,   , +)
      | ^~~~~~~~~~~~~~~~~~~
../../../src/libgcc/config/arm/linux-atomic-64bit.c:102:3: warning: conflicting types for built-in function '__sync_sub_and_fetch_8'; expected 'long long unsigned int(volatile void *, long long unsigned int)' [-Wbuiltin-declaration-mismatch]
  102 |   __sync_##OP##_and_fetch_8 (long long *ptr, long long val)     \
      |   ^~~~~~~
../../../src/libgcc/config/arm/linux-atomic-64bit.c:117:1: note: in expansion of macro 'OP_AND_FETCH_WORD64'
  117 | OP_AND_FETCH_WORD64 (sub,   , -)
      | ^~~~~~~~~~~~~~~~~~~
../../../src/libgcc/config/arm/linux-atomic-64bit.c:102:3: warning: conflicting types for built-in function '__sync_or_and_fetch_8'; expected 'long long unsigned int(volatile void *, long long unsigned int)' [-Wbuiltin-declaration-mismatch]
  102 |   __sync_##OP##_and_fetch_8 (long long *ptr, long long val)     \
      |   ^~~~~~~
../../../src/libgcc/config/arm/linux-atomic-64bit.c:118:1: note: in expansion of macro 'OP_AND_FETCH_WORD64'
  118 | OP_AND_FETCH_WORD64 (or,    , |)
      | ^~~~~~~~~~~~~~~~~~~
../../../src/libgcc/config/arm/linux-atomic-64bit.c:102:3: warning: conflicting types for built-in function '__sync_and_and_fetch_8'; expected 'long long unsigned int(volatile void *, long long unsigned int)' [-Wbuiltin-declaration-mismatch]
  102 |   __sync_##OP##_and_fetch_8 (long long *ptr, long long val)     \
      |   ^~~~~~~
../../../src/libgcc/config/arm/linux-atomic-64bit.c:119:1: note: in expansion of macro 'OP_AND_FETCH_WORD64'
  119 | OP_AND_FETCH_WORD64 (and,   , &)
      | ^~~~~~~~~~~~~~~~~~~
../../../src/libgcc/config/arm/linux-atomic-64bit.c:102:3: warning: conflicting types for built-in function '__sync_xor_and_fetch_8'; expected 'long long unsigned int(volatile void *, long long unsigned int)' [-Wbuiltin-declaration-mismatch]
  102 |   __sync_##OP##_and_fetch_8 (long long *ptr, long long val)     \
      |   ^~~~~~~
../../../src/libgcc/config/arm/linux-atomic-64bit.c:120:1: note: in expansion of macro 'OP_AND_FETCH_WORD64'
  120 | OP_AND_FETCH_WORD64 (xor,   , ^)
      | ^~~~~~~~~~~~~~~~~~~
../../../src/libgcc/config/arm/linux-atomic-64bit.c:102:3: warning: conflicting types for built-in function '__sync_nand_and_fetch_8'; expected 'long long unsigned int(volatile void *, long long unsigned int)' [-Wbuiltin-declaration-mismatch]
  102 |   __sync_##OP##_and_fetch_8 (long long *ptr, long long val)     \
      |   ^~~~~~~
../../../src/libgcc/config/arm/linux-atomic-64bit.c:121:1: note: in expansion of macro 'OP_AND_FETCH_WORD64'
  121 | OP_AND_FETCH_WORD64 (nand, ~, &)
      | ^~~~~~~~~~~~~~~~~~~
../../../src/libgcc/config/arm/linux-atomic-64bit.c:124:1: warning: conflicting types for built-in function '__sync_val_compare_and_swap_8'; expected 'long long unsigned int(volatile void *, long long unsigned int,  long long unsigned int)' [-Wbuiltin-declaration-mismatch]
  124 | __sync_val_compare_and_swap_8 (long long *ptr, long long oldval,
      | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~
../../../src/libgcc/config/arm/linux-atomic-64bit.c:147:1: warning: conflicting types for built-in function '__sync_bool_compare_and_swap_8'; expected '_Bool(volatile void *, long long unsigned int,  long long unsigned int)' [-Wbuiltin-declaration-mismatch]
  147 | __sync_bool_compare_and_swap_8 (long long *ptr, long long oldval,
      | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
../../../src/libgcc/config/arm/linux-atomic-64bit.c:155:1: warning: conflicting types for built-in function '__sync_lock_test_and_set_8'; expected 'long long unsigned int(volatile void *, long long unsigned int)' [-Wbuiltin-declaration-mismatch]
  155 | __sync_lock_test_and_set_8 (long long *ptr, long long val)
      | ^~~~~~~~~~~~~~~~~~~~~~~~~~
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _gcov_merge_topn.o -MT _gcov_merge_topn.o -MD -MP -MF _gcov_merge_topn.dep -DL_gcov_merge_topn -c ../../../src/libgcc/libgcov-merge.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _gcov_merge_ior.o -MT _gcov_merge_ior.o -MD -MP -MF _gcov_merge_ior.dep -DL_gcov_merge_ior -c ../../../src/libgcc/libgcov-merge.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _gcov_merge_time_profile.o -MT _gcov_merge_time_profile.o -MD -MP -MF _gcov_merge_time_profile.dep -DL_gcov_merge_time_profile -c ../../../src/libgcc/libgcov-merge.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _gcov_interval_profiler.o -MT _gcov_interval_profiler.o -MD -MP -MF _gcov_interval_profiler.dep -DL_gcov_interval_profiler -c ../../../src/libgcc/libgcov-profiler.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _gcov_interval_profiler_atomic.o -MT _gcov_interval_profiler_atomic.o -MD -MP -MF _gcov_interval_profiler_atomic.dep -DL_gcov_interval_profiler_atomic -c ../../../src/libgcc/libgcov-profiler.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _gcov_pow2_profiler.o -MT _gcov_pow2_profiler.o -MD -MP -MF _gcov_pow2_profiler.dep -DL_gcov_pow2_profiler -c ../../../src/libgcc/libgcov-profiler.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _gcov_pow2_profiler_atomic.o -MT _gcov_pow2_profiler_atomic.o -MD -MP -MF _gcov_pow2_profiler_atomic.dep -DL_gcov_pow2_profiler_atomic -c ../../../src/libgcc/libgcov-profiler.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _gcov_topn_values_profiler.o -MT _gcov_topn_values_profiler.o -MD -MP -MF _gcov_topn_values_profiler.dep -DL_gcov_topn_values_profiler -c ../../../src/libgcc/libgcov-profiler.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _gcov_topn_values_profiler_atomic.o -MT _gcov_topn_values_profiler_atomic.o -MD -MP -MF _gcov_topn_values_profiler_atomic.dep -DL_gcov_topn_values_profiler_atomic -c ../../../src/libgcc/libgcov-profiler.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _gcov_average_profiler.o -MT _gcov_average_profiler.o -MD -MP -MF _gcov_average_profiler.dep -DL_gcov_average_profiler -c ../../../src/libgcc/libgcov-profiler.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _gcov_average_profiler_atomic.o -MT _gcov_average_profiler_atomic.o -MD -MP -MF _gcov_average_profiler_atomic.dep -DL_gcov_average_profiler_atomic -c ../../../src/libgcc/libgcov-profiler.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _gcov_ior_profiler.o -MT _gcov_ior_profiler.o -MD -MP -MF _gcov_ior_profiler.dep -DL_gcov_ior_profiler -c ../../../src/libgcc/libgcov-profiler.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _gcov_ior_profiler_atomic.o -MT _gcov_ior_profiler_atomic.o -MD -MP -MF _gcov_ior_profiler_atomic.dep -DL_gcov_ior_profiler_atomic -c ../../../src/libgcc/libgcov-profiler.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _gcov_indirect_call_profiler_v4.o -MT _gcov_indirect_call_profiler_v4.o -MD -MP -MF _gcov_indirect_call_profiler_v4.dep -DL_gcov_indirect_call_profiler_v4 -c ../../../src/libgcc/libgcov-profiler.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _gcov_time_profiler.o -MT _gcov_time_profiler.o -MD -MP -MF _gcov_time_profiler.dep -DL_gcov_time_profiler -c ../../../src/libgcc/libgcov-profiler.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _gcov_dump.o -MT _gcov_dump.o -MD -MP -MF _gcov_dump.dep -DL_gcov_dump -c ../../../src/libgcc/libgcov-interface.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _gcov_fork.o -MT _gcov_fork.o -MD -MP -MF _gcov_fork.dep -DL_gcov_fork -c ../../../src/libgcc/libgcov-interface.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _gcov_execl.o -MT _gcov_execl.o -MD -MP -MF _gcov_execl.dep -DL_gcov_execl -c ../../../src/libgcc/libgcov-interface.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _gcov_execlp.o -MT _gcov_execlp.o -MD -MP -MF _gcov_execlp.dep -DL_gcov_execlp -c ../../../src/libgcc/libgcov-interface.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _gcov_execle.o -MT _gcov_execle.o -MD -MP -MF _gcov_execle.dep -DL_gcov_execle -c ../../../src/libgcc/libgcov-interface.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _gcov_execv.o -MT _gcov_execv.o -MD -MP -MF _gcov_execv.dep -DL_gcov_execv -c ../../../src/libgcc/libgcov-interface.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _gcov_execvp.o -MT _gcov_execvp.o -MD -MP -MF _gcov_execvp.dep -DL_gcov_execvp -c ../../../src/libgcc/libgcov-interface.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _gcov_execve.o -MT _gcov_execve.o -MD -MP -MF _gcov_execve.dep -DL_gcov_execve -c ../../../src/libgcc/libgcov-interface.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _gcov_reset.o -MT _gcov_reset.o -MD -MP -MF _gcov_reset.dep -DL_gcov_reset -c ../../../src/libgcc/libgcov-interface.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _gcov_lock_unlock.o -MT _gcov_lock_unlock.o -MD -MP -MF _gcov_lock_unlock.dep -DL_gcov_lock_unlock -c ../../../src/libgcc/libgcov-interface.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _gcov.o -MT _gcov.o -MD -MP -MF _gcov.dep -DL_gcov -c ../../../src/libgcc/libgcov-driver.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _gcov_info_to_gcda.o -MT _gcov_info_to_gcda.o -MD -MP -MF _gcov_info_to_gcda.dep -DL_gcov_info_to_gcda -c ../../../src/libgcc/libgcov-driver.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o unwind-arm.o -MT unwind-arm.o -MD -MP -MF unwind-arm.dep -fexceptions -c ../../../src/libgcc/config/arm/unwind-arm.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o libunwind_s.o -MT libunwind_s.o -MD -MP -MF libunwind_s.dep -DSHARED -c -xassembler-with-cpp ../../../src/libgcc/config/arm/libunwind.S
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o pr-support.o -MT pr-support.o -MD -MP -MF pr-support.dep -fexceptions -c ../../../src/libgcc/config/arm/pr-support.c -fvisibility=hidden -DHIDE_EXPORTS
In file included from ../../../src/libgcc/config/arm/unwind-arm.c:144:
../../../src/libgcc/unwind-arm-common.inc: In function 'get_eit_entry':
../../../src/libgcc/unwind-arm-common.inc:374:29: warning: cast discards 'const' qualifier from pointer target type [-Wcast-qual]
  374 |       ucbp->pr_cache.ehtp = (_Unwind_EHT_Header *)&eitp->content;
      |                             ^
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o unwind-c.o -MT unwind-c.o -MD -MP -MF unwind-c.dep -fexceptions -c ../../../src/libgcc/unwind-c.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o emutls.o -MT emutls.o -MD -MP -MF emutls.dep -fexceptions -c ../../../src/libgcc/emutls.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _muldi3_s.o -MT _muldi3_s.o -MD -MP -MF _muldi3_s.dep -DSHARED -DL_muldi3 -c ../../../src/libgcc/libgcc2.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _negdi2_s.o -MT _negdi2_s.o -MD -MP -MF _negdi2_s.dep -DSHARED -DL_negdi2 -c ../../../src/libgcc/libgcc2.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _cmpdi2_s.o -MT _cmpdi2_s.o -MD -MP -MF _cmpdi2_s.dep -DSHARED -DL_cmpdi2 -c ../../../src/libgcc/libgcc2.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ucmpdi2_s.o -MT _ucmpdi2_s.o -MD -MP -MF _ucmpdi2_s.dep -DSHARED -DL_ucmpdi2 -c ../../../src/libgcc/libgcc2.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _trampoline_s.o -MT _trampoline_s.o -MD -MP -MF _trampoline_s.dep -DSHARED -DL_trampoline -c ../../../src/libgcc/libgcc2.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o __main_s.o -MT __main_s.o -MD -MP -MF __main_s.dep -DSHARED -DL__main -c ../../../src/libgcc/libgcc2.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _absvsi2_s.o -MT _absvsi2_s.o -MD -MP -MF _absvsi2_s.dep -DSHARED -DL_absvsi2 -c ../../../src/libgcc/libgcc2.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _absvdi2_s.o -MT _absvdi2_s.o -MD -MP -MF _absvdi2_s.dep -DSHARED -DL_absvdi2 -c ../../../src/libgcc/libgcc2.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _addvsi3_s.o -MT _addvsi3_s.o -MD -MP -MF _addvsi3_s.dep -DSHARED -DL_addvsi3 -c ../../../src/libgcc/libgcc2.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _addvdi3_s.o -MT _addvdi3_s.o -MD -MP -MF _addvdi3_s.dep -DSHARED -DL_addvdi3 -c ../../../src/libgcc/libgcc2.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _subvsi3_s.o -MT _subvsi3_s.o -MD -MP -MF _subvsi3_s.dep -DSHARED -DL_subvsi3 -c ../../../src/libgcc/libgcc2.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _subvdi3_s.o -MT _subvdi3_s.o -MD -MP -MF _subvdi3_s.dep -DSHARED -DL_subvdi3 -c ../../../src/libgcc/libgcc2.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _mulvsi3_s.o -MT _mulvsi3_s.o -MD -MP -MF _mulvsi3_s.dep -DSHARED -DL_mulvsi3 -c ../../../src/libgcc/libgcc2.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _mulvdi3_s.o -MT _mulvdi3_s.o -MD -MP -MF _mulvdi3_s.dep -DSHARED -DL_mulvdi3 -c ../../../src/libgcc/libgcc2.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _negvsi2_s.o -MT _negvsi2_s.o -MD -MP -MF _negvsi2_s.dep -DSHARED -DL_negvsi2 -c ../../../src/libgcc/libgcc2.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _negvdi2_s.o -MT _negvdi2_s.o -MD -MP -MF _negvdi2_s.dep -DSHARED -DL_negvdi2 -c ../../../src/libgcc/libgcc2.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ctors_s.o -MT _ctors_s.o -MD -MP -MF _ctors_s.dep -DSHARED -DL_ctors -c ../../../src/libgcc/libgcc2.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ffssi2_s.o -MT _ffssi2_s.o -MD -MP -MF _ffssi2_s.dep -DSHARED -DL_ffssi2 -c ../../../src/libgcc/libgcc2.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ffsdi2_s.o -MT _ffsdi2_s.o -MD -MP -MF _ffsdi2_s.dep -DSHARED -DL_ffsdi2 -c ../../../src/libgcc/libgcc2.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _clz_s.o -MT _clz_s.o -MD -MP -MF _clz_s.dep -DSHARED -DL_clz -c ../../../src/libgcc/libgcc2.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ctzdi2_s.o -MT _ctzdi2_s.o -MD -MP -MF _ctzdi2_s.dep -DSHARED -DL_ctzdi2 -c ../../../src/libgcc/libgcc2.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _popcount_tab_s.o -MT _popcount_tab_s.o -MD -MP -MF _popcount_tab_s.dep -DSHARED -DL_popcount_tab -c ../../../src/libgcc/libgcc2.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _popcountsi2_s.o -MT _popcountsi2_s.o -MD -MP -MF _popcountsi2_s.dep -DSHARED -DL_popcountsi2 -c ../../../src/libgcc/libgcc2.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _popcountdi2_s.o -MT _popcountdi2_s.o -MD -MP -MF _popcountdi2_s.dep -DSHARED -DL_popcountdi2 -c ../../../src/libgcc/libgcc2.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _paritysi2_s.o -MT _paritysi2_s.o -MD -MP -MF _paritysi2_s.dep -DSHARED -DL_paritysi2 -c ../../../src/libgcc/libgcc2.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _paritydi2_s.o -MT _paritydi2_s.o -MD -MP -MF _paritydi2_s.dep -DSHARED -DL_paritydi2 -c ../../../src/libgcc/libgcc2.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _powisf2_s.o -MT _powisf2_s.o -MD -MP -MF _powisf2_s.dep -DSHARED -DL_powisf2 -c ../../../src/libgcc/libgcc2.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _powidf2_s.o -MT _powidf2_s.o -MD -MP -MF _powidf2_s.dep -DSHARED -DL_powidf2 -c ../../../src/libgcc/libgcc2.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _powixf2_s.o -MT _powixf2_s.o -MD -MP -MF _powixf2_s.dep -DSHARED -DL_powixf2 -c ../../../src/libgcc/libgcc2.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _powitf2_s.o -MT _powitf2_s.o -MD -MP -MF _powitf2_s.dep -DSHARED -DL_powitf2 -c ../../../src/libgcc/libgcc2.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _mulhc3_s.o -MT _mulhc3_s.o -MD -MP -MF _mulhc3_s.dep -DSHARED -DL_mulhc3 -c ../../../src/libgcc/libgcc2.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _mulsc3_s.o -MT _mulsc3_s.o -MD -MP -MF _mulsc3_s.dep -DSHARED -DL_mulsc3 -c ../../../src/libgcc/libgcc2.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _muldc3_s.o -MT _muldc3_s.o -MD -MP -MF _muldc3_s.dep -DSHARED -DL_muldc3 -c ../../../src/libgcc/libgcc2.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _mulxc3_s.o -MT _mulxc3_s.o -MD -MP -MF _mulxc3_s.dep -DSHARED -DL_mulxc3 -c ../../../src/libgcc/libgcc2.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _multc3_s.o -MT _multc3_s.o -MD -MP -MF _multc3_s.dep -DSHARED -DL_multc3 -c ../../../src/libgcc/libgcc2.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _divhc3_s.o -MT _divhc3_s.o -MD -MP -MF _divhc3_s.dep -DSHARED -DL_divhc3 -c ../../../src/libgcc/libgcc2.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _divsc3_s.o -MT _divsc3_s.o -MD -MP -MF _divsc3_s.dep -DSHARED -DL_divsc3 -c ../../../src/libgcc/libgcc2.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _divdc3_s.o -MT _divdc3_s.o -MD -MP -MF _divdc3_s.dep -DSHARED -DL_divdc3 -c ../../../src/libgcc/libgcc2.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _divxc3_s.o -MT _divxc3_s.o -MD -MP -MF _divxc3_s.dep -DSHARED -DL_divxc3 -c ../../../src/libgcc/libgcc2.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _divtc3_s.o -MT _divtc3_s.o -MD -MP -MF _divtc3_s.dep -DSHARED -DL_divtc3 -c ../../../src/libgcc/libgcc2.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _bswapsi2_s.o -MT _bswapsi2_s.o -MD -MP -MF _bswapsi2_s.dep -DSHARED -DL_bswapsi2 -c ../../../src/libgcc/libgcc2.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _bswapdi2_s.o -MT _bswapdi2_s.o -MD -MP -MF _bswapdi2_s.dep -DSHARED -DL_bswapdi2 -c ../../../src/libgcc/libgcc2.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _clrsbsi2_s.o -MT _clrsbsi2_s.o -MD -MP -MF _clrsbsi2_s.dep -DSHARED -DL_clrsbsi2 -c ../../../src/libgcc/libgcc2.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _clrsbdi2_s.o -MT _clrsbdi2_s.o -MD -MP -MF _clrsbdi2_s.dep -DSHARED -DL_clrsbdi2 -c ../../../src/libgcc/libgcc2.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fixunssfsi_s.o -MT _fixunssfsi_s.o -MD -MP -MF _fixunssfsi_s.dep -DSHARED -DL_fixunssfsi -c ../../../src/libgcc/libgcc2.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fixunsdfsi_s.o -MT _fixunsdfsi_s.o -MD -MP -MF _fixunsdfsi_s.dep -DSHARED -DL_fixunsdfsi -c ../../../src/libgcc/libgcc2.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fixunsxfsi_s.o -MT _fixunsxfsi_s.o -MD -MP -MF _fixunsxfsi_s.dep -DSHARED -DL_fixunsxfsi -c ../../../src/libgcc/libgcc2.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fixsfdi_s.o -MT _fixsfdi_s.o -MD -MP -MF _fixsfdi_s.dep -DSHARED -DL_fixsfdi -c ../../../src/libgcc/libgcc2.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fixdfdi_s.o -MT _fixdfdi_s.o -MD -MP -MF _fixdfdi_s.dep -DSHARED -DL_fixdfdi -c ../../../src/libgcc/libgcc2.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fixxfdi_s.o -MT _fixxfdi_s.o -MD -MP -MF _fixxfdi_s.dep -DSHARED -DL_fixxfdi -c ../../../src/libgcc/libgcc2.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fixtfdi_s.o -MT _fixtfdi_s.o -MD -MP -MF _fixtfdi_s.dep -DSHARED -DL_fixtfdi -c ../../../src/libgcc/libgcc2.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fixunssfdi_s.o -MT _fixunssfdi_s.o -MD -MP -MF _fixunssfdi_s.dep -DSHARED -DL_fixunssfdi -c ../../../src/libgcc/libgcc2.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fixunsdfdi_s.o -MT _fixunsdfdi_s.o -MD -MP -MF _fixunsdfdi_s.dep -DSHARED -DL_fixunsdfdi -c ../../../src/libgcc/libgcc2.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fixunsxfdi_s.o -MT _fixunsxfdi_s.o -MD -MP -MF _fixunsxfdi_s.dep -DSHARED -DL_fixunsxfdi -c ../../../src/libgcc/libgcc2.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fixunstfdi_s.o -MT _fixunstfdi_s.o -MD -MP -MF _fixunstfdi_s.dep -DSHARED -DL_fixunstfdi -c ../../../src/libgcc/libgcc2.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _floatdisf_s.o -MT _floatdisf_s.o -MD -MP -MF _floatdisf_s.dep -DSHARED -DL_floatdisf -c ../../../src/libgcc/libgcc2.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _floatdidf_s.o -MT _floatdidf_s.o -MD -MP -MF _floatdidf_s.dep -DSHARED -DL_floatdidf -c ../../../src/libgcc/libgcc2.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _floatdixf_s.o -MT _floatdixf_s.o -MD -MP -MF _floatdixf_s.dep -DSHARED -DL_floatdixf -c ../../../src/libgcc/libgcc2.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _floatditf_s.o -MT _floatditf_s.o -MD -MP -MF _floatditf_s.dep -DSHARED -DL_floatditf -c ../../../src/libgcc/libgcc2.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _floatundisf_s.o -MT _floatundisf_s.o -MD -MP -MF _floatundisf_s.dep -DSHARED -DL_floatundisf -c ../../../src/libgcc/libgcc2.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _floatundidf_s.o -MT _floatundidf_s.o -MD -MP -MF _floatundidf_s.dep -DSHARED -DL_floatundidf -c ../../../src/libgcc/libgcc2.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _floatundixf_s.o -MT _floatundixf_s.o -MD -MP -MF _floatundixf_s.dep -DSHARED -DL_floatundixf -c ../../../src/libgcc/libgcc2.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _floatunditf_s.o -MT _floatunditf_s.o -MD -MP -MF _floatunditf_s.dep -DSHARED -DL_floatunditf -c ../../../src/libgcc/libgcc2.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _divdi3_s.o -MT _divdi3_s.o -MD -MP -MF _divdi3_s.dep -DSHARED -DL_divdi3 -c ../../../src/libgcc/libgcc2.c \
  -fexceptions
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _moddi3_s.o -MT _moddi3_s.o -MD -MP -MF _moddi3_s.dep -DSHARED -DL_moddi3 -c ../../../src/libgcc/libgcc2.c \
  -fexceptions
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _divmoddi4_s.o -MT _divmoddi4_s.o -MD -MP -MF _divmoddi4_s.dep -DSHARED -DL_divmoddi4 -c ../../../src/libgcc/libgcc2.c \
  -fexceptions
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _udivdi3_s.o -MT _udivdi3_s.o -MD -MP -MF _udivdi3_s.dep -DSHARED -DL_udivdi3 -c ../../../src/libgcc/libgcc2.c \
  -fexceptions
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _umoddi3_s.o -MT _umoddi3_s.o -MD -MP -MF _umoddi3_s.dep -DSHARED -DL_umoddi3 -c ../../../src/libgcc/libgcc2.c \
  -fexceptions
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _udivmoddi4_s.o -MT _udivmoddi4_s.o -MD -MP -MF _udivmoddi4_s.dep -DSHARED -DL_udivmoddi4 -c ../../../src/libgcc/libgcc2.c \
  -fexceptions
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _udiv_w_sdiv_s.o -MT _udiv_w_sdiv_s.o -MD -MP -MF _udiv_w_sdiv_s.dep -DSHARED -DL_udiv_w_sdiv -c ../../../src/libgcc/libgcc2.c \
  -fexceptions
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _addQQ_s.o -MT _addQQ_s.o -MD -MP -MF _addQQ_s.dep -DSHARED -DL_add -DQQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _addHQ_s.o -MT _addHQ_s.o -MD -MP -MF _addHQ_s.dep -DSHARED -DL_add -DHQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _addSQ_s.o -MT _addSQ_s.o -MD -MP -MF _addSQ_s.dep -DSHARED -DL_add -DSQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _addDQ_s.o -MT _addDQ_s.o -MD -MP -MF _addDQ_s.dep -DSHARED -DL_add -DDQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _addTQ_s.o -MT _addTQ_s.o -MD -MP -MF _addTQ_s.dep -DSHARED -DL_add -DTQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _addHA_s.o -MT _addHA_s.o -MD -MP -MF _addHA_s.dep -DSHARED -DL_add -DHA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _addSA_s.o -MT _addSA_s.o -MD -MP -MF _addSA_s.dep -DSHARED -DL_add -DSA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _addDA_s.o -MT _addDA_s.o -MD -MP -MF _addDA_s.dep -DSHARED -DL_add -DDA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _addTA_s.o -MT _addTA_s.o -MD -MP -MF _addTA_s.dep -DSHARED -DL_add -DTA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _addUQQ_s.o -MT _addUQQ_s.o -MD -MP -MF _addUQQ_s.dep -DSHARED -DL_add -DUQQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _addUHQ_s.o -MT _addUHQ_s.o -MD -MP -MF _addUHQ_s.dep -DSHARED -DL_add -DUHQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _addUSQ_s.o -MT _addUSQ_s.o -MD -MP -MF _addUSQ_s.dep -DSHARED -DL_add -DUSQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _addUDQ_s.o -MT _addUDQ_s.o -MD -MP -MF _addUDQ_s.dep -DSHARED -DL_add -DUDQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _addUTQ_s.o -MT _addUTQ_s.o -MD -MP -MF _addUTQ_s.dep -DSHARED -DL_add -DUTQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _addUHA_s.o -MT _addUHA_s.o -MD -MP -MF _addUHA_s.dep -DSHARED -DL_add -DUHA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _addUSA_s.o -MT _addUSA_s.o -MD -MP -MF _addUSA_s.dep -DSHARED -DL_add -DUSA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _addUDA_s.o -MT _addUDA_s.o -MD -MP -MF _addUDA_s.dep -DSHARED -DL_add -DUDA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _addUTA_s.o -MT _addUTA_s.o -MD -MP -MF _addUTA_s.dep -DSHARED -DL_add -DUTA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _subQQ_s.o -MT _subQQ_s.o -MD -MP -MF _subQQ_s.dep -DSHARED -DL_sub -DQQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _subHQ_s.o -MT _subHQ_s.o -MD -MP -MF _subHQ_s.dep -DSHARED -DL_sub -DHQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _subSQ_s.o -MT _subSQ_s.o -MD -MP -MF _subSQ_s.dep -DSHARED -DL_sub -DSQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _subDQ_s.o -MT _subDQ_s.o -MD -MP -MF _subDQ_s.dep -DSHARED -DL_sub -DDQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _subTQ_s.o -MT _subTQ_s.o -MD -MP -MF _subTQ_s.dep -DSHARED -DL_sub -DTQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _subHA_s.o -MT _subHA_s.o -MD -MP -MF _subHA_s.dep -DSHARED -DL_sub -DHA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _subSA_s.o -MT _subSA_s.o -MD -MP -MF _subSA_s.dep -DSHARED -DL_sub -DSA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _subDA_s.o -MT _subDA_s.o -MD -MP -MF _subDA_s.dep -DSHARED -DL_sub -DDA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _subTA_s.o -MT _subTA_s.o -MD -MP -MF _subTA_s.dep -DSHARED -DL_sub -DTA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _subUQQ_s.o -MT _subUQQ_s.o -MD -MP -MF _subUQQ_s.dep -DSHARED -DL_sub -DUQQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _subUHQ_s.o -MT _subUHQ_s.o -MD -MP -MF _subUHQ_s.dep -DSHARED -DL_sub -DUHQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _subUSQ_s.o -MT _subUSQ_s.o -MD -MP -MF _subUSQ_s.dep -DSHARED -DL_sub -DUSQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _subUDQ_s.o -MT _subUDQ_s.o -MD -MP -MF _subUDQ_s.dep -DSHARED -DL_sub -DUDQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _subUTQ_s.o -MT _subUTQ_s.o -MD -MP -MF _subUTQ_s.dep -DSHARED -DL_sub -DUTQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _subUHA_s.o -MT _subUHA_s.o -MD -MP -MF _subUHA_s.dep -DSHARED -DL_sub -DUHA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _subUSA_s.o -MT _subUSA_s.o -MD -MP -MF _subUSA_s.dep -DSHARED -DL_sub -DUSA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _subUDA_s.o -MT _subUDA_s.o -MD -MP -MF _subUDA_s.dep -DSHARED -DL_sub -DUDA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _subUTA_s.o -MT _subUTA_s.o -MD -MP -MF _subUTA_s.dep -DSHARED -DL_sub -DUTA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _negQQ_s.o -MT _negQQ_s.o -MD -MP -MF _negQQ_s.dep -DSHARED -DL_neg -DQQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _negHQ_s.o -MT _negHQ_s.o -MD -MP -MF _negHQ_s.dep -DSHARED -DL_neg -DHQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _negSQ_s.o -MT _negSQ_s.o -MD -MP -MF _negSQ_s.dep -DSHARED -DL_neg -DSQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _negDQ_s.o -MT _negDQ_s.o -MD -MP -MF _negDQ_s.dep -DSHARED -DL_neg -DDQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _negTQ_s.o -MT _negTQ_s.o -MD -MP -MF _negTQ_s.dep -DSHARED -DL_neg -DTQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _negHA_s.o -MT _negHA_s.o -MD -MP -MF _negHA_s.dep -DSHARED -DL_neg -DHA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _negSA_s.o -MT _negSA_s.o -MD -MP -MF _negSA_s.dep -DSHARED -DL_neg -DSA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _negDA_s.o -MT _negDA_s.o -MD -MP -MF _negDA_s.dep -DSHARED -DL_neg -DDA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _negTA_s.o -MT _negTA_s.o -MD -MP -MF _negTA_s.dep -DSHARED -DL_neg -DTA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _negUQQ_s.o -MT _negUQQ_s.o -MD -MP -MF _negUQQ_s.dep -DSHARED -DL_neg -DUQQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _negUHQ_s.o -MT _negUHQ_s.o -MD -MP -MF _negUHQ_s.dep -DSHARED -DL_neg -DUHQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _negUSQ_s.o -MT _negUSQ_s.o -MD -MP -MF _negUSQ_s.dep -DSHARED -DL_neg -DUSQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _negUDQ_s.o -MT _negUDQ_s.o -MD -MP -MF _negUDQ_s.dep -DSHARED -DL_neg -DUDQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _negUTQ_s.o -MT _negUTQ_s.o -MD -MP -MF _negUTQ_s.dep -DSHARED -DL_neg -DUTQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _negUHA_s.o -MT _negUHA_s.o -MD -MP -MF _negUHA_s.dep -DSHARED -DL_neg -DUHA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _negUSA_s.o -MT _negUSA_s.o -MD -MP -MF _negUSA_s.dep -DSHARED -DL_neg -DUSA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _negUDA_s.o -MT _negUDA_s.o -MD -MP -MF _negUDA_s.dep -DSHARED -DL_neg -DUDA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _negUTA_s.o -MT _negUTA_s.o -MD -MP -MF _negUTA_s.dep -DSHARED -DL_neg -DUTA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _mulQQ_s.o -MT _mulQQ_s.o -MD -MP -MF _mulQQ_s.dep -DSHARED -DL_mul -DQQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _mulHQ_s.o -MT _mulHQ_s.o -MD -MP -MF _mulHQ_s.dep -DSHARED -DL_mul -DHQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _mulSQ_s.o -MT _mulSQ_s.o -MD -MP -MF _mulSQ_s.dep -DSHARED -DL_mul -DSQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _mulDQ_s.o -MT _mulDQ_s.o -MD -MP -MF _mulDQ_s.dep -DSHARED -DL_mul -DDQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _mulTQ_s.o -MT _mulTQ_s.o -MD -MP -MF _mulTQ_s.dep -DSHARED -DL_mul -DTQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _mulHA_s.o -MT _mulHA_s.o -MD -MP -MF _mulHA_s.dep -DSHARED -DL_mul -DHA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _mulSA_s.o -MT _mulSA_s.o -MD -MP -MF _mulSA_s.dep -DSHARED -DL_mul -DSA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _mulDA_s.o -MT _mulDA_s.o -MD -MP -MF _mulDA_s.dep -DSHARED -DL_mul -DDA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _mulTA_s.o -MT _mulTA_s.o -MD -MP -MF _mulTA_s.dep -DSHARED -DL_mul -DTA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _mulUQQ_s.o -MT _mulUQQ_s.o -MD -MP -MF _mulUQQ_s.dep -DSHARED -DL_mul -DUQQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _mulUHQ_s.o -MT _mulUHQ_s.o -MD -MP -MF _mulUHQ_s.dep -DSHARED -DL_mul -DUHQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _mulUSQ_s.o -MT _mulUSQ_s.o -MD -MP -MF _mulUSQ_s.dep -DSHARED -DL_mul -DUSQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _mulUDQ_s.o -MT _mulUDQ_s.o -MD -MP -MF _mulUDQ_s.dep -DSHARED -DL_mul -DUDQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _mulUTQ_s.o -MT _mulUTQ_s.o -MD -MP -MF _mulUTQ_s.dep -DSHARED -DL_mul -DUTQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _mulUHA_s.o -MT _mulUHA_s.o -MD -MP -MF _mulUHA_s.dep -DSHARED -DL_mul -DUHA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _mulUSA_s.o -MT _mulUSA_s.o -MD -MP -MF _mulUSA_s.dep -DSHARED -DL_mul -DUSA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _mulUDA_s.o -MT _mulUDA_s.o -MD -MP -MF _mulUDA_s.dep -DSHARED -DL_mul -DUDA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _mulUTA_s.o -MT _mulUTA_s.o -MD -MP -MF _mulUTA_s.dep -DSHARED -DL_mul -DUTA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _mulhelperQQ_s.o -MT _mulhelperQQ_s.o -MD -MP -MF _mulhelperQQ_s.dep -DSHARED -DL_mulhelper -DQQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _mulhelperHQ_s.o -MT _mulhelperHQ_s.o -MD -MP -MF _mulhelperHQ_s.dep -DSHARED -DL_mulhelper -DHQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _mulhelperSQ_s.o -MT _mulhelperSQ_s.o -MD -MP -MF _mulhelperSQ_s.dep -DSHARED -DL_mulhelper -DSQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _mulhelperDQ_s.o -MT _mulhelperDQ_s.o -MD -MP -MF _mulhelperDQ_s.dep -DSHARED -DL_mulhelper -DDQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _mulhelperTQ_s.o -MT _mulhelperTQ_s.o -MD -MP -MF _mulhelperTQ_s.dep -DSHARED -DL_mulhelper -DTQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _mulhelperHA_s.o -MT _mulhelperHA_s.o -MD -MP -MF _mulhelperHA_s.dep -DSHARED -DL_mulhelper -DHA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _mulhelperSA_s.o -MT _mulhelperSA_s.o -MD -MP -MF _mulhelperSA_s.dep -DSHARED -DL_mulhelper -DSA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _mulhelperDA_s.o -MT _mulhelperDA_s.o -MD -MP -MF _mulhelperDA_s.dep -DSHARED -DL_mulhelper -DDA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _mulhelperTA_s.o -MT _mulhelperTA_s.o -MD -MP -MF _mulhelperTA_s.dep -DSHARED -DL_mulhelper -DTA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _mulhelperUQQ_s.o -MT _mulhelperUQQ_s.o -MD -MP -MF _mulhelperUQQ_s.dep -DSHARED -DL_mulhelper -DUQQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _mulhelperUHQ_s.o -MT _mulhelperUHQ_s.o -MD -MP -MF _mulhelperUHQ_s.dep -DSHARED -DL_mulhelper -DUHQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _mulhelperUSQ_s.o -MT _mulhelperUSQ_s.o -MD -MP -MF _mulhelperUSQ_s.dep -DSHARED -DL_mulhelper -DUSQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _mulhelperUDQ_s.o -MT _mulhelperUDQ_s.o -MD -MP -MF _mulhelperUDQ_s.dep -DSHARED -DL_mulhelper -DUDQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _mulhelperUTQ_s.o -MT _mulhelperUTQ_s.o -MD -MP -MF _mulhelperUTQ_s.dep -DSHARED -DL_mulhelper -DUTQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _mulhelperUHA_s.o -MT _mulhelperUHA_s.o -MD -MP -MF _mulhelperUHA_s.dep -DSHARED -DL_mulhelper -DUHA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _mulhelperUSA_s.o -MT _mulhelperUSA_s.o -MD -MP -MF _mulhelperUSA_s.dep -DSHARED -DL_mulhelper -DUSA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _mulhelperUDA_s.o -MT _mulhelperUDA_s.o -MD -MP -MF _mulhelperUDA_s.dep -DSHARED -DL_mulhelper -DUDA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _mulhelperUTA_s.o -MT _mulhelperUTA_s.o -MD -MP -MF _mulhelperUTA_s.dep -DSHARED -DL_mulhelper -DUTA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _divhelperQQ_s.o -MT _divhelperQQ_s.o -MD -MP -MF _divhelperQQ_s.dep -DSHARED -DL_divhelper -DQQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _divhelperHQ_s.o -MT _divhelperHQ_s.o -MD -MP -MF _divhelperHQ_s.dep -DSHARED -DL_divhelper -DHQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _divhelperSQ_s.o -MT _divhelperSQ_s.o -MD -MP -MF _divhelperSQ_s.dep -DSHARED -DL_divhelper -DSQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _divhelperDQ_s.o -MT _divhelperDQ_s.o -MD -MP -MF _divhelperDQ_s.dep -DSHARED -DL_divhelper -DDQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _divhelperTQ_s.o -MT _divhelperTQ_s.o -MD -MP -MF _divhelperTQ_s.dep -DSHARED -DL_divhelper -DTQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _divhelperHA_s.o -MT _divhelperHA_s.o -MD -MP -MF _divhelperHA_s.dep -DSHARED -DL_divhelper -DHA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _divhelperSA_s.o -MT _divhelperSA_s.o -MD -MP -MF _divhelperSA_s.dep -DSHARED -DL_divhelper -DSA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _divhelperDA_s.o -MT _divhelperDA_s.o -MD -MP -MF _divhelperDA_s.dep -DSHARED -DL_divhelper -DDA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _divhelperTA_s.o -MT _divhelperTA_s.o -MD -MP -MF _divhelperTA_s.dep -DSHARED -DL_divhelper -DTA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _divhelperUQQ_s.o -MT _divhelperUQQ_s.o -MD -MP -MF _divhelperUQQ_s.dep -DSHARED -DL_divhelper -DUQQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _divhelperUHQ_s.o -MT _divhelperUHQ_s.o -MD -MP -MF _divhelperUHQ_s.dep -DSHARED -DL_divhelper -DUHQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _divhelperUSQ_s.o -MT _divhelperUSQ_s.o -MD -MP -MF _divhelperUSQ_s.dep -DSHARED -DL_divhelper -DUSQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _divhelperUDQ_s.o -MT _divhelperUDQ_s.o -MD -MP -MF _divhelperUDQ_s.dep -DSHARED -DL_divhelper -DUDQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _divhelperUTQ_s.o -MT _divhelperUTQ_s.o -MD -MP -MF _divhelperUTQ_s.dep -DSHARED -DL_divhelper -DUTQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _divhelperUHA_s.o -MT _divhelperUHA_s.o -MD -MP -MF _divhelperUHA_s.dep -DSHARED -DL_divhelper -DUHA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _divhelperUSA_s.o -MT _divhelperUSA_s.o -MD -MP -MF _divhelperUSA_s.dep -DSHARED -DL_divhelper -DUSA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _divhelperUDA_s.o -MT _divhelperUDA_s.o -MD -MP -MF _divhelperUDA_s.dep -DSHARED -DL_divhelper -DUDA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _divhelperUTA_s.o -MT _divhelperUTA_s.o -MD -MP -MF _divhelperUTA_s.dep -DSHARED -DL_divhelper -DUTA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ashlQQ_s.o -MT _ashlQQ_s.o -MD -MP -MF _ashlQQ_s.dep -DSHARED -DL_ashl -DQQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ashlHQ_s.o -MT _ashlHQ_s.o -MD -MP -MF _ashlHQ_s.dep -DSHARED -DL_ashl -DHQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ashlSQ_s.o -MT _ashlSQ_s.o -MD -MP -MF _ashlSQ_s.dep -DSHARED -DL_ashl -DSQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ashlDQ_s.o -MT _ashlDQ_s.o -MD -MP -MF _ashlDQ_s.dep -DSHARED -DL_ashl -DDQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ashlTQ_s.o -MT _ashlTQ_s.o -MD -MP -MF _ashlTQ_s.dep -DSHARED -DL_ashl -DTQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ashlHA_s.o -MT _ashlHA_s.o -MD -MP -MF _ashlHA_s.dep -DSHARED -DL_ashl -DHA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ashlSA_s.o -MT _ashlSA_s.o -MD -MP -MF _ashlSA_s.dep -DSHARED -DL_ashl -DSA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ashlDA_s.o -MT _ashlDA_s.o -MD -MP -MF _ashlDA_s.dep -DSHARED -DL_ashl -DDA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ashlTA_s.o -MT _ashlTA_s.o -MD -MP -MF _ashlTA_s.dep -DSHARED -DL_ashl -DTA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ashlUQQ_s.o -MT _ashlUQQ_s.o -MD -MP -MF _ashlUQQ_s.dep -DSHARED -DL_ashl -DUQQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ashlUHQ_s.o -MT _ashlUHQ_s.o -MD -MP -MF _ashlUHQ_s.dep -DSHARED -DL_ashl -DUHQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ashlUSQ_s.o -MT _ashlUSQ_s.o -MD -MP -MF _ashlUSQ_s.dep -DSHARED -DL_ashl -DUSQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ashlUDQ_s.o -MT _ashlUDQ_s.o -MD -MP -MF _ashlUDQ_s.dep -DSHARED -DL_ashl -DUDQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ashlUTQ_s.o -MT _ashlUTQ_s.o -MD -MP -MF _ashlUTQ_s.dep -DSHARED -DL_ashl -DUTQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ashlUHA_s.o -MT _ashlUHA_s.o -MD -MP -MF _ashlUHA_s.dep -DSHARED -DL_ashl -DUHA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ashlUSA_s.o -MT _ashlUSA_s.o -MD -MP -MF _ashlUSA_s.dep -DSHARED -DL_ashl -DUSA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ashlUDA_s.o -MT _ashlUDA_s.o -MD -MP -MF _ashlUDA_s.dep -DSHARED -DL_ashl -DUDA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ashlUTA_s.o -MT _ashlUTA_s.o -MD -MP -MF _ashlUTA_s.dep -DSHARED -DL_ashl -DUTA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ashlhelperQQ_s.o -MT _ashlhelperQQ_s.o -MD -MP -MF _ashlhelperQQ_s.dep -DSHARED -DL_ashlhelper -DQQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ashlhelperHQ_s.o -MT _ashlhelperHQ_s.o -MD -MP -MF _ashlhelperHQ_s.dep -DSHARED -DL_ashlhelper -DHQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ashlhelperSQ_s.o -MT _ashlhelperSQ_s.o -MD -MP -MF _ashlhelperSQ_s.dep -DSHARED -DL_ashlhelper -DSQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ashlhelperDQ_s.o -MT _ashlhelperDQ_s.o -MD -MP -MF _ashlhelperDQ_s.dep -DSHARED -DL_ashlhelper -DDQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ashlhelperTQ_s.o -MT _ashlhelperTQ_s.o -MD -MP -MF _ashlhelperTQ_s.dep -DSHARED -DL_ashlhelper -DTQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ashlhelperHA_s.o -MT _ashlhelperHA_s.o -MD -MP -MF _ashlhelperHA_s.dep -DSHARED -DL_ashlhelper -DHA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ashlhelperSA_s.o -MT _ashlhelperSA_s.o -MD -MP -MF _ashlhelperSA_s.dep -DSHARED -DL_ashlhelper -DSA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ashlhelperDA_s.o -MT _ashlhelperDA_s.o -MD -MP -MF _ashlhelperDA_s.dep -DSHARED -DL_ashlhelper -DDA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ashlhelperTA_s.o -MT _ashlhelperTA_s.o -MD -MP -MF _ashlhelperTA_s.dep -DSHARED -DL_ashlhelper -DTA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ashlhelperUQQ_s.o -MT _ashlhelperUQQ_s.o -MD -MP -MF _ashlhelperUQQ_s.dep -DSHARED -DL_ashlhelper -DUQQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ashlhelperUHQ_s.o -MT _ashlhelperUHQ_s.o -MD -MP -MF _ashlhelperUHQ_s.dep -DSHARED -DL_ashlhelper -DUHQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ashlhelperUSQ_s.o -MT _ashlhelperUSQ_s.o -MD -MP -MF _ashlhelperUSQ_s.dep -DSHARED -DL_ashlhelper -DUSQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ashlhelperUDQ_s.o -MT _ashlhelperUDQ_s.o -MD -MP -MF _ashlhelperUDQ_s.dep -DSHARED -DL_ashlhelper -DUDQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ashlhelperUTQ_s.o -MT _ashlhelperUTQ_s.o -MD -MP -MF _ashlhelperUTQ_s.dep -DSHARED -DL_ashlhelper -DUTQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ashlhelperUHA_s.o -MT _ashlhelperUHA_s.o -MD -MP -MF _ashlhelperUHA_s.dep -DSHARED -DL_ashlhelper -DUHA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ashlhelperUSA_s.o -MT _ashlhelperUSA_s.o -MD -MP -MF _ashlhelperUSA_s.dep -DSHARED -DL_ashlhelper -DUSA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ashlhelperUDA_s.o -MT _ashlhelperUDA_s.o -MD -MP -MF _ashlhelperUDA_s.dep -DSHARED -DL_ashlhelper -DUDA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ashlhelperUTA_s.o -MT _ashlhelperUTA_s.o -MD -MP -MF _ashlhelperUTA_s.dep -DSHARED -DL_ashlhelper -DUTA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _cmpQQ_s.o -MT _cmpQQ_s.o -MD -MP -MF _cmpQQ_s.dep -DSHARED -DL_cmp -DQQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _cmpHQ_s.o -MT _cmpHQ_s.o -MD -MP -MF _cmpHQ_s.dep -DSHARED -DL_cmp -DHQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _cmpSQ_s.o -MT _cmpSQ_s.o -MD -MP -MF _cmpSQ_s.dep -DSHARED -DL_cmp -DSQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _cmpDQ_s.o -MT _cmpDQ_s.o -MD -MP -MF _cmpDQ_s.dep -DSHARED -DL_cmp -DDQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _cmpTQ_s.o -MT _cmpTQ_s.o -MD -MP -MF _cmpTQ_s.dep -DSHARED -DL_cmp -DTQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _cmpHA_s.o -MT _cmpHA_s.o -MD -MP -MF _cmpHA_s.dep -DSHARED -DL_cmp -DHA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _cmpSA_s.o -MT _cmpSA_s.o -MD -MP -MF _cmpSA_s.dep -DSHARED -DL_cmp -DSA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _cmpDA_s.o -MT _cmpDA_s.o -MD -MP -MF _cmpDA_s.dep -DSHARED -DL_cmp -DDA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _cmpTA_s.o -MT _cmpTA_s.o -MD -MP -MF _cmpTA_s.dep -DSHARED -DL_cmp -DTA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _cmpUQQ_s.o -MT _cmpUQQ_s.o -MD -MP -MF _cmpUQQ_s.dep -DSHARED -DL_cmp -DUQQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _cmpUHQ_s.o -MT _cmpUHQ_s.o -MD -MP -MF _cmpUHQ_s.dep -DSHARED -DL_cmp -DUHQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _cmpUSQ_s.o -MT _cmpUSQ_s.o -MD -MP -MF _cmpUSQ_s.dep -DSHARED -DL_cmp -DUSQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _cmpUDQ_s.o -MT _cmpUDQ_s.o -MD -MP -MF _cmpUDQ_s.dep -DSHARED -DL_cmp -DUDQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _cmpUTQ_s.o -MT _cmpUTQ_s.o -MD -MP -MF _cmpUTQ_s.dep -DSHARED -DL_cmp -DUTQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _cmpUHA_s.o -MT _cmpUHA_s.o -MD -MP -MF _cmpUHA_s.dep -DSHARED -DL_cmp -DUHA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _cmpUSA_s.o -MT _cmpUSA_s.o -MD -MP -MF _cmpUSA_s.dep -DSHARED -DL_cmp -DUSA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _cmpUDA_s.o -MT _cmpUDA_s.o -MD -MP -MF _cmpUDA_s.dep -DSHARED -DL_cmp -DUDA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _cmpUTA_s.o -MT _cmpUTA_s.o -MD -MP -MF _cmpUTA_s.dep -DSHARED -DL_cmp -DUTA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _saturate1QQ_s.o -MT _saturate1QQ_s.o -MD -MP -MF _saturate1QQ_s.dep -DSHARED -DL_saturate1 -DQQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _saturate1HQ_s.o -MT _saturate1HQ_s.o -MD -MP -MF _saturate1HQ_s.dep -DSHARED -DL_saturate1 -DHQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _saturate1SQ_s.o -MT _saturate1SQ_s.o -MD -MP -MF _saturate1SQ_s.dep -DSHARED -DL_saturate1 -DSQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _saturate1DQ_s.o -MT _saturate1DQ_s.o -MD -MP -MF _saturate1DQ_s.dep -DSHARED -DL_saturate1 -DDQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _saturate1TQ_s.o -MT _saturate1TQ_s.o -MD -MP -MF _saturate1TQ_s.dep -DSHARED -DL_saturate1 -DTQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _saturate1HA_s.o -MT _saturate1HA_s.o -MD -MP -MF _saturate1HA_s.dep -DSHARED -DL_saturate1 -DHA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _saturate1SA_s.o -MT _saturate1SA_s.o -MD -MP -MF _saturate1SA_s.dep -DSHARED -DL_saturate1 -DSA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _saturate1DA_s.o -MT _saturate1DA_s.o -MD -MP -MF _saturate1DA_s.dep -DSHARED -DL_saturate1 -DDA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _saturate1TA_s.o -MT _saturate1TA_s.o -MD -MP -MF _saturate1TA_s.dep -DSHARED -DL_saturate1 -DTA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _saturate1UQQ_s.o -MT _saturate1UQQ_s.o -MD -MP -MF _saturate1UQQ_s.dep -DSHARED -DL_saturate1 -DUQQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _saturate1UHQ_s.o -MT _saturate1UHQ_s.o -MD -MP -MF _saturate1UHQ_s.dep -DSHARED -DL_saturate1 -DUHQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _saturate1USQ_s.o -MT _saturate1USQ_s.o -MD -MP -MF _saturate1USQ_s.dep -DSHARED -DL_saturate1 -DUSQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _saturate1UDQ_s.o -MT _saturate1UDQ_s.o -MD -MP -MF _saturate1UDQ_s.dep -DSHARED -DL_saturate1 -DUDQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _saturate1UTQ_s.o -MT _saturate1UTQ_s.o -MD -MP -MF _saturate1UTQ_s.dep -DSHARED -DL_saturate1 -DUTQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _saturate1UHA_s.o -MT _saturate1UHA_s.o -MD -MP -MF _saturate1UHA_s.dep -DSHARED -DL_saturate1 -DUHA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _saturate1USA_s.o -MT _saturate1USA_s.o -MD -MP -MF _saturate1USA_s.dep -DSHARED -DL_saturate1 -DUSA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _saturate1UDA_s.o -MT _saturate1UDA_s.o -MD -MP -MF _saturate1UDA_s.dep -DSHARED -DL_saturate1 -DUDA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _saturate1UTA_s.o -MT _saturate1UTA_s.o -MD -MP -MF _saturate1UTA_s.dep -DSHARED -DL_saturate1 -DUTA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _saturate2QQ_s.o -MT _saturate2QQ_s.o -MD -MP -MF _saturate2QQ_s.dep -DSHARED -DL_saturate2 -DQQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _saturate2HQ_s.o -MT _saturate2HQ_s.o -MD -MP -MF _saturate2HQ_s.dep -DSHARED -DL_saturate2 -DHQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _saturate2SQ_s.o -MT _saturate2SQ_s.o -MD -MP -MF _saturate2SQ_s.dep -DSHARED -DL_saturate2 -DSQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _saturate2DQ_s.o -MT _saturate2DQ_s.o -MD -MP -MF _saturate2DQ_s.dep -DSHARED -DL_saturate2 -DDQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _saturate2TQ_s.o -MT _saturate2TQ_s.o -MD -MP -MF _saturate2TQ_s.dep -DSHARED -DL_saturate2 -DTQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _saturate2HA_s.o -MT _saturate2HA_s.o -MD -MP -MF _saturate2HA_s.dep -DSHARED -DL_saturate2 -DHA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _saturate2SA_s.o -MT _saturate2SA_s.o -MD -MP -MF _saturate2SA_s.dep -DSHARED -DL_saturate2 -DSA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _saturate2DA_s.o -MT _saturate2DA_s.o -MD -MP -MF _saturate2DA_s.dep -DSHARED -DL_saturate2 -DDA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _saturate2TA_s.o -MT _saturate2TA_s.o -MD -MP -MF _saturate2TA_s.dep -DSHARED -DL_saturate2 -DTA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _saturate2UQQ_s.o -MT _saturate2UQQ_s.o -MD -MP -MF _saturate2UQQ_s.dep -DSHARED -DL_saturate2 -DUQQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _saturate2UHQ_s.o -MT _saturate2UHQ_s.o -MD -MP -MF _saturate2UHQ_s.dep -DSHARED -DL_saturate2 -DUHQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _saturate2USQ_s.o -MT _saturate2USQ_s.o -MD -MP -MF _saturate2USQ_s.dep -DSHARED -DL_saturate2 -DUSQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _saturate2UDQ_s.o -MT _saturate2UDQ_s.o -MD -MP -MF _saturate2UDQ_s.dep -DSHARED -DL_saturate2 -DUDQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _saturate2UTQ_s.o -MT _saturate2UTQ_s.o -MD -MP -MF _saturate2UTQ_s.dep -DSHARED -DL_saturate2 -DUTQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _saturate2UHA_s.o -MT _saturate2UHA_s.o -MD -MP -MF _saturate2UHA_s.dep -DSHARED -DL_saturate2 -DUHA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _saturate2USA_s.o -MT _saturate2USA_s.o -MD -MP -MF _saturate2USA_s.dep -DSHARED -DL_saturate2 -DUSA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _saturate2UDA_s.o -MT _saturate2UDA_s.o -MD -MP -MF _saturate2UDA_s.dep -DSHARED -DL_saturate2 -DUDA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _saturate2UTA_s.o -MT _saturate2UTA_s.o -MD -MP -MF _saturate2UTA_s.dep -DSHARED -DL_saturate2 -DUTA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ssaddQQ_s.o -MT _ssaddQQ_s.o -MD -MP -MF _ssaddQQ_s.dep -DSHARED -DL_ssadd -DQQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ssaddHQ_s.o -MT _ssaddHQ_s.o -MD -MP -MF _ssaddHQ_s.dep -DSHARED -DL_ssadd -DHQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ssaddSQ_s.o -MT _ssaddSQ_s.o -MD -MP -MF _ssaddSQ_s.dep -DSHARED -DL_ssadd -DSQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ssaddDQ_s.o -MT _ssaddDQ_s.o -MD -MP -MF _ssaddDQ_s.dep -DSHARED -DL_ssadd -DDQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ssaddTQ_s.o -MT _ssaddTQ_s.o -MD -MP -MF _ssaddTQ_s.dep -DSHARED -DL_ssadd -DTQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ssaddHA_s.o -MT _ssaddHA_s.o -MD -MP -MF _ssaddHA_s.dep -DSHARED -DL_ssadd -DHA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ssaddSA_s.o -MT _ssaddSA_s.o -MD -MP -MF _ssaddSA_s.dep -DSHARED -DL_ssadd -DSA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ssaddDA_s.o -MT _ssaddDA_s.o -MD -MP -MF _ssaddDA_s.dep -DSHARED -DL_ssadd -DDA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ssaddTA_s.o -MT _ssaddTA_s.o -MD -MP -MF _ssaddTA_s.dep -DSHARED -DL_ssadd -DTA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _sssubQQ_s.o -MT _sssubQQ_s.o -MD -MP -MF _sssubQQ_s.dep -DSHARED -DL_sssub -DQQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _sssubHQ_s.o -MT _sssubHQ_s.o -MD -MP -MF _sssubHQ_s.dep -DSHARED -DL_sssub -DHQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _sssubSQ_s.o -MT _sssubSQ_s.o -MD -MP -MF _sssubSQ_s.dep -DSHARED -DL_sssub -DSQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _sssubDQ_s.o -MT _sssubDQ_s.o -MD -MP -MF _sssubDQ_s.dep -DSHARED -DL_sssub -DDQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _sssubTQ_s.o -MT _sssubTQ_s.o -MD -MP -MF _sssubTQ_s.dep -DSHARED -DL_sssub -DTQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _sssubHA_s.o -MT _sssubHA_s.o -MD -MP -MF _sssubHA_s.dep -DSHARED -DL_sssub -DHA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _sssubSA_s.o -MT _sssubSA_s.o -MD -MP -MF _sssubSA_s.dep -DSHARED -DL_sssub -DSA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _sssubDA_s.o -MT _sssubDA_s.o -MD -MP -MF _sssubDA_s.dep -DSHARED -DL_sssub -DDA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _sssubTA_s.o -MT _sssubTA_s.o -MD -MP -MF _sssubTA_s.dep -DSHARED -DL_sssub -DTA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ssnegQQ_s.o -MT _ssnegQQ_s.o -MD -MP -MF _ssnegQQ_s.dep -DSHARED -DL_ssneg -DQQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ssnegHQ_s.o -MT _ssnegHQ_s.o -MD -MP -MF _ssnegHQ_s.dep -DSHARED -DL_ssneg -DHQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ssnegSQ_s.o -MT _ssnegSQ_s.o -MD -MP -MF _ssnegSQ_s.dep -DSHARED -DL_ssneg -DSQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ssnegDQ_s.o -MT _ssnegDQ_s.o -MD -MP -MF _ssnegDQ_s.dep -DSHARED -DL_ssneg -DDQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ssnegTQ_s.o -MT _ssnegTQ_s.o -MD -MP -MF _ssnegTQ_s.dep -DSHARED -DL_ssneg -DTQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ssnegHA_s.o -MT _ssnegHA_s.o -MD -MP -MF _ssnegHA_s.dep -DSHARED -DL_ssneg -DHA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ssnegSA_s.o -MT _ssnegSA_s.o -MD -MP -MF _ssnegSA_s.dep -DSHARED -DL_ssneg -DSA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ssnegDA_s.o -MT _ssnegDA_s.o -MD -MP -MF _ssnegDA_s.dep -DSHARED -DL_ssneg -DDA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ssnegTA_s.o -MT _ssnegTA_s.o -MD -MP -MF _ssnegTA_s.dep -DSHARED -DL_ssneg -DTA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ssmulQQ_s.o -MT _ssmulQQ_s.o -MD -MP -MF _ssmulQQ_s.dep -DSHARED -DL_ssmul -DQQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ssmulHQ_s.o -MT _ssmulHQ_s.o -MD -MP -MF _ssmulHQ_s.dep -DSHARED -DL_ssmul -DHQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ssmulSQ_s.o -MT _ssmulSQ_s.o -MD -MP -MF _ssmulSQ_s.dep -DSHARED -DL_ssmul -DSQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ssmulDQ_s.o -MT _ssmulDQ_s.o -MD -MP -MF _ssmulDQ_s.dep -DSHARED -DL_ssmul -DDQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ssmulTQ_s.o -MT _ssmulTQ_s.o -MD -MP -MF _ssmulTQ_s.dep -DSHARED -DL_ssmul -DTQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ssmulHA_s.o -MT _ssmulHA_s.o -MD -MP -MF _ssmulHA_s.dep -DSHARED -DL_ssmul -DHA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ssmulSA_s.o -MT _ssmulSA_s.o -MD -MP -MF _ssmulSA_s.dep -DSHARED -DL_ssmul -DSA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ssmulDA_s.o -MT _ssmulDA_s.o -MD -MP -MF _ssmulDA_s.dep -DSHARED -DL_ssmul -DDA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ssmulTA_s.o -MT _ssmulTA_s.o -MD -MP -MF _ssmulTA_s.dep -DSHARED -DL_ssmul -DTA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ssdivQQ_s.o -MT _ssdivQQ_s.o -MD -MP -MF _ssdivQQ_s.dep -DSHARED -DL_ssdiv -DQQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ssdivHQ_s.o -MT _ssdivHQ_s.o -MD -MP -MF _ssdivHQ_s.dep -DSHARED -DL_ssdiv -DHQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ssdivSQ_s.o -MT _ssdivSQ_s.o -MD -MP -MF _ssdivSQ_s.dep -DSHARED -DL_ssdiv -DSQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ssdivDQ_s.o -MT _ssdivDQ_s.o -MD -MP -MF _ssdivDQ_s.dep -DSHARED -DL_ssdiv -DDQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ssdivTQ_s.o -MT _ssdivTQ_s.o -MD -MP -MF _ssdivTQ_s.dep -DSHARED -DL_ssdiv -DTQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ssdivHA_s.o -MT _ssdivHA_s.o -MD -MP -MF _ssdivHA_s.dep -DSHARED -DL_ssdiv -DHA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ssdivSA_s.o -MT _ssdivSA_s.o -MD -MP -MF _ssdivSA_s.dep -DSHARED -DL_ssdiv -DSA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ssdivDA_s.o -MT _ssdivDA_s.o -MD -MP -MF _ssdivDA_s.dep -DSHARED -DL_ssdiv -DDA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ssdivTA_s.o -MT _ssdivTA_s.o -MD -MP -MF _ssdivTA_s.dep -DSHARED -DL_ssdiv -DTA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _divQQ_s.o -MT _divQQ_s.o -MD -MP -MF _divQQ_s.dep -DSHARED -DL_div -DQQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _divHQ_s.o -MT _divHQ_s.o -MD -MP -MF _divHQ_s.dep -DSHARED -DL_div -DHQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _divSQ_s.o -MT _divSQ_s.o -MD -MP -MF _divSQ_s.dep -DSHARED -DL_div -DSQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _divDQ_s.o -MT _divDQ_s.o -MD -MP -MF _divDQ_s.dep -DSHARED -DL_div -DDQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _divTQ_s.o -MT _divTQ_s.o -MD -MP -MF _divTQ_s.dep -DSHARED -DL_div -DTQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _divHA_s.o -MT _divHA_s.o -MD -MP -MF _divHA_s.dep -DSHARED -DL_div -DHA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _divSA_s.o -MT _divSA_s.o -MD -MP -MF _divSA_s.dep -DSHARED -DL_div -DSA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _divDA_s.o -MT _divDA_s.o -MD -MP -MF _divDA_s.dep -DSHARED -DL_div -DDA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _divTA_s.o -MT _divTA_s.o -MD -MP -MF _divTA_s.dep -DSHARED -DL_div -DTA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ssashlQQ_s.o -MT _ssashlQQ_s.o -MD -MP -MF _ssashlQQ_s.dep -DSHARED -DL_ssashl -DQQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ssashlHQ_s.o -MT _ssashlHQ_s.o -MD -MP -MF _ssashlHQ_s.dep -DSHARED -DL_ssashl -DHQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ssashlSQ_s.o -MT _ssashlSQ_s.o -MD -MP -MF _ssashlSQ_s.dep -DSHARED -DL_ssashl -DSQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ssashlDQ_s.o -MT _ssashlDQ_s.o -MD -MP -MF _ssashlDQ_s.dep -DSHARED -DL_ssashl -DDQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ssashlTQ_s.o -MT _ssashlTQ_s.o -MD -MP -MF _ssashlTQ_s.dep -DSHARED -DL_ssashl -DTQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ssashlHA_s.o -MT _ssashlHA_s.o -MD -MP -MF _ssashlHA_s.dep -DSHARED -DL_ssashl -DHA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ssashlSA_s.o -MT _ssashlSA_s.o -MD -MP -MF _ssashlSA_s.dep -DSHARED -DL_ssashl -DSA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ssashlDA_s.o -MT _ssashlDA_s.o -MD -MP -MF _ssashlDA_s.dep -DSHARED -DL_ssashl -DDA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ssashlTA_s.o -MT _ssashlTA_s.o -MD -MP -MF _ssashlTA_s.dep -DSHARED -DL_ssashl -DTA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ashrQQ_s.o -MT _ashrQQ_s.o -MD -MP -MF _ashrQQ_s.dep -DSHARED -DL_ashr -DQQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ashrHQ_s.o -MT _ashrHQ_s.o -MD -MP -MF _ashrHQ_s.dep -DSHARED -DL_ashr -DHQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ashrSQ_s.o -MT _ashrSQ_s.o -MD -MP -MF _ashrSQ_s.dep -DSHARED -DL_ashr -DSQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ashrDQ_s.o -MT _ashrDQ_s.o -MD -MP -MF _ashrDQ_s.dep -DSHARED -DL_ashr -DDQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ashrTQ_s.o -MT _ashrTQ_s.o -MD -MP -MF _ashrTQ_s.dep -DSHARED -DL_ashr -DTQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ashrHA_s.o -MT _ashrHA_s.o -MD -MP -MF _ashrHA_s.dep -DSHARED -DL_ashr -DHA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ashrSA_s.o -MT _ashrSA_s.o -MD -MP -MF _ashrSA_s.dep -DSHARED -DL_ashr -DSA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ashrDA_s.o -MT _ashrDA_s.o -MD -MP -MF _ashrDA_s.dep -DSHARED -DL_ashr -DDA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ashrTA_s.o -MT _ashrTA_s.o -MD -MP -MF _ashrTA_s.dep -DSHARED -DL_ashr -DTA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _usaddUQQ_s.o -MT _usaddUQQ_s.o -MD -MP -MF _usaddUQQ_s.dep -DSHARED -DL_usadd -DUQQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _usaddUHQ_s.o -MT _usaddUHQ_s.o -MD -MP -MF _usaddUHQ_s.dep -DSHARED -DL_usadd -DUHQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _usaddUSQ_s.o -MT _usaddUSQ_s.o -MD -MP -MF _usaddUSQ_s.dep -DSHARED -DL_usadd -DUSQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _usaddUDQ_s.o -MT _usaddUDQ_s.o -MD -MP -MF _usaddUDQ_s.dep -DSHARED -DL_usadd -DUDQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _usaddUTQ_s.o -MT _usaddUTQ_s.o -MD -MP -MF _usaddUTQ_s.dep -DSHARED -DL_usadd -DUTQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _usaddUHA_s.o -MT _usaddUHA_s.o -MD -MP -MF _usaddUHA_s.dep -DSHARED -DL_usadd -DUHA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _usaddUSA_s.o -MT _usaddUSA_s.o -MD -MP -MF _usaddUSA_s.dep -DSHARED -DL_usadd -DUSA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _usaddUDA_s.o -MT _usaddUDA_s.o -MD -MP -MF _usaddUDA_s.dep -DSHARED -DL_usadd -DUDA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _usaddUTA_s.o -MT _usaddUTA_s.o -MD -MP -MF _usaddUTA_s.dep -DSHARED -DL_usadd -DUTA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ussubUQQ_s.o -MT _ussubUQQ_s.o -MD -MP -MF _ussubUQQ_s.dep -DSHARED -DL_ussub -DUQQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ussubUHQ_s.o -MT _ussubUHQ_s.o -MD -MP -MF _ussubUHQ_s.dep -DSHARED -DL_ussub -DUHQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ussubUSQ_s.o -MT _ussubUSQ_s.o -MD -MP -MF _ussubUSQ_s.dep -DSHARED -DL_ussub -DUSQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ussubUDQ_s.o -MT _ussubUDQ_s.o -MD -MP -MF _ussubUDQ_s.dep -DSHARED -DL_ussub -DUDQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ussubUTQ_s.o -MT _ussubUTQ_s.o -MD -MP -MF _ussubUTQ_s.dep -DSHARED -DL_ussub -DUTQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ussubUHA_s.o -MT _ussubUHA_s.o -MD -MP -MF _ussubUHA_s.dep -DSHARED -DL_ussub -DUHA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ussubUSA_s.o -MT _ussubUSA_s.o -MD -MP -MF _ussubUSA_s.dep -DSHARED -DL_ussub -DUSA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ussubUDA_s.o -MT _ussubUDA_s.o -MD -MP -MF _ussubUDA_s.dep -DSHARED -DL_ussub -DUDA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ussubUTA_s.o -MT _ussubUTA_s.o -MD -MP -MF _ussubUTA_s.dep -DSHARED -DL_ussub -DUTA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _usnegUQQ_s.o -MT _usnegUQQ_s.o -MD -MP -MF _usnegUQQ_s.dep -DSHARED -DL_usneg -DUQQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _usnegUHQ_s.o -MT _usnegUHQ_s.o -MD -MP -MF _usnegUHQ_s.dep -DSHARED -DL_usneg -DUHQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _usnegUSQ_s.o -MT _usnegUSQ_s.o -MD -MP -MF _usnegUSQ_s.dep -DSHARED -DL_usneg -DUSQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _usnegUDQ_s.o -MT _usnegUDQ_s.o -MD -MP -MF _usnegUDQ_s.dep -DSHARED -DL_usneg -DUDQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _usnegUTQ_s.o -MT _usnegUTQ_s.o -MD -MP -MF _usnegUTQ_s.dep -DSHARED -DL_usneg -DUTQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _usnegUHA_s.o -MT _usnegUHA_s.o -MD -MP -MF _usnegUHA_s.dep -DSHARED -DL_usneg -DUHA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _usnegUSA_s.o -MT _usnegUSA_s.o -MD -MP -MF _usnegUSA_s.dep -DSHARED -DL_usneg -DUSA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _usnegUDA_s.o -MT _usnegUDA_s.o -MD -MP -MF _usnegUDA_s.dep -DSHARED -DL_usneg -DUDA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _usnegUTA_s.o -MT _usnegUTA_s.o -MD -MP -MF _usnegUTA_s.dep -DSHARED -DL_usneg -DUTA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _usmulUQQ_s.o -MT _usmulUQQ_s.o -MD -MP -MF _usmulUQQ_s.dep -DSHARED -DL_usmul -DUQQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _usmulUHQ_s.o -MT _usmulUHQ_s.o -MD -MP -MF _usmulUHQ_s.dep -DSHARED -DL_usmul -DUHQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _usmulUSQ_s.o -MT _usmulUSQ_s.o -MD -MP -MF _usmulUSQ_s.dep -DSHARED -DL_usmul -DUSQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _usmulUDQ_s.o -MT _usmulUDQ_s.o -MD -MP -MF _usmulUDQ_s.dep -DSHARED -DL_usmul -DUDQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _usmulUTQ_s.o -MT _usmulUTQ_s.o -MD -MP -MF _usmulUTQ_s.dep -DSHARED -DL_usmul -DUTQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _usmulUHA_s.o -MT _usmulUHA_s.o -MD -MP -MF _usmulUHA_s.dep -DSHARED -DL_usmul -DUHA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _usmulUSA_s.o -MT _usmulUSA_s.o -MD -MP -MF _usmulUSA_s.dep -DSHARED -DL_usmul -DUSA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _usmulUDA_s.o -MT _usmulUDA_s.o -MD -MP -MF _usmulUDA_s.dep -DSHARED -DL_usmul -DUDA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _usmulUTA_s.o -MT _usmulUTA_s.o -MD -MP -MF _usmulUTA_s.dep -DSHARED -DL_usmul -DUTA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _usdivUQQ_s.o -MT _usdivUQQ_s.o -MD -MP -MF _usdivUQQ_s.dep -DSHARED -DL_usdiv -DUQQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _usdivUHQ_s.o -MT _usdivUHQ_s.o -MD -MP -MF _usdivUHQ_s.dep -DSHARED -DL_usdiv -DUHQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _usdivUSQ_s.o -MT _usdivUSQ_s.o -MD -MP -MF _usdivUSQ_s.dep -DSHARED -DL_usdiv -DUSQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _usdivUDQ_s.o -MT _usdivUDQ_s.o -MD -MP -MF _usdivUDQ_s.dep -DSHARED -DL_usdiv -DUDQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _usdivUTQ_s.o -MT _usdivUTQ_s.o -MD -MP -MF _usdivUTQ_s.dep -DSHARED -DL_usdiv -DUTQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _usdivUHA_s.o -MT _usdivUHA_s.o -MD -MP -MF _usdivUHA_s.dep -DSHARED -DL_usdiv -DUHA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _usdivUSA_s.o -MT _usdivUSA_s.o -MD -MP -MF _usdivUSA_s.dep -DSHARED -DL_usdiv -DUSA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _usdivUDA_s.o -MT _usdivUDA_s.o -MD -MP -MF _usdivUDA_s.dep -DSHARED -DL_usdiv -DUDA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _usdivUTA_s.o -MT _usdivUTA_s.o -MD -MP -MF _usdivUTA_s.dep -DSHARED -DL_usdiv -DUTA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _udivUQQ_s.o -MT _udivUQQ_s.o -MD -MP -MF _udivUQQ_s.dep -DSHARED -DL_udiv -DUQQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _udivUHQ_s.o -MT _udivUHQ_s.o -MD -MP -MF _udivUHQ_s.dep -DSHARED -DL_udiv -DUHQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _udivUSQ_s.o -MT _udivUSQ_s.o -MD -MP -MF _udivUSQ_s.dep -DSHARED -DL_udiv -DUSQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _udivUDQ_s.o -MT _udivUDQ_s.o -MD -MP -MF _udivUDQ_s.dep -DSHARED -DL_udiv -DUDQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _udivUTQ_s.o -MT _udivUTQ_s.o -MD -MP -MF _udivUTQ_s.dep -DSHARED -DL_udiv -DUTQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _udivUHA_s.o -MT _udivUHA_s.o -MD -MP -MF _udivUHA_s.dep -DSHARED -DL_udiv -DUHA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _udivUSA_s.o -MT _udivUSA_s.o -MD -MP -MF _udivUSA_s.dep -DSHARED -DL_udiv -DUSA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _udivUDA_s.o -MT _udivUDA_s.o -MD -MP -MF _udivUDA_s.dep -DSHARED -DL_udiv -DUDA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _udivUTA_s.o -MT _udivUTA_s.o -MD -MP -MF _udivUTA_s.dep -DSHARED -DL_udiv -DUTA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _usashlUQQ_s.o -MT _usashlUQQ_s.o -MD -MP -MF _usashlUQQ_s.dep -DSHARED -DL_usashl -DUQQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _usashlUHQ_s.o -MT _usashlUHQ_s.o -MD -MP -MF _usashlUHQ_s.dep -DSHARED -DL_usashl -DUHQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _usashlUSQ_s.o -MT _usashlUSQ_s.o -MD -MP -MF _usashlUSQ_s.dep -DSHARED -DL_usashl -DUSQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _usashlUDQ_s.o -MT _usashlUDQ_s.o -MD -MP -MF _usashlUDQ_s.dep -DSHARED -DL_usashl -DUDQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _usashlUTQ_s.o -MT _usashlUTQ_s.o -MD -MP -MF _usashlUTQ_s.dep -DSHARED -DL_usashl -DUTQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _usashlUHA_s.o -MT _usashlUHA_s.o -MD -MP -MF _usashlUHA_s.dep -DSHARED -DL_usashl -DUHA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _usashlUSA_s.o -MT _usashlUSA_s.o -MD -MP -MF _usashlUSA_s.dep -DSHARED -DL_usashl -DUSA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _usashlUDA_s.o -MT _usashlUDA_s.o -MD -MP -MF _usashlUDA_s.dep -DSHARED -DL_usashl -DUDA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _usashlUTA_s.o -MT _usashlUTA_s.o -MD -MP -MF _usashlUTA_s.dep -DSHARED -DL_usashl -DUTA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _lshrUQQ_s.o -MT _lshrUQQ_s.o -MD -MP -MF _lshrUQQ_s.dep -DSHARED -DL_lshr -DUQQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _lshrUHQ_s.o -MT _lshrUHQ_s.o -MD -MP -MF _lshrUHQ_s.dep -DSHARED -DL_lshr -DUHQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _lshrUSQ_s.o -MT _lshrUSQ_s.o -MD -MP -MF _lshrUSQ_s.dep -DSHARED -DL_lshr -DUSQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _lshrUDQ_s.o -MT _lshrUDQ_s.o -MD -MP -MF _lshrUDQ_s.dep -DSHARED -DL_lshr -DUDQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _lshrUTQ_s.o -MT _lshrUTQ_s.o -MD -MP -MF _lshrUTQ_s.dep -DSHARED -DL_lshr -DUTQ_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _lshrUHA_s.o -MT _lshrUHA_s.o -MD -MP -MF _lshrUHA_s.dep -DSHARED -DL_lshr -DUHA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _lshrUSA_s.o -MT _lshrUSA_s.o -MD -MP -MF _lshrUSA_s.dep -DSHARED -DL_lshr -DUSA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _lshrUDA_s.o -MT _lshrUDA_s.o -MD -MP -MF _lshrUDA_s.dep -DSHARED -DL_lshr -DUDA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _lshrUTA_s.o -MT _lshrUTA_s.o -MD -MP -MF _lshrUTA_s.dep -DSHARED -DL_lshr -DUTA_MODE -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractQQHQ_s.o -MT _fractQQHQ_s.o -MD -MP -MF _fractQQHQ_s.dep -DSHARED -DL_fract -DFROM_QQ -DTO_HQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractQQSQ_s.o -MT _fractQQSQ_s.o -MD -MP -MF _fractQQSQ_s.dep -DSHARED -DL_fract -DFROM_QQ -DTO_SQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractQQDQ_s.o -MT _fractQQDQ_s.o -MD -MP -MF _fractQQDQ_s.dep -DSHARED -DL_fract -DFROM_QQ -DTO_DQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractQQTQ_s.o -MT _fractQQTQ_s.o -MD -MP -MF _fractQQTQ_s.dep -DSHARED -DL_fract -DFROM_QQ -DTO_TQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractQQHA_s.o -MT _fractQQHA_s.o -MD -MP -MF _fractQQHA_s.dep -DSHARED -DL_fract -DFROM_QQ -DTO_HA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractQQSA_s.o -MT _fractQQSA_s.o -MD -MP -MF _fractQQSA_s.dep -DSHARED -DL_fract -DFROM_QQ -DTO_SA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractQQDA_s.o -MT _fractQQDA_s.o -MD -MP -MF _fractQQDA_s.dep -DSHARED -DL_fract -DFROM_QQ -DTO_DA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractQQTA_s.o -MT _fractQQTA_s.o -MD -MP -MF _fractQQTA_s.dep -DSHARED -DL_fract -DFROM_QQ -DTO_TA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractQQUQQ_s.o -MT _fractQQUQQ_s.o -MD -MP -MF _fractQQUQQ_s.dep -DSHARED -DL_fract -DFROM_QQ -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractQQUHQ_s.o -MT _fractQQUHQ_s.o -MD -MP -MF _fractQQUHQ_s.dep -DSHARED -DL_fract -DFROM_QQ -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractQQUSQ_s.o -MT _fractQQUSQ_s.o -MD -MP -MF _fractQQUSQ_s.dep -DSHARED -DL_fract -DFROM_QQ -DTO_USQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractQQUDQ_s.o -MT _fractQQUDQ_s.o -MD -MP -MF _fractQQUDQ_s.dep -DSHARED -DL_fract -DFROM_QQ -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractQQUTQ_s.o -MT _fractQQUTQ_s.o -MD -MP -MF _fractQQUTQ_s.dep -DSHARED -DL_fract -DFROM_QQ -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractQQUHA_s.o -MT _fractQQUHA_s.o -MD -MP -MF _fractQQUHA_s.dep -DSHARED -DL_fract -DFROM_QQ -DTO_UHA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractQQUSA_s.o -MT _fractQQUSA_s.o -MD -MP -MF _fractQQUSA_s.dep -DSHARED -DL_fract -DFROM_QQ -DTO_USA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractQQUDA_s.o -MT _fractQQUDA_s.o -MD -MP -MF _fractQQUDA_s.dep -DSHARED -DL_fract -DFROM_QQ -DTO_UDA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractQQUTA_s.o -MT _fractQQUTA_s.o -MD -MP -MF _fractQQUTA_s.dep -DSHARED -DL_fract -DFROM_QQ -DTO_UTA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractQQQI_s.o -MT _fractQQQI_s.o -MD -MP -MF _fractQQQI_s.dep -DSHARED -DL_fract -DFROM_QQ -DTO_QI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractQQHI_s.o -MT _fractQQHI_s.o -MD -MP -MF _fractQQHI_s.dep -DSHARED -DL_fract -DFROM_QQ -DTO_HI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractQQSI_s.o -MT _fractQQSI_s.o -MD -MP -MF _fractQQSI_s.dep -DSHARED -DL_fract -DFROM_QQ -DTO_SI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractQQDI_s.o -MT _fractQQDI_s.o -MD -MP -MF _fractQQDI_s.dep -DSHARED -DL_fract -DFROM_QQ -DTO_DI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractQQTI_s.o -MT _fractQQTI_s.o -MD -MP -MF _fractQQTI_s.dep -DSHARED -DL_fract -DFROM_QQ -DTO_TI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractQQSF_s.o -MT _fractQQSF_s.o -MD -MP -MF _fractQQSF_s.dep -DSHARED -DL_fract -DFROM_QQ -DTO_SF -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractQQDF_s.o -MT _fractQQDF_s.o -MD -MP -MF _fractQQDF_s.dep -DSHARED -DL_fract -DFROM_QQ -DTO_DF -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHQQQ_s.o -MT _fractHQQQ_s.o -MD -MP -MF _fractHQQQ_s.dep -DSHARED -DL_fract -DFROM_HQ -DTO_QQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHQSQ_s.o -MT _fractHQSQ_s.o -MD -MP -MF _fractHQSQ_s.dep -DSHARED -DL_fract -DFROM_HQ -DTO_SQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHQDQ_s.o -MT _fractHQDQ_s.o -MD -MP -MF _fractHQDQ_s.dep -DSHARED -DL_fract -DFROM_HQ -DTO_DQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHQTQ_s.o -MT _fractHQTQ_s.o -MD -MP -MF _fractHQTQ_s.dep -DSHARED -DL_fract -DFROM_HQ -DTO_TQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHQHA_s.o -MT _fractHQHA_s.o -MD -MP -MF _fractHQHA_s.dep -DSHARED -DL_fract -DFROM_HQ -DTO_HA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHQSA_s.o -MT _fractHQSA_s.o -MD -MP -MF _fractHQSA_s.dep -DSHARED -DL_fract -DFROM_HQ -DTO_SA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHQDA_s.o -MT _fractHQDA_s.o -MD -MP -MF _fractHQDA_s.dep -DSHARED -DL_fract -DFROM_HQ -DTO_DA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHQTA_s.o -MT _fractHQTA_s.o -MD -MP -MF _fractHQTA_s.dep -DSHARED -DL_fract -DFROM_HQ -DTO_TA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHQUQQ_s.o -MT _fractHQUQQ_s.o -MD -MP -MF _fractHQUQQ_s.dep -DSHARED -DL_fract -DFROM_HQ -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHQUHQ_s.o -MT _fractHQUHQ_s.o -MD -MP -MF _fractHQUHQ_s.dep -DSHARED -DL_fract -DFROM_HQ -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHQUSQ_s.o -MT _fractHQUSQ_s.o -MD -MP -MF _fractHQUSQ_s.dep -DSHARED -DL_fract -DFROM_HQ -DTO_USQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHQUDQ_s.o -MT _fractHQUDQ_s.o -MD -MP -MF _fractHQUDQ_s.dep -DSHARED -DL_fract -DFROM_HQ -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHQUTQ_s.o -MT _fractHQUTQ_s.o -MD -MP -MF _fractHQUTQ_s.dep -DSHARED -DL_fract -DFROM_HQ -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHQUHA_s.o -MT _fractHQUHA_s.o -MD -MP -MF _fractHQUHA_s.dep -DSHARED -DL_fract -DFROM_HQ -DTO_UHA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHQUSA_s.o -MT _fractHQUSA_s.o -MD -MP -MF _fractHQUSA_s.dep -DSHARED -DL_fract -DFROM_HQ -DTO_USA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHQUDA_s.o -MT _fractHQUDA_s.o -MD -MP -MF _fractHQUDA_s.dep -DSHARED -DL_fract -DFROM_HQ -DTO_UDA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHQUTA_s.o -MT _fractHQUTA_s.o -MD -MP -MF _fractHQUTA_s.dep -DSHARED -DL_fract -DFROM_HQ -DTO_UTA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHQQI_s.o -MT _fractHQQI_s.o -MD -MP -MF _fractHQQI_s.dep -DSHARED -DL_fract -DFROM_HQ -DTO_QI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHQHI_s.o -MT _fractHQHI_s.o -MD -MP -MF _fractHQHI_s.dep -DSHARED -DL_fract -DFROM_HQ -DTO_HI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHQSI_s.o -MT _fractHQSI_s.o -MD -MP -MF _fractHQSI_s.dep -DSHARED -DL_fract -DFROM_HQ -DTO_SI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHQDI_s.o -MT _fractHQDI_s.o -MD -MP -MF _fractHQDI_s.dep -DSHARED -DL_fract -DFROM_HQ -DTO_DI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHQTI_s.o -MT _fractHQTI_s.o -MD -MP -MF _fractHQTI_s.dep -DSHARED -DL_fract -DFROM_HQ -DTO_TI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHQSF_s.o -MT _fractHQSF_s.o -MD -MP -MF _fractHQSF_s.dep -DSHARED -DL_fract -DFROM_HQ -DTO_SF -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHQDF_s.o -MT _fractHQDF_s.o -MD -MP -MF _fractHQDF_s.dep -DSHARED -DL_fract -DFROM_HQ -DTO_DF -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSQQQ_s.o -MT _fractSQQQ_s.o -MD -MP -MF _fractSQQQ_s.dep -DSHARED -DL_fract -DFROM_SQ -DTO_QQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSQHQ_s.o -MT _fractSQHQ_s.o -MD -MP -MF _fractSQHQ_s.dep -DSHARED -DL_fract -DFROM_SQ -DTO_HQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSQDQ_s.o -MT _fractSQDQ_s.o -MD -MP -MF _fractSQDQ_s.dep -DSHARED -DL_fract -DFROM_SQ -DTO_DQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSQTQ_s.o -MT _fractSQTQ_s.o -MD -MP -MF _fractSQTQ_s.dep -DSHARED -DL_fract -DFROM_SQ -DTO_TQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSQHA_s.o -MT _fractSQHA_s.o -MD -MP -MF _fractSQHA_s.dep -DSHARED -DL_fract -DFROM_SQ -DTO_HA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSQSA_s.o -MT _fractSQSA_s.o -MD -MP -MF _fractSQSA_s.dep -DSHARED -DL_fract -DFROM_SQ -DTO_SA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSQDA_s.o -MT _fractSQDA_s.o -MD -MP -MF _fractSQDA_s.dep -DSHARED -DL_fract -DFROM_SQ -DTO_DA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSQTA_s.o -MT _fractSQTA_s.o -MD -MP -MF _fractSQTA_s.dep -DSHARED -DL_fract -DFROM_SQ -DTO_TA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSQUQQ_s.o -MT _fractSQUQQ_s.o -MD -MP -MF _fractSQUQQ_s.dep -DSHARED -DL_fract -DFROM_SQ -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSQUHQ_s.o -MT _fractSQUHQ_s.o -MD -MP -MF _fractSQUHQ_s.dep -DSHARED -DL_fract -DFROM_SQ -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSQUSQ_s.o -MT _fractSQUSQ_s.o -MD -MP -MF _fractSQUSQ_s.dep -DSHARED -DL_fract -DFROM_SQ -DTO_USQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSQUDQ_s.o -MT _fractSQUDQ_s.o -MD -MP -MF _fractSQUDQ_s.dep -DSHARED -DL_fract -DFROM_SQ -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSQUTQ_s.o -MT _fractSQUTQ_s.o -MD -MP -MF _fractSQUTQ_s.dep -DSHARED -DL_fract -DFROM_SQ -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSQUHA_s.o -MT _fractSQUHA_s.o -MD -MP -MF _fractSQUHA_s.dep -DSHARED -DL_fract -DFROM_SQ -DTO_UHA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSQUSA_s.o -MT _fractSQUSA_s.o -MD -MP -MF _fractSQUSA_s.dep -DSHARED -DL_fract -DFROM_SQ -DTO_USA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSQUDA_s.o -MT _fractSQUDA_s.o -MD -MP -MF _fractSQUDA_s.dep -DSHARED -DL_fract -DFROM_SQ -DTO_UDA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSQUTA_s.o -MT _fractSQUTA_s.o -MD -MP -MF _fractSQUTA_s.dep -DSHARED -DL_fract -DFROM_SQ -DTO_UTA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSQQI_s.o -MT _fractSQQI_s.o -MD -MP -MF _fractSQQI_s.dep -DSHARED -DL_fract -DFROM_SQ -DTO_QI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSQHI_s.o -MT _fractSQHI_s.o -MD -MP -MF _fractSQHI_s.dep -DSHARED -DL_fract -DFROM_SQ -DTO_HI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSQSI_s.o -MT _fractSQSI_s.o -MD -MP -MF _fractSQSI_s.dep -DSHARED -DL_fract -DFROM_SQ -DTO_SI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSQDI_s.o -MT _fractSQDI_s.o -MD -MP -MF _fractSQDI_s.dep -DSHARED -DL_fract -DFROM_SQ -DTO_DI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSQTI_s.o -MT _fractSQTI_s.o -MD -MP -MF _fractSQTI_s.dep -DSHARED -DL_fract -DFROM_SQ -DTO_TI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSQSF_s.o -MT _fractSQSF_s.o -MD -MP -MF _fractSQSF_s.dep -DSHARED -DL_fract -DFROM_SQ -DTO_SF -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSQDF_s.o -MT _fractSQDF_s.o -MD -MP -MF _fractSQDF_s.dep -DSHARED -DL_fract -DFROM_SQ -DTO_DF -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDQQQ_s.o -MT _fractDQQQ_s.o -MD -MP -MF _fractDQQQ_s.dep -DSHARED -DL_fract -DFROM_DQ -DTO_QQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDQHQ_s.o -MT _fractDQHQ_s.o -MD -MP -MF _fractDQHQ_s.dep -DSHARED -DL_fract -DFROM_DQ -DTO_HQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDQSQ_s.o -MT _fractDQSQ_s.o -MD -MP -MF _fractDQSQ_s.dep -DSHARED -DL_fract -DFROM_DQ -DTO_SQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDQTQ_s.o -MT _fractDQTQ_s.o -MD -MP -MF _fractDQTQ_s.dep -DSHARED -DL_fract -DFROM_DQ -DTO_TQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDQHA_s.o -MT _fractDQHA_s.o -MD -MP -MF _fractDQHA_s.dep -DSHARED -DL_fract -DFROM_DQ -DTO_HA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDQSA_s.o -MT _fractDQSA_s.o -MD -MP -MF _fractDQSA_s.dep -DSHARED -DL_fract -DFROM_DQ -DTO_SA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDQDA_s.o -MT _fractDQDA_s.o -MD -MP -MF _fractDQDA_s.dep -DSHARED -DL_fract -DFROM_DQ -DTO_DA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDQTA_s.o -MT _fractDQTA_s.o -MD -MP -MF _fractDQTA_s.dep -DSHARED -DL_fract -DFROM_DQ -DTO_TA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDQUQQ_s.o -MT _fractDQUQQ_s.o -MD -MP -MF _fractDQUQQ_s.dep -DSHARED -DL_fract -DFROM_DQ -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDQUHQ_s.o -MT _fractDQUHQ_s.o -MD -MP -MF _fractDQUHQ_s.dep -DSHARED -DL_fract -DFROM_DQ -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDQUSQ_s.o -MT _fractDQUSQ_s.o -MD -MP -MF _fractDQUSQ_s.dep -DSHARED -DL_fract -DFROM_DQ -DTO_USQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDQUDQ_s.o -MT _fractDQUDQ_s.o -MD -MP -MF _fractDQUDQ_s.dep -DSHARED -DL_fract -DFROM_DQ -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDQUTQ_s.o -MT _fractDQUTQ_s.o -MD -MP -MF _fractDQUTQ_s.dep -DSHARED -DL_fract -DFROM_DQ -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDQUHA_s.o -MT _fractDQUHA_s.o -MD -MP -MF _fractDQUHA_s.dep -DSHARED -DL_fract -DFROM_DQ -DTO_UHA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDQUSA_s.o -MT _fractDQUSA_s.o -MD -MP -MF _fractDQUSA_s.dep -DSHARED -DL_fract -DFROM_DQ -DTO_USA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDQUDA_s.o -MT _fractDQUDA_s.o -MD -MP -MF _fractDQUDA_s.dep -DSHARED -DL_fract -DFROM_DQ -DTO_UDA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDQUTA_s.o -MT _fractDQUTA_s.o -MD -MP -MF _fractDQUTA_s.dep -DSHARED -DL_fract -DFROM_DQ -DTO_UTA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDQQI_s.o -MT _fractDQQI_s.o -MD -MP -MF _fractDQQI_s.dep -DSHARED -DL_fract -DFROM_DQ -DTO_QI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDQHI_s.o -MT _fractDQHI_s.o -MD -MP -MF _fractDQHI_s.dep -DSHARED -DL_fract -DFROM_DQ -DTO_HI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDQSI_s.o -MT _fractDQSI_s.o -MD -MP -MF _fractDQSI_s.dep -DSHARED -DL_fract -DFROM_DQ -DTO_SI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDQDI_s.o -MT _fractDQDI_s.o -MD -MP -MF _fractDQDI_s.dep -DSHARED -DL_fract -DFROM_DQ -DTO_DI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDQTI_s.o -MT _fractDQTI_s.o -MD -MP -MF _fractDQTI_s.dep -DSHARED -DL_fract -DFROM_DQ -DTO_TI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDQSF_s.o -MT _fractDQSF_s.o -MD -MP -MF _fractDQSF_s.dep -DSHARED -DL_fract -DFROM_DQ -DTO_SF -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDQDF_s.o -MT _fractDQDF_s.o -MD -MP -MF _fractDQDF_s.dep -DSHARED -DL_fract -DFROM_DQ -DTO_DF -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTQQQ_s.o -MT _fractTQQQ_s.o -MD -MP -MF _fractTQQQ_s.dep -DSHARED -DL_fract -DFROM_TQ -DTO_QQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTQHQ_s.o -MT _fractTQHQ_s.o -MD -MP -MF _fractTQHQ_s.dep -DSHARED -DL_fract -DFROM_TQ -DTO_HQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTQSQ_s.o -MT _fractTQSQ_s.o -MD -MP -MF _fractTQSQ_s.dep -DSHARED -DL_fract -DFROM_TQ -DTO_SQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTQDQ_s.o -MT _fractTQDQ_s.o -MD -MP -MF _fractTQDQ_s.dep -DSHARED -DL_fract -DFROM_TQ -DTO_DQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTQHA_s.o -MT _fractTQHA_s.o -MD -MP -MF _fractTQHA_s.dep -DSHARED -DL_fract -DFROM_TQ -DTO_HA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTQSA_s.o -MT _fractTQSA_s.o -MD -MP -MF _fractTQSA_s.dep -DSHARED -DL_fract -DFROM_TQ -DTO_SA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTQDA_s.o -MT _fractTQDA_s.o -MD -MP -MF _fractTQDA_s.dep -DSHARED -DL_fract -DFROM_TQ -DTO_DA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTQTA_s.o -MT _fractTQTA_s.o -MD -MP -MF _fractTQTA_s.dep -DSHARED -DL_fract -DFROM_TQ -DTO_TA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTQUQQ_s.o -MT _fractTQUQQ_s.o -MD -MP -MF _fractTQUQQ_s.dep -DSHARED -DL_fract -DFROM_TQ -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTQUHQ_s.o -MT _fractTQUHQ_s.o -MD -MP -MF _fractTQUHQ_s.dep -DSHARED -DL_fract -DFROM_TQ -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTQUSQ_s.o -MT _fractTQUSQ_s.o -MD -MP -MF _fractTQUSQ_s.dep -DSHARED -DL_fract -DFROM_TQ -DTO_USQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTQUDQ_s.o -MT _fractTQUDQ_s.o -MD -MP -MF _fractTQUDQ_s.dep -DSHARED -DL_fract -DFROM_TQ -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTQUTQ_s.o -MT _fractTQUTQ_s.o -MD -MP -MF _fractTQUTQ_s.dep -DSHARED -DL_fract -DFROM_TQ -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTQUHA_s.o -MT _fractTQUHA_s.o -MD -MP -MF _fractTQUHA_s.dep -DSHARED -DL_fract -DFROM_TQ -DTO_UHA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTQUSA_s.o -MT _fractTQUSA_s.o -MD -MP -MF _fractTQUSA_s.dep -DSHARED -DL_fract -DFROM_TQ -DTO_USA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTQUDA_s.o -MT _fractTQUDA_s.o -MD -MP -MF _fractTQUDA_s.dep -DSHARED -DL_fract -DFROM_TQ -DTO_UDA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTQUTA_s.o -MT _fractTQUTA_s.o -MD -MP -MF _fractTQUTA_s.dep -DSHARED -DL_fract -DFROM_TQ -DTO_UTA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTQQI_s.o -MT _fractTQQI_s.o -MD -MP -MF _fractTQQI_s.dep -DSHARED -DL_fract -DFROM_TQ -DTO_QI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTQHI_s.o -MT _fractTQHI_s.o -MD -MP -MF _fractTQHI_s.dep -DSHARED -DL_fract -DFROM_TQ -DTO_HI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTQSI_s.o -MT _fractTQSI_s.o -MD -MP -MF _fractTQSI_s.dep -DSHARED -DL_fract -DFROM_TQ -DTO_SI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTQDI_s.o -MT _fractTQDI_s.o -MD -MP -MF _fractTQDI_s.dep -DSHARED -DL_fract -DFROM_TQ -DTO_DI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTQTI_s.o -MT _fractTQTI_s.o -MD -MP -MF _fractTQTI_s.dep -DSHARED -DL_fract -DFROM_TQ -DTO_TI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTQSF_s.o -MT _fractTQSF_s.o -MD -MP -MF _fractTQSF_s.dep -DSHARED -DL_fract -DFROM_TQ -DTO_SF -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTQDF_s.o -MT _fractTQDF_s.o -MD -MP -MF _fractTQDF_s.dep -DSHARED -DL_fract -DFROM_TQ -DTO_DF -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHAQQ_s.o -MT _fractHAQQ_s.o -MD -MP -MF _fractHAQQ_s.dep -DSHARED -DL_fract -DFROM_HA -DTO_QQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHAHQ_s.o -MT _fractHAHQ_s.o -MD -MP -MF _fractHAHQ_s.dep -DSHARED -DL_fract -DFROM_HA -DTO_HQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHASQ_s.o -MT _fractHASQ_s.o -MD -MP -MF _fractHASQ_s.dep -DSHARED -DL_fract -DFROM_HA -DTO_SQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHADQ_s.o -MT _fractHADQ_s.o -MD -MP -MF _fractHADQ_s.dep -DSHARED -DL_fract -DFROM_HA -DTO_DQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHATQ_s.o -MT _fractHATQ_s.o -MD -MP -MF _fractHATQ_s.dep -DSHARED -DL_fract -DFROM_HA -DTO_TQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHASA_s.o -MT _fractHASA_s.o -MD -MP -MF _fractHASA_s.dep -DSHARED -DL_fract -DFROM_HA -DTO_SA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHADA_s.o -MT _fractHADA_s.o -MD -MP -MF _fractHADA_s.dep -DSHARED -DL_fract -DFROM_HA -DTO_DA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHATA_s.o -MT _fractHATA_s.o -MD -MP -MF _fractHATA_s.dep -DSHARED -DL_fract -DFROM_HA -DTO_TA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHAUQQ_s.o -MT _fractHAUQQ_s.o -MD -MP -MF _fractHAUQQ_s.dep -DSHARED -DL_fract -DFROM_HA -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHAUHQ_s.o -MT _fractHAUHQ_s.o -MD -MP -MF _fractHAUHQ_s.dep -DSHARED -DL_fract -DFROM_HA -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHAUSQ_s.o -MT _fractHAUSQ_s.o -MD -MP -MF _fractHAUSQ_s.dep -DSHARED -DL_fract -DFROM_HA -DTO_USQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHAUDQ_s.o -MT _fractHAUDQ_s.o -MD -MP -MF _fractHAUDQ_s.dep -DSHARED -DL_fract -DFROM_HA -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHAUTQ_s.o -MT _fractHAUTQ_s.o -MD -MP -MF _fractHAUTQ_s.dep -DSHARED -DL_fract -DFROM_HA -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHAUHA_s.o -MT _fractHAUHA_s.o -MD -MP -MF _fractHAUHA_s.dep -DSHARED -DL_fract -DFROM_HA -DTO_UHA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHAUSA_s.o -MT _fractHAUSA_s.o -MD -MP -MF _fractHAUSA_s.dep -DSHARED -DL_fract -DFROM_HA -DTO_USA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHAUDA_s.o -MT _fractHAUDA_s.o -MD -MP -MF _fractHAUDA_s.dep -DSHARED -DL_fract -DFROM_HA -DTO_UDA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHAUTA_s.o -MT _fractHAUTA_s.o -MD -MP -MF _fractHAUTA_s.dep -DSHARED -DL_fract -DFROM_HA -DTO_UTA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHAQI_s.o -MT _fractHAQI_s.o -MD -MP -MF _fractHAQI_s.dep -DSHARED -DL_fract -DFROM_HA -DTO_QI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHAHI_s.o -MT _fractHAHI_s.o -MD -MP -MF _fractHAHI_s.dep -DSHARED -DL_fract -DFROM_HA -DTO_HI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHASI_s.o -MT _fractHASI_s.o -MD -MP -MF _fractHASI_s.dep -DSHARED -DL_fract -DFROM_HA -DTO_SI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHADI_s.o -MT _fractHADI_s.o -MD -MP -MF _fractHADI_s.dep -DSHARED -DL_fract -DFROM_HA -DTO_DI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHATI_s.o -MT _fractHATI_s.o -MD -MP -MF _fractHATI_s.dep -DSHARED -DL_fract -DFROM_HA -DTO_TI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHASF_s.o -MT _fractHASF_s.o -MD -MP -MF _fractHASF_s.dep -DSHARED -DL_fract -DFROM_HA -DTO_SF -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHADF_s.o -MT _fractHADF_s.o -MD -MP -MF _fractHADF_s.dep -DSHARED -DL_fract -DFROM_HA -DTO_DF -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSAQQ_s.o -MT _fractSAQQ_s.o -MD -MP -MF _fractSAQQ_s.dep -DSHARED -DL_fract -DFROM_SA -DTO_QQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSAHQ_s.o -MT _fractSAHQ_s.o -MD -MP -MF _fractSAHQ_s.dep -DSHARED -DL_fract -DFROM_SA -DTO_HQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSASQ_s.o -MT _fractSASQ_s.o -MD -MP -MF _fractSASQ_s.dep -DSHARED -DL_fract -DFROM_SA -DTO_SQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSADQ_s.o -MT _fractSADQ_s.o -MD -MP -MF _fractSADQ_s.dep -DSHARED -DL_fract -DFROM_SA -DTO_DQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSATQ_s.o -MT _fractSATQ_s.o -MD -MP -MF _fractSATQ_s.dep -DSHARED -DL_fract -DFROM_SA -DTO_TQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSAHA_s.o -MT _fractSAHA_s.o -MD -MP -MF _fractSAHA_s.dep -DSHARED -DL_fract -DFROM_SA -DTO_HA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSADA_s.o -MT _fractSADA_s.o -MD -MP -MF _fractSADA_s.dep -DSHARED -DL_fract -DFROM_SA -DTO_DA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSATA_s.o -MT _fractSATA_s.o -MD -MP -MF _fractSATA_s.dep -DSHARED -DL_fract -DFROM_SA -DTO_TA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSAUQQ_s.o -MT _fractSAUQQ_s.o -MD -MP -MF _fractSAUQQ_s.dep -DSHARED -DL_fract -DFROM_SA -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSAUHQ_s.o -MT _fractSAUHQ_s.o -MD -MP -MF _fractSAUHQ_s.dep -DSHARED -DL_fract -DFROM_SA -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSAUSQ_s.o -MT _fractSAUSQ_s.o -MD -MP -MF _fractSAUSQ_s.dep -DSHARED -DL_fract -DFROM_SA -DTO_USQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSAUDQ_s.o -MT _fractSAUDQ_s.o -MD -MP -MF _fractSAUDQ_s.dep -DSHARED -DL_fract -DFROM_SA -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSAUTQ_s.o -MT _fractSAUTQ_s.o -MD -MP -MF _fractSAUTQ_s.dep -DSHARED -DL_fract -DFROM_SA -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSAUHA_s.o -MT _fractSAUHA_s.o -MD -MP -MF _fractSAUHA_s.dep -DSHARED -DL_fract -DFROM_SA -DTO_UHA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSAUSA_s.o -MT _fractSAUSA_s.o -MD -MP -MF _fractSAUSA_s.dep -DSHARED -DL_fract -DFROM_SA -DTO_USA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSAUDA_s.o -MT _fractSAUDA_s.o -MD -MP -MF _fractSAUDA_s.dep -DSHARED -DL_fract -DFROM_SA -DTO_UDA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSAUTA_s.o -MT _fractSAUTA_s.o -MD -MP -MF _fractSAUTA_s.dep -DSHARED -DL_fract -DFROM_SA -DTO_UTA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSAQI_s.o -MT _fractSAQI_s.o -MD -MP -MF _fractSAQI_s.dep -DSHARED -DL_fract -DFROM_SA -DTO_QI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSAHI_s.o -MT _fractSAHI_s.o -MD -MP -MF _fractSAHI_s.dep -DSHARED -DL_fract -DFROM_SA -DTO_HI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSASI_s.o -MT _fractSASI_s.o -MD -MP -MF _fractSASI_s.dep -DSHARED -DL_fract -DFROM_SA -DTO_SI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSADI_s.o -MT _fractSADI_s.o -MD -MP -MF _fractSADI_s.dep -DSHARED -DL_fract -DFROM_SA -DTO_DI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSATI_s.o -MT _fractSATI_s.o -MD -MP -MF _fractSATI_s.dep -DSHARED -DL_fract -DFROM_SA -DTO_TI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSASF_s.o -MT _fractSASF_s.o -MD -MP -MF _fractSASF_s.dep -DSHARED -DL_fract -DFROM_SA -DTO_SF -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSADF_s.o -MT _fractSADF_s.o -MD -MP -MF _fractSADF_s.dep -DSHARED -DL_fract -DFROM_SA -DTO_DF -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDAQQ_s.o -MT _fractDAQQ_s.o -MD -MP -MF _fractDAQQ_s.dep -DSHARED -DL_fract -DFROM_DA -DTO_QQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDAHQ_s.o -MT _fractDAHQ_s.o -MD -MP -MF _fractDAHQ_s.dep -DSHARED -DL_fract -DFROM_DA -DTO_HQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDASQ_s.o -MT _fractDASQ_s.o -MD -MP -MF _fractDASQ_s.dep -DSHARED -DL_fract -DFROM_DA -DTO_SQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDADQ_s.o -MT _fractDADQ_s.o -MD -MP -MF _fractDADQ_s.dep -DSHARED -DL_fract -DFROM_DA -DTO_DQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDATQ_s.o -MT _fractDATQ_s.o -MD -MP -MF _fractDATQ_s.dep -DSHARED -DL_fract -DFROM_DA -DTO_TQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDAHA_s.o -MT _fractDAHA_s.o -MD -MP -MF _fractDAHA_s.dep -DSHARED -DL_fract -DFROM_DA -DTO_HA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDASA_s.o -MT _fractDASA_s.o -MD -MP -MF _fractDASA_s.dep -DSHARED -DL_fract -DFROM_DA -DTO_SA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDATA_s.o -MT _fractDATA_s.o -MD -MP -MF _fractDATA_s.dep -DSHARED -DL_fract -DFROM_DA -DTO_TA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDAUQQ_s.o -MT _fractDAUQQ_s.o -MD -MP -MF _fractDAUQQ_s.dep -DSHARED -DL_fract -DFROM_DA -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDAUHQ_s.o -MT _fractDAUHQ_s.o -MD -MP -MF _fractDAUHQ_s.dep -DSHARED -DL_fract -DFROM_DA -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDAUSQ_s.o -MT _fractDAUSQ_s.o -MD -MP -MF _fractDAUSQ_s.dep -DSHARED -DL_fract -DFROM_DA -DTO_USQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDAUDQ_s.o -MT _fractDAUDQ_s.o -MD -MP -MF _fractDAUDQ_s.dep -DSHARED -DL_fract -DFROM_DA -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDAUTQ_s.o -MT _fractDAUTQ_s.o -MD -MP -MF _fractDAUTQ_s.dep -DSHARED -DL_fract -DFROM_DA -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDAUHA_s.o -MT _fractDAUHA_s.o -MD -MP -MF _fractDAUHA_s.dep -DSHARED -DL_fract -DFROM_DA -DTO_UHA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDAUSA_s.o -MT _fractDAUSA_s.o -MD -MP -MF _fractDAUSA_s.dep -DSHARED -DL_fract -DFROM_DA -DTO_USA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDAUDA_s.o -MT _fractDAUDA_s.o -MD -MP -MF _fractDAUDA_s.dep -DSHARED -DL_fract -DFROM_DA -DTO_UDA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDAUTA_s.o -MT _fractDAUTA_s.o -MD -MP -MF _fractDAUTA_s.dep -DSHARED -DL_fract -DFROM_DA -DTO_UTA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDAQI_s.o -MT _fractDAQI_s.o -MD -MP -MF _fractDAQI_s.dep -DSHARED -DL_fract -DFROM_DA -DTO_QI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDAHI_s.o -MT _fractDAHI_s.o -MD -MP -MF _fractDAHI_s.dep -DSHARED -DL_fract -DFROM_DA -DTO_HI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDASI_s.o -MT _fractDASI_s.o -MD -MP -MF _fractDASI_s.dep -DSHARED -DL_fract -DFROM_DA -DTO_SI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDADI_s.o -MT _fractDADI_s.o -MD -MP -MF _fractDADI_s.dep -DSHARED -DL_fract -DFROM_DA -DTO_DI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDATI_s.o -MT _fractDATI_s.o -MD -MP -MF _fractDATI_s.dep -DSHARED -DL_fract -DFROM_DA -DTO_TI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDASF_s.o -MT _fractDASF_s.o -MD -MP -MF _fractDASF_s.dep -DSHARED -DL_fract -DFROM_DA -DTO_SF -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDADF_s.o -MT _fractDADF_s.o -MD -MP -MF _fractDADF_s.dep -DSHARED -DL_fract -DFROM_DA -DTO_DF -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTAQQ_s.o -MT _fractTAQQ_s.o -MD -MP -MF _fractTAQQ_s.dep -DSHARED -DL_fract -DFROM_TA -DTO_QQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTAHQ_s.o -MT _fractTAHQ_s.o -MD -MP -MF _fractTAHQ_s.dep -DSHARED -DL_fract -DFROM_TA -DTO_HQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTASQ_s.o -MT _fractTASQ_s.o -MD -MP -MF _fractTASQ_s.dep -DSHARED -DL_fract -DFROM_TA -DTO_SQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTADQ_s.o -MT _fractTADQ_s.o -MD -MP -MF _fractTADQ_s.dep -DSHARED -DL_fract -DFROM_TA -DTO_DQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTATQ_s.o -MT _fractTATQ_s.o -MD -MP -MF _fractTATQ_s.dep -DSHARED -DL_fract -DFROM_TA -DTO_TQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTAHA_s.o -MT _fractTAHA_s.o -MD -MP -MF _fractTAHA_s.dep -DSHARED -DL_fract -DFROM_TA -DTO_HA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTASA_s.o -MT _fractTASA_s.o -MD -MP -MF _fractTASA_s.dep -DSHARED -DL_fract -DFROM_TA -DTO_SA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTADA_s.o -MT _fractTADA_s.o -MD -MP -MF _fractTADA_s.dep -DSHARED -DL_fract -DFROM_TA -DTO_DA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTAUQQ_s.o -MT _fractTAUQQ_s.o -MD -MP -MF _fractTAUQQ_s.dep -DSHARED -DL_fract -DFROM_TA -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTAUHQ_s.o -MT _fractTAUHQ_s.o -MD -MP -MF _fractTAUHQ_s.dep -DSHARED -DL_fract -DFROM_TA -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTAUSQ_s.o -MT _fractTAUSQ_s.o -MD -MP -MF _fractTAUSQ_s.dep -DSHARED -DL_fract -DFROM_TA -DTO_USQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTAUDQ_s.o -MT _fractTAUDQ_s.o -MD -MP -MF _fractTAUDQ_s.dep -DSHARED -DL_fract -DFROM_TA -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTAUTQ_s.o -MT _fractTAUTQ_s.o -MD -MP -MF _fractTAUTQ_s.dep -DSHARED -DL_fract -DFROM_TA -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTAUHA_s.o -MT _fractTAUHA_s.o -MD -MP -MF _fractTAUHA_s.dep -DSHARED -DL_fract -DFROM_TA -DTO_UHA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTAUSA_s.o -MT _fractTAUSA_s.o -MD -MP -MF _fractTAUSA_s.dep -DSHARED -DL_fract -DFROM_TA -DTO_USA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTAUDA_s.o -MT _fractTAUDA_s.o -MD -MP -MF _fractTAUDA_s.dep -DSHARED -DL_fract -DFROM_TA -DTO_UDA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTAUTA_s.o -MT _fractTAUTA_s.o -MD -MP -MF _fractTAUTA_s.dep -DSHARED -DL_fract -DFROM_TA -DTO_UTA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTAQI_s.o -MT _fractTAQI_s.o -MD -MP -MF _fractTAQI_s.dep -DSHARED -DL_fract -DFROM_TA -DTO_QI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTAHI_s.o -MT _fractTAHI_s.o -MD -MP -MF _fractTAHI_s.dep -DSHARED -DL_fract -DFROM_TA -DTO_HI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTASI_s.o -MT _fractTASI_s.o -MD -MP -MF _fractTASI_s.dep -DSHARED -DL_fract -DFROM_TA -DTO_SI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTADI_s.o -MT _fractTADI_s.o -MD -MP -MF _fractTADI_s.dep -DSHARED -DL_fract -DFROM_TA -DTO_DI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTATI_s.o -MT _fractTATI_s.o -MD -MP -MF _fractTATI_s.dep -DSHARED -DL_fract -DFROM_TA -DTO_TI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTASF_s.o -MT _fractTASF_s.o -MD -MP -MF _fractTASF_s.dep -DSHARED -DL_fract -DFROM_TA -DTO_SF -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTADF_s.o -MT _fractTADF_s.o -MD -MP -MF _fractTADF_s.dep -DSHARED -DL_fract -DFROM_TA -DTO_DF -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUQQQQ_s.o -MT _fractUQQQQ_s.o -MD -MP -MF _fractUQQQQ_s.dep -DSHARED -DL_fract -DFROM_UQQ -DTO_QQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUQQHQ_s.o -MT _fractUQQHQ_s.o -MD -MP -MF _fractUQQHQ_s.dep -DSHARED -DL_fract -DFROM_UQQ -DTO_HQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUQQSQ_s.o -MT _fractUQQSQ_s.o -MD -MP -MF _fractUQQSQ_s.dep -DSHARED -DL_fract -DFROM_UQQ -DTO_SQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUQQDQ_s.o -MT _fractUQQDQ_s.o -MD -MP -MF _fractUQQDQ_s.dep -DSHARED -DL_fract -DFROM_UQQ -DTO_DQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUQQTQ_s.o -MT _fractUQQTQ_s.o -MD -MP -MF _fractUQQTQ_s.dep -DSHARED -DL_fract -DFROM_UQQ -DTO_TQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUQQHA_s.o -MT _fractUQQHA_s.o -MD -MP -MF _fractUQQHA_s.dep -DSHARED -DL_fract -DFROM_UQQ -DTO_HA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUQQSA_s.o -MT _fractUQQSA_s.o -MD -MP -MF _fractUQQSA_s.dep -DSHARED -DL_fract -DFROM_UQQ -DTO_SA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUQQDA_s.o -MT _fractUQQDA_s.o -MD -MP -MF _fractUQQDA_s.dep -DSHARED -DL_fract -DFROM_UQQ -DTO_DA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUQQTA_s.o -MT _fractUQQTA_s.o -MD -MP -MF _fractUQQTA_s.dep -DSHARED -DL_fract -DFROM_UQQ -DTO_TA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUQQUHQ_s.o -MT _fractUQQUHQ_s.o -MD -MP -MF _fractUQQUHQ_s.dep -DSHARED -DL_fract -DFROM_UQQ -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUQQUSQ_s.o -MT _fractUQQUSQ_s.o -MD -MP -MF _fractUQQUSQ_s.dep -DSHARED -DL_fract -DFROM_UQQ -DTO_USQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUQQUDQ_s.o -MT _fractUQQUDQ_s.o -MD -MP -MF _fractUQQUDQ_s.dep -DSHARED -DL_fract -DFROM_UQQ -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUQQUTQ_s.o -MT _fractUQQUTQ_s.o -MD -MP -MF _fractUQQUTQ_s.dep -DSHARED -DL_fract -DFROM_UQQ -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUQQUHA_s.o -MT _fractUQQUHA_s.o -MD -MP -MF _fractUQQUHA_s.dep -DSHARED -DL_fract -DFROM_UQQ -DTO_UHA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUQQUSA_s.o -MT _fractUQQUSA_s.o -MD -MP -MF _fractUQQUSA_s.dep -DSHARED -DL_fract -DFROM_UQQ -DTO_USA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUQQUDA_s.o -MT _fractUQQUDA_s.o -MD -MP -MF _fractUQQUDA_s.dep -DSHARED -DL_fract -DFROM_UQQ -DTO_UDA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUQQUTA_s.o -MT _fractUQQUTA_s.o -MD -MP -MF _fractUQQUTA_s.dep -DSHARED -DL_fract -DFROM_UQQ -DTO_UTA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUQQQI_s.o -MT _fractUQQQI_s.o -MD -MP -MF _fractUQQQI_s.dep -DSHARED -DL_fract -DFROM_UQQ -DTO_QI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUQQHI_s.o -MT _fractUQQHI_s.o -MD -MP -MF _fractUQQHI_s.dep -DSHARED -DL_fract -DFROM_UQQ -DTO_HI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUQQSI_s.o -MT _fractUQQSI_s.o -MD -MP -MF _fractUQQSI_s.dep -DSHARED -DL_fract -DFROM_UQQ -DTO_SI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUQQDI_s.o -MT _fractUQQDI_s.o -MD -MP -MF _fractUQQDI_s.dep -DSHARED -DL_fract -DFROM_UQQ -DTO_DI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUQQTI_s.o -MT _fractUQQTI_s.o -MD -MP -MF _fractUQQTI_s.dep -DSHARED -DL_fract -DFROM_UQQ -DTO_TI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUQQSF_s.o -MT _fractUQQSF_s.o -MD -MP -MF _fractUQQSF_s.dep -DSHARED -DL_fract -DFROM_UQQ -DTO_SF -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUQQDF_s.o -MT _fractUQQDF_s.o -MD -MP -MF _fractUQQDF_s.dep -DSHARED -DL_fract -DFROM_UQQ -DTO_DF -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUHQQQ_s.o -MT _fractUHQQQ_s.o -MD -MP -MF _fractUHQQQ_s.dep -DSHARED -DL_fract -DFROM_UHQ -DTO_QQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUHQHQ_s.o -MT _fractUHQHQ_s.o -MD -MP -MF _fractUHQHQ_s.dep -DSHARED -DL_fract -DFROM_UHQ -DTO_HQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUHQSQ_s.o -MT _fractUHQSQ_s.o -MD -MP -MF _fractUHQSQ_s.dep -DSHARED -DL_fract -DFROM_UHQ -DTO_SQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUHQDQ_s.o -MT _fractUHQDQ_s.o -MD -MP -MF _fractUHQDQ_s.dep -DSHARED -DL_fract -DFROM_UHQ -DTO_DQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUHQTQ_s.o -MT _fractUHQTQ_s.o -MD -MP -MF _fractUHQTQ_s.dep -DSHARED -DL_fract -DFROM_UHQ -DTO_TQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUHQHA_s.o -MT _fractUHQHA_s.o -MD -MP -MF _fractUHQHA_s.dep -DSHARED -DL_fract -DFROM_UHQ -DTO_HA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUHQSA_s.o -MT _fractUHQSA_s.o -MD -MP -MF _fractUHQSA_s.dep -DSHARED -DL_fract -DFROM_UHQ -DTO_SA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUHQDA_s.o -MT _fractUHQDA_s.o -MD -MP -MF _fractUHQDA_s.dep -DSHARED -DL_fract -DFROM_UHQ -DTO_DA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUHQTA_s.o -MT _fractUHQTA_s.o -MD -MP -MF _fractUHQTA_s.dep -DSHARED -DL_fract -DFROM_UHQ -DTO_TA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUHQUQQ_s.o -MT _fractUHQUQQ_s.o -MD -MP -MF _fractUHQUQQ_s.dep -DSHARED -DL_fract -DFROM_UHQ -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUHQUSQ_s.o -MT _fractUHQUSQ_s.o -MD -MP -MF _fractUHQUSQ_s.dep -DSHARED -DL_fract -DFROM_UHQ -DTO_USQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUHQUDQ_s.o -MT _fractUHQUDQ_s.o -MD -MP -MF _fractUHQUDQ_s.dep -DSHARED -DL_fract -DFROM_UHQ -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUHQUTQ_s.o -MT _fractUHQUTQ_s.o -MD -MP -MF _fractUHQUTQ_s.dep -DSHARED -DL_fract -DFROM_UHQ -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUHQUHA_s.o -MT _fractUHQUHA_s.o -MD -MP -MF _fractUHQUHA_s.dep -DSHARED -DL_fract -DFROM_UHQ -DTO_UHA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUHQUSA_s.o -MT _fractUHQUSA_s.o -MD -MP -MF _fractUHQUSA_s.dep -DSHARED -DL_fract -DFROM_UHQ -DTO_USA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUHQUDA_s.o -MT _fractUHQUDA_s.o -MD -MP -MF _fractUHQUDA_s.dep -DSHARED -DL_fract -DFROM_UHQ -DTO_UDA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUHQUTA_s.o -MT _fractUHQUTA_s.o -MD -MP -MF _fractUHQUTA_s.dep -DSHARED -DL_fract -DFROM_UHQ -DTO_UTA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUHQQI_s.o -MT _fractUHQQI_s.o -MD -MP -MF _fractUHQQI_s.dep -DSHARED -DL_fract -DFROM_UHQ -DTO_QI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUHQHI_s.o -MT _fractUHQHI_s.o -MD -MP -MF _fractUHQHI_s.dep -DSHARED -DL_fract -DFROM_UHQ -DTO_HI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUHQSI_s.o -MT _fractUHQSI_s.o -MD -MP -MF _fractUHQSI_s.dep -DSHARED -DL_fract -DFROM_UHQ -DTO_SI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUHQDI_s.o -MT _fractUHQDI_s.o -MD -MP -MF _fractUHQDI_s.dep -DSHARED -DL_fract -DFROM_UHQ -DTO_DI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUHQTI_s.o -MT _fractUHQTI_s.o -MD -MP -MF _fractUHQTI_s.dep -DSHARED -DL_fract -DFROM_UHQ -DTO_TI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUHQSF_s.o -MT _fractUHQSF_s.o -MD -MP -MF _fractUHQSF_s.dep -DSHARED -DL_fract -DFROM_UHQ -DTO_SF -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUHQDF_s.o -MT _fractUHQDF_s.o -MD -MP -MF _fractUHQDF_s.dep -DSHARED -DL_fract -DFROM_UHQ -DTO_DF -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUSQQQ_s.o -MT _fractUSQQQ_s.o -MD -MP -MF _fractUSQQQ_s.dep -DSHARED -DL_fract -DFROM_USQ -DTO_QQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUSQHQ_s.o -MT _fractUSQHQ_s.o -MD -MP -MF _fractUSQHQ_s.dep -DSHARED -DL_fract -DFROM_USQ -DTO_HQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUSQSQ_s.o -MT _fractUSQSQ_s.o -MD -MP -MF _fractUSQSQ_s.dep -DSHARED -DL_fract -DFROM_USQ -DTO_SQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUSQDQ_s.o -MT _fractUSQDQ_s.o -MD -MP -MF _fractUSQDQ_s.dep -DSHARED -DL_fract -DFROM_USQ -DTO_DQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUSQTQ_s.o -MT _fractUSQTQ_s.o -MD -MP -MF _fractUSQTQ_s.dep -DSHARED -DL_fract -DFROM_USQ -DTO_TQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUSQHA_s.o -MT _fractUSQHA_s.o -MD -MP -MF _fractUSQHA_s.dep -DSHARED -DL_fract -DFROM_USQ -DTO_HA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUSQSA_s.o -MT _fractUSQSA_s.o -MD -MP -MF _fractUSQSA_s.dep -DSHARED -DL_fract -DFROM_USQ -DTO_SA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUSQDA_s.o -MT _fractUSQDA_s.o -MD -MP -MF _fractUSQDA_s.dep -DSHARED -DL_fract -DFROM_USQ -DTO_DA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUSQTA_s.o -MT _fractUSQTA_s.o -MD -MP -MF _fractUSQTA_s.dep -DSHARED -DL_fract -DFROM_USQ -DTO_TA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUSQUQQ_s.o -MT _fractUSQUQQ_s.o -MD -MP -MF _fractUSQUQQ_s.dep -DSHARED -DL_fract -DFROM_USQ -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUSQUHQ_s.o -MT _fractUSQUHQ_s.o -MD -MP -MF _fractUSQUHQ_s.dep -DSHARED -DL_fract -DFROM_USQ -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUSQUDQ_s.o -MT _fractUSQUDQ_s.o -MD -MP -MF _fractUSQUDQ_s.dep -DSHARED -DL_fract -DFROM_USQ -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUSQUTQ_s.o -MT _fractUSQUTQ_s.o -MD -MP -MF _fractUSQUTQ_s.dep -DSHARED -DL_fract -DFROM_USQ -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUSQUHA_s.o -MT _fractUSQUHA_s.o -MD -MP -MF _fractUSQUHA_s.dep -DSHARED -DL_fract -DFROM_USQ -DTO_UHA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUSQUSA_s.o -MT _fractUSQUSA_s.o -MD -MP -MF _fractUSQUSA_s.dep -DSHARED -DL_fract -DFROM_USQ -DTO_USA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUSQUDA_s.o -MT _fractUSQUDA_s.o -MD -MP -MF _fractUSQUDA_s.dep -DSHARED -DL_fract -DFROM_USQ -DTO_UDA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUSQUTA_s.o -MT _fractUSQUTA_s.o -MD -MP -MF _fractUSQUTA_s.dep -DSHARED -DL_fract -DFROM_USQ -DTO_UTA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUSQQI_s.o -MT _fractUSQQI_s.o -MD -MP -MF _fractUSQQI_s.dep -DSHARED -DL_fract -DFROM_USQ -DTO_QI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUSQHI_s.o -MT _fractUSQHI_s.o -MD -MP -MF _fractUSQHI_s.dep -DSHARED -DL_fract -DFROM_USQ -DTO_HI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUSQSI_s.o -MT _fractUSQSI_s.o -MD -MP -MF _fractUSQSI_s.dep -DSHARED -DL_fract -DFROM_USQ -DTO_SI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUSQDI_s.o -MT _fractUSQDI_s.o -MD -MP -MF _fractUSQDI_s.dep -DSHARED -DL_fract -DFROM_USQ -DTO_DI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUSQTI_s.o -MT _fractUSQTI_s.o -MD -MP -MF _fractUSQTI_s.dep -DSHARED -DL_fract -DFROM_USQ -DTO_TI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUSQSF_s.o -MT _fractUSQSF_s.o -MD -MP -MF _fractUSQSF_s.dep -DSHARED -DL_fract -DFROM_USQ -DTO_SF -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUSQDF_s.o -MT _fractUSQDF_s.o -MD -MP -MF _fractUSQDF_s.dep -DSHARED -DL_fract -DFROM_USQ -DTO_DF -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUDQQQ_s.o -MT _fractUDQQQ_s.o -MD -MP -MF _fractUDQQQ_s.dep -DSHARED -DL_fract -DFROM_UDQ -DTO_QQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUDQHQ_s.o -MT _fractUDQHQ_s.o -MD -MP -MF _fractUDQHQ_s.dep -DSHARED -DL_fract -DFROM_UDQ -DTO_HQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUDQSQ_s.o -MT _fractUDQSQ_s.o -MD -MP -MF _fractUDQSQ_s.dep -DSHARED -DL_fract -DFROM_UDQ -DTO_SQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUDQDQ_s.o -MT _fractUDQDQ_s.o -MD -MP -MF _fractUDQDQ_s.dep -DSHARED -DL_fract -DFROM_UDQ -DTO_DQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUDQTQ_s.o -MT _fractUDQTQ_s.o -MD -MP -MF _fractUDQTQ_s.dep -DSHARED -DL_fract -DFROM_UDQ -DTO_TQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUDQHA_s.o -MT _fractUDQHA_s.o -MD -MP -MF _fractUDQHA_s.dep -DSHARED -DL_fract -DFROM_UDQ -DTO_HA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUDQSA_s.o -MT _fractUDQSA_s.o -MD -MP -MF _fractUDQSA_s.dep -DSHARED -DL_fract -DFROM_UDQ -DTO_SA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUDQDA_s.o -MT _fractUDQDA_s.o -MD -MP -MF _fractUDQDA_s.dep -DSHARED -DL_fract -DFROM_UDQ -DTO_DA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUDQTA_s.o -MT _fractUDQTA_s.o -MD -MP -MF _fractUDQTA_s.dep -DSHARED -DL_fract -DFROM_UDQ -DTO_TA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUDQUQQ_s.o -MT _fractUDQUQQ_s.o -MD -MP -MF _fractUDQUQQ_s.dep -DSHARED -DL_fract -DFROM_UDQ -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUDQUHQ_s.o -MT _fractUDQUHQ_s.o -MD -MP -MF _fractUDQUHQ_s.dep -DSHARED -DL_fract -DFROM_UDQ -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUDQUSQ_s.o -MT _fractUDQUSQ_s.o -MD -MP -MF _fractUDQUSQ_s.dep -DSHARED -DL_fract -DFROM_UDQ -DTO_USQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUDQUTQ_s.o -MT _fractUDQUTQ_s.o -MD -MP -MF _fractUDQUTQ_s.dep -DSHARED -DL_fract -DFROM_UDQ -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUDQUHA_s.o -MT _fractUDQUHA_s.o -MD -MP -MF _fractUDQUHA_s.dep -DSHARED -DL_fract -DFROM_UDQ -DTO_UHA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUDQUSA_s.o -MT _fractUDQUSA_s.o -MD -MP -MF _fractUDQUSA_s.dep -DSHARED -DL_fract -DFROM_UDQ -DTO_USA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUDQUDA_s.o -MT _fractUDQUDA_s.o -MD -MP -MF _fractUDQUDA_s.dep -DSHARED -DL_fract -DFROM_UDQ -DTO_UDA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUDQUTA_s.o -MT _fractUDQUTA_s.o -MD -MP -MF _fractUDQUTA_s.dep -DSHARED -DL_fract -DFROM_UDQ -DTO_UTA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUDQQI_s.o -MT _fractUDQQI_s.o -MD -MP -MF _fractUDQQI_s.dep -DSHARED -DL_fract -DFROM_UDQ -DTO_QI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUDQHI_s.o -MT _fractUDQHI_s.o -MD -MP -MF _fractUDQHI_s.dep -DSHARED -DL_fract -DFROM_UDQ -DTO_HI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUDQSI_s.o -MT _fractUDQSI_s.o -MD -MP -MF _fractUDQSI_s.dep -DSHARED -DL_fract -DFROM_UDQ -DTO_SI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUDQDI_s.o -MT _fractUDQDI_s.o -MD -MP -MF _fractUDQDI_s.dep -DSHARED -DL_fract -DFROM_UDQ -DTO_DI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUDQTI_s.o -MT _fractUDQTI_s.o -MD -MP -MF _fractUDQTI_s.dep -DSHARED -DL_fract -DFROM_UDQ -DTO_TI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUDQSF_s.o -MT _fractUDQSF_s.o -MD -MP -MF _fractUDQSF_s.dep -DSHARED -DL_fract -DFROM_UDQ -DTO_SF -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUDQDF_s.o -MT _fractUDQDF_s.o -MD -MP -MF _fractUDQDF_s.dep -DSHARED -DL_fract -DFROM_UDQ -DTO_DF -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUTQQQ_s.o -MT _fractUTQQQ_s.o -MD -MP -MF _fractUTQQQ_s.dep -DSHARED -DL_fract -DFROM_UTQ -DTO_QQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUTQHQ_s.o -MT _fractUTQHQ_s.o -MD -MP -MF _fractUTQHQ_s.dep -DSHARED -DL_fract -DFROM_UTQ -DTO_HQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUTQSQ_s.o -MT _fractUTQSQ_s.o -MD -MP -MF _fractUTQSQ_s.dep -DSHARED -DL_fract -DFROM_UTQ -DTO_SQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUTQDQ_s.o -MT _fractUTQDQ_s.o -MD -MP -MF _fractUTQDQ_s.dep -DSHARED -DL_fract -DFROM_UTQ -DTO_DQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUTQTQ_s.o -MT _fractUTQTQ_s.o -MD -MP -MF _fractUTQTQ_s.dep -DSHARED -DL_fract -DFROM_UTQ -DTO_TQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUTQHA_s.o -MT _fractUTQHA_s.o -MD -MP -MF _fractUTQHA_s.dep -DSHARED -DL_fract -DFROM_UTQ -DTO_HA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUTQSA_s.o -MT _fractUTQSA_s.o -MD -MP -MF _fractUTQSA_s.dep -DSHARED -DL_fract -DFROM_UTQ -DTO_SA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUTQDA_s.o -MT _fractUTQDA_s.o -MD -MP -MF _fractUTQDA_s.dep -DSHARED -DL_fract -DFROM_UTQ -DTO_DA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUTQTA_s.o -MT _fractUTQTA_s.o -MD -MP -MF _fractUTQTA_s.dep -DSHARED -DL_fract -DFROM_UTQ -DTO_TA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUTQUQQ_s.o -MT _fractUTQUQQ_s.o -MD -MP -MF _fractUTQUQQ_s.dep -DSHARED -DL_fract -DFROM_UTQ -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUTQUHQ_s.o -MT _fractUTQUHQ_s.o -MD -MP -MF _fractUTQUHQ_s.dep -DSHARED -DL_fract -DFROM_UTQ -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUTQUSQ_s.o -MT _fractUTQUSQ_s.o -MD -MP -MF _fractUTQUSQ_s.dep -DSHARED -DL_fract -DFROM_UTQ -DTO_USQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUTQUDQ_s.o -MT _fractUTQUDQ_s.o -MD -MP -MF _fractUTQUDQ_s.dep -DSHARED -DL_fract -DFROM_UTQ -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUTQUHA_s.o -MT _fractUTQUHA_s.o -MD -MP -MF _fractUTQUHA_s.dep -DSHARED -DL_fract -DFROM_UTQ -DTO_UHA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUTQUSA_s.o -MT _fractUTQUSA_s.o -MD -MP -MF _fractUTQUSA_s.dep -DSHARED -DL_fract -DFROM_UTQ -DTO_USA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUTQUDA_s.o -MT _fractUTQUDA_s.o -MD -MP -MF _fractUTQUDA_s.dep -DSHARED -DL_fract -DFROM_UTQ -DTO_UDA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUTQUTA_s.o -MT _fractUTQUTA_s.o -MD -MP -MF _fractUTQUTA_s.dep -DSHARED -DL_fract -DFROM_UTQ -DTO_UTA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUTQQI_s.o -MT _fractUTQQI_s.o -MD -MP -MF _fractUTQQI_s.dep -DSHARED -DL_fract -DFROM_UTQ -DTO_QI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUTQHI_s.o -MT _fractUTQHI_s.o -MD -MP -MF _fractUTQHI_s.dep -DSHARED -DL_fract -DFROM_UTQ -DTO_HI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUTQSI_s.o -MT _fractUTQSI_s.o -MD -MP -MF _fractUTQSI_s.dep -DSHARED -DL_fract -DFROM_UTQ -DTO_SI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUTQDI_s.o -MT _fractUTQDI_s.o -MD -MP -MF _fractUTQDI_s.dep -DSHARED -DL_fract -DFROM_UTQ -DTO_DI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUTQTI_s.o -MT _fractUTQTI_s.o -MD -MP -MF _fractUTQTI_s.dep -DSHARED -DL_fract -DFROM_UTQ -DTO_TI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUTQSF_s.o -MT _fractUTQSF_s.o -MD -MP -MF _fractUTQSF_s.dep -DSHARED -DL_fract -DFROM_UTQ -DTO_SF -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUTQDF_s.o -MT _fractUTQDF_s.o -MD -MP -MF _fractUTQDF_s.dep -DSHARED -DL_fract -DFROM_UTQ -DTO_DF -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUHAQQ_s.o -MT _fractUHAQQ_s.o -MD -MP -MF _fractUHAQQ_s.dep -DSHARED -DL_fract -DFROM_UHA -DTO_QQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUHAHQ_s.o -MT _fractUHAHQ_s.o -MD -MP -MF _fractUHAHQ_s.dep -DSHARED -DL_fract -DFROM_UHA -DTO_HQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUHASQ_s.o -MT _fractUHASQ_s.o -MD -MP -MF _fractUHASQ_s.dep -DSHARED -DL_fract -DFROM_UHA -DTO_SQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUHADQ_s.o -MT _fractUHADQ_s.o -MD -MP -MF _fractUHADQ_s.dep -DSHARED -DL_fract -DFROM_UHA -DTO_DQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUHATQ_s.o -MT _fractUHATQ_s.o -MD -MP -MF _fractUHATQ_s.dep -DSHARED -DL_fract -DFROM_UHA -DTO_TQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUHAHA_s.o -MT _fractUHAHA_s.o -MD -MP -MF _fractUHAHA_s.dep -DSHARED -DL_fract -DFROM_UHA -DTO_HA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUHASA_s.o -MT _fractUHASA_s.o -MD -MP -MF _fractUHASA_s.dep -DSHARED -DL_fract -DFROM_UHA -DTO_SA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUHADA_s.o -MT _fractUHADA_s.o -MD -MP -MF _fractUHADA_s.dep -DSHARED -DL_fract -DFROM_UHA -DTO_DA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUHATA_s.o -MT _fractUHATA_s.o -MD -MP -MF _fractUHATA_s.dep -DSHARED -DL_fract -DFROM_UHA -DTO_TA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUHAUQQ_s.o -MT _fractUHAUQQ_s.o -MD -MP -MF _fractUHAUQQ_s.dep -DSHARED -DL_fract -DFROM_UHA -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUHAUHQ_s.o -MT _fractUHAUHQ_s.o -MD -MP -MF _fractUHAUHQ_s.dep -DSHARED -DL_fract -DFROM_UHA -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUHAUSQ_s.o -MT _fractUHAUSQ_s.o -MD -MP -MF _fractUHAUSQ_s.dep -DSHARED -DL_fract -DFROM_UHA -DTO_USQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUHAUDQ_s.o -MT _fractUHAUDQ_s.o -MD -MP -MF _fractUHAUDQ_s.dep -DSHARED -DL_fract -DFROM_UHA -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUHAUTQ_s.o -MT _fractUHAUTQ_s.o -MD -MP -MF _fractUHAUTQ_s.dep -DSHARED -DL_fract -DFROM_UHA -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUHAUSA_s.o -MT _fractUHAUSA_s.o -MD -MP -MF _fractUHAUSA_s.dep -DSHARED -DL_fract -DFROM_UHA -DTO_USA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUHAUDA_s.o -MT _fractUHAUDA_s.o -MD -MP -MF _fractUHAUDA_s.dep -DSHARED -DL_fract -DFROM_UHA -DTO_UDA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUHAUTA_s.o -MT _fractUHAUTA_s.o -MD -MP -MF _fractUHAUTA_s.dep -DSHARED -DL_fract -DFROM_UHA -DTO_UTA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUHAQI_s.o -MT _fractUHAQI_s.o -MD -MP -MF _fractUHAQI_s.dep -DSHARED -DL_fract -DFROM_UHA -DTO_QI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUHAHI_s.o -MT _fractUHAHI_s.o -MD -MP -MF _fractUHAHI_s.dep -DSHARED -DL_fract -DFROM_UHA -DTO_HI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUHASI_s.o -MT _fractUHASI_s.o -MD -MP -MF _fractUHASI_s.dep -DSHARED -DL_fract -DFROM_UHA -DTO_SI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUHADI_s.o -MT _fractUHADI_s.o -MD -MP -MF _fractUHADI_s.dep -DSHARED -DL_fract -DFROM_UHA -DTO_DI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUHATI_s.o -MT _fractUHATI_s.o -MD -MP -MF _fractUHATI_s.dep -DSHARED -DL_fract -DFROM_UHA -DTO_TI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUHASF_s.o -MT _fractUHASF_s.o -MD -MP -MF _fractUHASF_s.dep -DSHARED -DL_fract -DFROM_UHA -DTO_SF -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUHADF_s.o -MT _fractUHADF_s.o -MD -MP -MF _fractUHADF_s.dep -DSHARED -DL_fract -DFROM_UHA -DTO_DF -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUSAQQ_s.o -MT _fractUSAQQ_s.o -MD -MP -MF _fractUSAQQ_s.dep -DSHARED -DL_fract -DFROM_USA -DTO_QQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUSAHQ_s.o -MT _fractUSAHQ_s.o -MD -MP -MF _fractUSAHQ_s.dep -DSHARED -DL_fract -DFROM_USA -DTO_HQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUSASQ_s.o -MT _fractUSASQ_s.o -MD -MP -MF _fractUSASQ_s.dep -DSHARED -DL_fract -DFROM_USA -DTO_SQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUSADQ_s.o -MT _fractUSADQ_s.o -MD -MP -MF _fractUSADQ_s.dep -DSHARED -DL_fract -DFROM_USA -DTO_DQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUSATQ_s.o -MT _fractUSATQ_s.o -MD -MP -MF _fractUSATQ_s.dep -DSHARED -DL_fract -DFROM_USA -DTO_TQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUSAHA_s.o -MT _fractUSAHA_s.o -MD -MP -MF _fractUSAHA_s.dep -DSHARED -DL_fract -DFROM_USA -DTO_HA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUSASA_s.o -MT _fractUSASA_s.o -MD -MP -MF _fractUSASA_s.dep -DSHARED -DL_fract -DFROM_USA -DTO_SA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUSADA_s.o -MT _fractUSADA_s.o -MD -MP -MF _fractUSADA_s.dep -DSHARED -DL_fract -DFROM_USA -DTO_DA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUSATA_s.o -MT _fractUSATA_s.o -MD -MP -MF _fractUSATA_s.dep -DSHARED -DL_fract -DFROM_USA -DTO_TA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUSAUQQ_s.o -MT _fractUSAUQQ_s.o -MD -MP -MF _fractUSAUQQ_s.dep -DSHARED -DL_fract -DFROM_USA -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUSAUHQ_s.o -MT _fractUSAUHQ_s.o -MD -MP -MF _fractUSAUHQ_s.dep -DSHARED -DL_fract -DFROM_USA -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUSAUSQ_s.o -MT _fractUSAUSQ_s.o -MD -MP -MF _fractUSAUSQ_s.dep -DSHARED -DL_fract -DFROM_USA -DTO_USQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUSAUDQ_s.o -MT _fractUSAUDQ_s.o -MD -MP -MF _fractUSAUDQ_s.dep -DSHARED -DL_fract -DFROM_USA -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUSAUTQ_s.o -MT _fractUSAUTQ_s.o -MD -MP -MF _fractUSAUTQ_s.dep -DSHARED -DL_fract -DFROM_USA -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUSAUHA_s.o -MT _fractUSAUHA_s.o -MD -MP -MF _fractUSAUHA_s.dep -DSHARED -DL_fract -DFROM_USA -DTO_UHA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUSAUDA_s.o -MT _fractUSAUDA_s.o -MD -MP -MF _fractUSAUDA_s.dep -DSHARED -DL_fract -DFROM_USA -DTO_UDA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUSAUTA_s.o -MT _fractUSAUTA_s.o -MD -MP -MF _fractUSAUTA_s.dep -DSHARED -DL_fract -DFROM_USA -DTO_UTA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUSAQI_s.o -MT _fractUSAQI_s.o -MD -MP -MF _fractUSAQI_s.dep -DSHARED -DL_fract -DFROM_USA -DTO_QI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUSAHI_s.o -MT _fractUSAHI_s.o -MD -MP -MF _fractUSAHI_s.dep -DSHARED -DL_fract -DFROM_USA -DTO_HI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUSASI_s.o -MT _fractUSASI_s.o -MD -MP -MF _fractUSASI_s.dep -DSHARED -DL_fract -DFROM_USA -DTO_SI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUSADI_s.o -MT _fractUSADI_s.o -MD -MP -MF _fractUSADI_s.dep -DSHARED -DL_fract -DFROM_USA -DTO_DI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUSATI_s.o -MT _fractUSATI_s.o -MD -MP -MF _fractUSATI_s.dep -DSHARED -DL_fract -DFROM_USA -DTO_TI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUSASF_s.o -MT _fractUSASF_s.o -MD -MP -MF _fractUSASF_s.dep -DSHARED -DL_fract -DFROM_USA -DTO_SF -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUSADF_s.o -MT _fractUSADF_s.o -MD -MP -MF _fractUSADF_s.dep -DSHARED -DL_fract -DFROM_USA -DTO_DF -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUDAQQ_s.o -MT _fractUDAQQ_s.o -MD -MP -MF _fractUDAQQ_s.dep -DSHARED -DL_fract -DFROM_UDA -DTO_QQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUDAHQ_s.o -MT _fractUDAHQ_s.o -MD -MP -MF _fractUDAHQ_s.dep -DSHARED -DL_fract -DFROM_UDA -DTO_HQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUDASQ_s.o -MT _fractUDASQ_s.o -MD -MP -MF _fractUDASQ_s.dep -DSHARED -DL_fract -DFROM_UDA -DTO_SQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUDADQ_s.o -MT _fractUDADQ_s.o -MD -MP -MF _fractUDADQ_s.dep -DSHARED -DL_fract -DFROM_UDA -DTO_DQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUDATQ_s.o -MT _fractUDATQ_s.o -MD -MP -MF _fractUDATQ_s.dep -DSHARED -DL_fract -DFROM_UDA -DTO_TQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUDAHA_s.o -MT _fractUDAHA_s.o -MD -MP -MF _fractUDAHA_s.dep -DSHARED -DL_fract -DFROM_UDA -DTO_HA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUDASA_s.o -MT _fractUDASA_s.o -MD -MP -MF _fractUDASA_s.dep -DSHARED -DL_fract -DFROM_UDA -DTO_SA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUDADA_s.o -MT _fractUDADA_s.o -MD -MP -MF _fractUDADA_s.dep -DSHARED -DL_fract -DFROM_UDA -DTO_DA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUDATA_s.o -MT _fractUDATA_s.o -MD -MP -MF _fractUDATA_s.dep -DSHARED -DL_fract -DFROM_UDA -DTO_TA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUDAUQQ_s.o -MT _fractUDAUQQ_s.o -MD -MP -MF _fractUDAUQQ_s.dep -DSHARED -DL_fract -DFROM_UDA -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUDAUHQ_s.o -MT _fractUDAUHQ_s.o -MD -MP -MF _fractUDAUHQ_s.dep -DSHARED -DL_fract -DFROM_UDA -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUDAUSQ_s.o -MT _fractUDAUSQ_s.o -MD -MP -MF _fractUDAUSQ_s.dep -DSHARED -DL_fract -DFROM_UDA -DTO_USQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUDAUDQ_s.o -MT _fractUDAUDQ_s.o -MD -MP -MF _fractUDAUDQ_s.dep -DSHARED -DL_fract -DFROM_UDA -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUDAUTQ_s.o -MT _fractUDAUTQ_s.o -MD -MP -MF _fractUDAUTQ_s.dep -DSHARED -DL_fract -DFROM_UDA -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUDAUHA_s.o -MT _fractUDAUHA_s.o -MD -MP -MF _fractUDAUHA_s.dep -DSHARED -DL_fract -DFROM_UDA -DTO_UHA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUDAUSA_s.o -MT _fractUDAUSA_s.o -MD -MP -MF _fractUDAUSA_s.dep -DSHARED -DL_fract -DFROM_UDA -DTO_USA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUDAUTA_s.o -MT _fractUDAUTA_s.o -MD -MP -MF _fractUDAUTA_s.dep -DSHARED -DL_fract -DFROM_UDA -DTO_UTA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUDAQI_s.o -MT _fractUDAQI_s.o -MD -MP -MF _fractUDAQI_s.dep -DSHARED -DL_fract -DFROM_UDA -DTO_QI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUDAHI_s.o -MT _fractUDAHI_s.o -MD -MP -MF _fractUDAHI_s.dep -DSHARED -DL_fract -DFROM_UDA -DTO_HI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUDASI_s.o -MT _fractUDASI_s.o -MD -MP -MF _fractUDASI_s.dep -DSHARED -DL_fract -DFROM_UDA -DTO_SI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUDADI_s.o -MT _fractUDADI_s.o -MD -MP -MF _fractUDADI_s.dep -DSHARED -DL_fract -DFROM_UDA -DTO_DI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUDATI_s.o -MT _fractUDATI_s.o -MD -MP -MF _fractUDATI_s.dep -DSHARED -DL_fract -DFROM_UDA -DTO_TI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUDASF_s.o -MT _fractUDASF_s.o -MD -MP -MF _fractUDASF_s.dep -DSHARED -DL_fract -DFROM_UDA -DTO_SF -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUDADF_s.o -MT _fractUDADF_s.o -MD -MP -MF _fractUDADF_s.dep -DSHARED -DL_fract -DFROM_UDA -DTO_DF -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUTAQQ_s.o -MT _fractUTAQQ_s.o -MD -MP -MF _fractUTAQQ_s.dep -DSHARED -DL_fract -DFROM_UTA -DTO_QQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUTAHQ_s.o -MT _fractUTAHQ_s.o -MD -MP -MF _fractUTAHQ_s.dep -DSHARED -DL_fract -DFROM_UTA -DTO_HQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUTASQ_s.o -MT _fractUTASQ_s.o -MD -MP -MF _fractUTASQ_s.dep -DSHARED -DL_fract -DFROM_UTA -DTO_SQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUTADQ_s.o -MT _fractUTADQ_s.o -MD -MP -MF _fractUTADQ_s.dep -DSHARED -DL_fract -DFROM_UTA -DTO_DQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUTATQ_s.o -MT _fractUTATQ_s.o -MD -MP -MF _fractUTATQ_s.dep -DSHARED -DL_fract -DFROM_UTA -DTO_TQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUTAHA_s.o -MT _fractUTAHA_s.o -MD -MP -MF _fractUTAHA_s.dep -DSHARED -DL_fract -DFROM_UTA -DTO_HA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUTASA_s.o -MT _fractUTASA_s.o -MD -MP -MF _fractUTASA_s.dep -DSHARED -DL_fract -DFROM_UTA -DTO_SA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUTADA_s.o -MT _fractUTADA_s.o -MD -MP -MF _fractUTADA_s.dep -DSHARED -DL_fract -DFROM_UTA -DTO_DA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUTATA_s.o -MT _fractUTATA_s.o -MD -MP -MF _fractUTATA_s.dep -DSHARED -DL_fract -DFROM_UTA -DTO_TA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUTAUQQ_s.o -MT _fractUTAUQQ_s.o -MD -MP -MF _fractUTAUQQ_s.dep -DSHARED -DL_fract -DFROM_UTA -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUTAUHQ_s.o -MT _fractUTAUHQ_s.o -MD -MP -MF _fractUTAUHQ_s.dep -DSHARED -DL_fract -DFROM_UTA -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUTAUSQ_s.o -MT _fractUTAUSQ_s.o -MD -MP -MF _fractUTAUSQ_s.dep -DSHARED -DL_fract -DFROM_UTA -DTO_USQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUTAUDQ_s.o -MT _fractUTAUDQ_s.o -MD -MP -MF _fractUTAUDQ_s.dep -DSHARED -DL_fract -DFROM_UTA -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUTAUTQ_s.o -MT _fractUTAUTQ_s.o -MD -MP -MF _fractUTAUTQ_s.dep -DSHARED -DL_fract -DFROM_UTA -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUTAUHA_s.o -MT _fractUTAUHA_s.o -MD -MP -MF _fractUTAUHA_s.dep -DSHARED -DL_fract -DFROM_UTA -DTO_UHA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUTAUSA_s.o -MT _fractUTAUSA_s.o -MD -MP -MF _fractUTAUSA_s.dep -DSHARED -DL_fract -DFROM_UTA -DTO_USA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUTAUDA_s.o -MT _fractUTAUDA_s.o -MD -MP -MF _fractUTAUDA_s.dep -DSHARED -DL_fract -DFROM_UTA -DTO_UDA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUTAQI_s.o -MT _fractUTAQI_s.o -MD -MP -MF _fractUTAQI_s.dep -DSHARED -DL_fract -DFROM_UTA -DTO_QI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUTAHI_s.o -MT _fractUTAHI_s.o -MD -MP -MF _fractUTAHI_s.dep -DSHARED -DL_fract -DFROM_UTA -DTO_HI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUTASI_s.o -MT _fractUTASI_s.o -MD -MP -MF _fractUTASI_s.dep -DSHARED -DL_fract -DFROM_UTA -DTO_SI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUTADI_s.o -MT _fractUTADI_s.o -MD -MP -MF _fractUTADI_s.dep -DSHARED -DL_fract -DFROM_UTA -DTO_DI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUTATI_s.o -MT _fractUTATI_s.o -MD -MP -MF _fractUTATI_s.dep -DSHARED -DL_fract -DFROM_UTA -DTO_TI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUTASF_s.o -MT _fractUTASF_s.o -MD -MP -MF _fractUTASF_s.dep -DSHARED -DL_fract -DFROM_UTA -DTO_SF -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractUTADF_s.o -MT _fractUTADF_s.o -MD -MP -MF _fractUTADF_s.dep -DSHARED -DL_fract -DFROM_UTA -DTO_DF -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractQIQQ_s.o -MT _fractQIQQ_s.o -MD -MP -MF _fractQIQQ_s.dep -DSHARED -DL_fract -DFROM_QI -DTO_QQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractQIHQ_s.o -MT _fractQIHQ_s.o -MD -MP -MF _fractQIHQ_s.dep -DSHARED -DL_fract -DFROM_QI -DTO_HQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractQISQ_s.o -MT _fractQISQ_s.o -MD -MP -MF _fractQISQ_s.dep -DSHARED -DL_fract -DFROM_QI -DTO_SQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractQIDQ_s.o -MT _fractQIDQ_s.o -MD -MP -MF _fractQIDQ_s.dep -DSHARED -DL_fract -DFROM_QI -DTO_DQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractQITQ_s.o -MT _fractQITQ_s.o -MD -MP -MF _fractQITQ_s.dep -DSHARED -DL_fract -DFROM_QI -DTO_TQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractQIHA_s.o -MT _fractQIHA_s.o -MD -MP -MF _fractQIHA_s.dep -DSHARED -DL_fract -DFROM_QI -DTO_HA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractQISA_s.o -MT _fractQISA_s.o -MD -MP -MF _fractQISA_s.dep -DSHARED -DL_fract -DFROM_QI -DTO_SA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractQIDA_s.o -MT _fractQIDA_s.o -MD -MP -MF _fractQIDA_s.dep -DSHARED -DL_fract -DFROM_QI -DTO_DA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractQITA_s.o -MT _fractQITA_s.o -MD -MP -MF _fractQITA_s.dep -DSHARED -DL_fract -DFROM_QI -DTO_TA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractQIUQQ_s.o -MT _fractQIUQQ_s.o -MD -MP -MF _fractQIUQQ_s.dep -DSHARED -DL_fract -DFROM_QI -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractQIUHQ_s.o -MT _fractQIUHQ_s.o -MD -MP -MF _fractQIUHQ_s.dep -DSHARED -DL_fract -DFROM_QI -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractQIUSQ_s.o -MT _fractQIUSQ_s.o -MD -MP -MF _fractQIUSQ_s.dep -DSHARED -DL_fract -DFROM_QI -DTO_USQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractQIUDQ_s.o -MT _fractQIUDQ_s.o -MD -MP -MF _fractQIUDQ_s.dep -DSHARED -DL_fract -DFROM_QI -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractQIUTQ_s.o -MT _fractQIUTQ_s.o -MD -MP -MF _fractQIUTQ_s.dep -DSHARED -DL_fract -DFROM_QI -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractQIUHA_s.o -MT _fractQIUHA_s.o -MD -MP -MF _fractQIUHA_s.dep -DSHARED -DL_fract -DFROM_QI -DTO_UHA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractQIUSA_s.o -MT _fractQIUSA_s.o -MD -MP -MF _fractQIUSA_s.dep -DSHARED -DL_fract -DFROM_QI -DTO_USA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractQIUDA_s.o -MT _fractQIUDA_s.o -MD -MP -MF _fractQIUDA_s.dep -DSHARED -DL_fract -DFROM_QI -DTO_UDA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractQIUTA_s.o -MT _fractQIUTA_s.o -MD -MP -MF _fractQIUTA_s.dep -DSHARED -DL_fract -DFROM_QI -DTO_UTA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHIQQ_s.o -MT _fractHIQQ_s.o -MD -MP -MF _fractHIQQ_s.dep -DSHARED -DL_fract -DFROM_HI -DTO_QQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHIHQ_s.o -MT _fractHIHQ_s.o -MD -MP -MF _fractHIHQ_s.dep -DSHARED -DL_fract -DFROM_HI -DTO_HQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHISQ_s.o -MT _fractHISQ_s.o -MD -MP -MF _fractHISQ_s.dep -DSHARED -DL_fract -DFROM_HI -DTO_SQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHIDQ_s.o -MT _fractHIDQ_s.o -MD -MP -MF _fractHIDQ_s.dep -DSHARED -DL_fract -DFROM_HI -DTO_DQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHITQ_s.o -MT _fractHITQ_s.o -MD -MP -MF _fractHITQ_s.dep -DSHARED -DL_fract -DFROM_HI -DTO_TQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHIHA_s.o -MT _fractHIHA_s.o -MD -MP -MF _fractHIHA_s.dep -DSHARED -DL_fract -DFROM_HI -DTO_HA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHISA_s.o -MT _fractHISA_s.o -MD -MP -MF _fractHISA_s.dep -DSHARED -DL_fract -DFROM_HI -DTO_SA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHIDA_s.o -MT _fractHIDA_s.o -MD -MP -MF _fractHIDA_s.dep -DSHARED -DL_fract -DFROM_HI -DTO_DA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHITA_s.o -MT _fractHITA_s.o -MD -MP -MF _fractHITA_s.dep -DSHARED -DL_fract -DFROM_HI -DTO_TA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHIUQQ_s.o -MT _fractHIUQQ_s.o -MD -MP -MF _fractHIUQQ_s.dep -DSHARED -DL_fract -DFROM_HI -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHIUHQ_s.o -MT _fractHIUHQ_s.o -MD -MP -MF _fractHIUHQ_s.dep -DSHARED -DL_fract -DFROM_HI -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHIUSQ_s.o -MT _fractHIUSQ_s.o -MD -MP -MF _fractHIUSQ_s.dep -DSHARED -DL_fract -DFROM_HI -DTO_USQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHIUDQ_s.o -MT _fractHIUDQ_s.o -MD -MP -MF _fractHIUDQ_s.dep -DSHARED -DL_fract -DFROM_HI -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHIUTQ_s.o -MT _fractHIUTQ_s.o -MD -MP -MF _fractHIUTQ_s.dep -DSHARED -DL_fract -DFROM_HI -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHIUHA_s.o -MT _fractHIUHA_s.o -MD -MP -MF _fractHIUHA_s.dep -DSHARED -DL_fract -DFROM_HI -DTO_UHA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHIUSA_s.o -MT _fractHIUSA_s.o -MD -MP -MF _fractHIUSA_s.dep -DSHARED -DL_fract -DFROM_HI -DTO_USA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHIUDA_s.o -MT _fractHIUDA_s.o -MD -MP -MF _fractHIUDA_s.dep -DSHARED -DL_fract -DFROM_HI -DTO_UDA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractHIUTA_s.o -MT _fractHIUTA_s.o -MD -MP -MF _fractHIUTA_s.dep -DSHARED -DL_fract -DFROM_HI -DTO_UTA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSIQQ_s.o -MT _fractSIQQ_s.o -MD -MP -MF _fractSIQQ_s.dep -DSHARED -DL_fract -DFROM_SI -DTO_QQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSIHQ_s.o -MT _fractSIHQ_s.o -MD -MP -MF _fractSIHQ_s.dep -DSHARED -DL_fract -DFROM_SI -DTO_HQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSISQ_s.o -MT _fractSISQ_s.o -MD -MP -MF _fractSISQ_s.dep -DSHARED -DL_fract -DFROM_SI -DTO_SQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSIDQ_s.o -MT _fractSIDQ_s.o -MD -MP -MF _fractSIDQ_s.dep -DSHARED -DL_fract -DFROM_SI -DTO_DQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSITQ_s.o -MT _fractSITQ_s.o -MD -MP -MF _fractSITQ_s.dep -DSHARED -DL_fract -DFROM_SI -DTO_TQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSIHA_s.o -MT _fractSIHA_s.o -MD -MP -MF _fractSIHA_s.dep -DSHARED -DL_fract -DFROM_SI -DTO_HA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSISA_s.o -MT _fractSISA_s.o -MD -MP -MF _fractSISA_s.dep -DSHARED -DL_fract -DFROM_SI -DTO_SA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSIDA_s.o -MT _fractSIDA_s.o -MD -MP -MF _fractSIDA_s.dep -DSHARED -DL_fract -DFROM_SI -DTO_DA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSITA_s.o -MT _fractSITA_s.o -MD -MP -MF _fractSITA_s.dep -DSHARED -DL_fract -DFROM_SI -DTO_TA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSIUQQ_s.o -MT _fractSIUQQ_s.o -MD -MP -MF _fractSIUQQ_s.dep -DSHARED -DL_fract -DFROM_SI -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSIUHQ_s.o -MT _fractSIUHQ_s.o -MD -MP -MF _fractSIUHQ_s.dep -DSHARED -DL_fract -DFROM_SI -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSIUSQ_s.o -MT _fractSIUSQ_s.o -MD -MP -MF _fractSIUSQ_s.dep -DSHARED -DL_fract -DFROM_SI -DTO_USQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSIUDQ_s.o -MT _fractSIUDQ_s.o -MD -MP -MF _fractSIUDQ_s.dep -DSHARED -DL_fract -DFROM_SI -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSIUTQ_s.o -MT _fractSIUTQ_s.o -MD -MP -MF _fractSIUTQ_s.dep -DSHARED -DL_fract -DFROM_SI -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSIUHA_s.o -MT _fractSIUHA_s.o -MD -MP -MF _fractSIUHA_s.dep -DSHARED -DL_fract -DFROM_SI -DTO_UHA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSIUSA_s.o -MT _fractSIUSA_s.o -MD -MP -MF _fractSIUSA_s.dep -DSHARED -DL_fract -DFROM_SI -DTO_USA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSIUDA_s.o -MT _fractSIUDA_s.o -MD -MP -MF _fractSIUDA_s.dep -DSHARED -DL_fract -DFROM_SI -DTO_UDA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSIUTA_s.o -MT _fractSIUTA_s.o -MD -MP -MF _fractSIUTA_s.dep -DSHARED -DL_fract -DFROM_SI -DTO_UTA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDIQQ_s.o -MT _fractDIQQ_s.o -MD -MP -MF _fractDIQQ_s.dep -DSHARED -DL_fract -DFROM_DI -DTO_QQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDIHQ_s.o -MT _fractDIHQ_s.o -MD -MP -MF _fractDIHQ_s.dep -DSHARED -DL_fract -DFROM_DI -DTO_HQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDISQ_s.o -MT _fractDISQ_s.o -MD -MP -MF _fractDISQ_s.dep -DSHARED -DL_fract -DFROM_DI -DTO_SQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDIDQ_s.o -MT _fractDIDQ_s.o -MD -MP -MF _fractDIDQ_s.dep -DSHARED -DL_fract -DFROM_DI -DTO_DQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDITQ_s.o -MT _fractDITQ_s.o -MD -MP -MF _fractDITQ_s.dep -DSHARED -DL_fract -DFROM_DI -DTO_TQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDIHA_s.o -MT _fractDIHA_s.o -MD -MP -MF _fractDIHA_s.dep -DSHARED -DL_fract -DFROM_DI -DTO_HA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDISA_s.o -MT _fractDISA_s.o -MD -MP -MF _fractDISA_s.dep -DSHARED -DL_fract -DFROM_DI -DTO_SA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDIDA_s.o -MT _fractDIDA_s.o -MD -MP -MF _fractDIDA_s.dep -DSHARED -DL_fract -DFROM_DI -DTO_DA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDITA_s.o -MT _fractDITA_s.o -MD -MP -MF _fractDITA_s.dep -DSHARED -DL_fract -DFROM_DI -DTO_TA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDIUQQ_s.o -MT _fractDIUQQ_s.o -MD -MP -MF _fractDIUQQ_s.dep -DSHARED -DL_fract -DFROM_DI -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDIUHQ_s.o -MT _fractDIUHQ_s.o -MD -MP -MF _fractDIUHQ_s.dep -DSHARED -DL_fract -DFROM_DI -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDIUSQ_s.o -MT _fractDIUSQ_s.o -MD -MP -MF _fractDIUSQ_s.dep -DSHARED -DL_fract -DFROM_DI -DTO_USQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDIUDQ_s.o -MT _fractDIUDQ_s.o -MD -MP -MF _fractDIUDQ_s.dep -DSHARED -DL_fract -DFROM_DI -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDIUTQ_s.o -MT _fractDIUTQ_s.o -MD -MP -MF _fractDIUTQ_s.dep -DSHARED -DL_fract -DFROM_DI -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDIUHA_s.o -MT _fractDIUHA_s.o -MD -MP -MF _fractDIUHA_s.dep -DSHARED -DL_fract -DFROM_DI -DTO_UHA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDIUSA_s.o -MT _fractDIUSA_s.o -MD -MP -MF _fractDIUSA_s.dep -DSHARED -DL_fract -DFROM_DI -DTO_USA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDIUDA_s.o -MT _fractDIUDA_s.o -MD -MP -MF _fractDIUDA_s.dep -DSHARED -DL_fract -DFROM_DI -DTO_UDA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDIUTA_s.o -MT _fractDIUTA_s.o -MD -MP -MF _fractDIUTA_s.dep -DSHARED -DL_fract -DFROM_DI -DTO_UTA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTIQQ_s.o -MT _fractTIQQ_s.o -MD -MP -MF _fractTIQQ_s.dep -DSHARED -DL_fract -DFROM_TI -DTO_QQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTIHQ_s.o -MT _fractTIHQ_s.o -MD -MP -MF _fractTIHQ_s.dep -DSHARED -DL_fract -DFROM_TI -DTO_HQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTISQ_s.o -MT _fractTISQ_s.o -MD -MP -MF _fractTISQ_s.dep -DSHARED -DL_fract -DFROM_TI -DTO_SQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTIDQ_s.o -MT _fractTIDQ_s.o -MD -MP -MF _fractTIDQ_s.dep -DSHARED -DL_fract -DFROM_TI -DTO_DQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTITQ_s.o -MT _fractTITQ_s.o -MD -MP -MF _fractTITQ_s.dep -DSHARED -DL_fract -DFROM_TI -DTO_TQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTIHA_s.o -MT _fractTIHA_s.o -MD -MP -MF _fractTIHA_s.dep -DSHARED -DL_fract -DFROM_TI -DTO_HA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTISA_s.o -MT _fractTISA_s.o -MD -MP -MF _fractTISA_s.dep -DSHARED -DL_fract -DFROM_TI -DTO_SA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTIDA_s.o -MT _fractTIDA_s.o -MD -MP -MF _fractTIDA_s.dep -DSHARED -DL_fract -DFROM_TI -DTO_DA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTITA_s.o -MT _fractTITA_s.o -MD -MP -MF _fractTITA_s.dep -DSHARED -DL_fract -DFROM_TI -DTO_TA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTIUQQ_s.o -MT _fractTIUQQ_s.o -MD -MP -MF _fractTIUQQ_s.dep -DSHARED -DL_fract -DFROM_TI -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTIUHQ_s.o -MT _fractTIUHQ_s.o -MD -MP -MF _fractTIUHQ_s.dep -DSHARED -DL_fract -DFROM_TI -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTIUSQ_s.o -MT _fractTIUSQ_s.o -MD -MP -MF _fractTIUSQ_s.dep -DSHARED -DL_fract -DFROM_TI -DTO_USQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTIUDQ_s.o -MT _fractTIUDQ_s.o -MD -MP -MF _fractTIUDQ_s.dep -DSHARED -DL_fract -DFROM_TI -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTIUTQ_s.o -MT _fractTIUTQ_s.o -MD -MP -MF _fractTIUTQ_s.dep -DSHARED -DL_fract -DFROM_TI -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTIUHA_s.o -MT _fractTIUHA_s.o -MD -MP -MF _fractTIUHA_s.dep -DSHARED -DL_fract -DFROM_TI -DTO_UHA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTIUSA_s.o -MT _fractTIUSA_s.o -MD -MP -MF _fractTIUSA_s.dep -DSHARED -DL_fract -DFROM_TI -DTO_USA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTIUDA_s.o -MT _fractTIUDA_s.o -MD -MP -MF _fractTIUDA_s.dep -DSHARED -DL_fract -DFROM_TI -DTO_UDA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractTIUTA_s.o -MT _fractTIUTA_s.o -MD -MP -MF _fractTIUTA_s.dep -DSHARED -DL_fract -DFROM_TI -DTO_UTA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSFQQ_s.o -MT _fractSFQQ_s.o -MD -MP -MF _fractSFQQ_s.dep -DSHARED -DL_fract -DFROM_SF -DTO_QQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSFHQ_s.o -MT _fractSFHQ_s.o -MD -MP -MF _fractSFHQ_s.dep -DSHARED -DL_fract -DFROM_SF -DTO_HQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSFSQ_s.o -MT _fractSFSQ_s.o -MD -MP -MF _fractSFSQ_s.dep -DSHARED -DL_fract -DFROM_SF -DTO_SQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSFDQ_s.o -MT _fractSFDQ_s.o -MD -MP -MF _fractSFDQ_s.dep -DSHARED -DL_fract -DFROM_SF -DTO_DQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSFTQ_s.o -MT _fractSFTQ_s.o -MD -MP -MF _fractSFTQ_s.dep -DSHARED -DL_fract -DFROM_SF -DTO_TQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSFHA_s.o -MT _fractSFHA_s.o -MD -MP -MF _fractSFHA_s.dep -DSHARED -DL_fract -DFROM_SF -DTO_HA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSFSA_s.o -MT _fractSFSA_s.o -MD -MP -MF _fractSFSA_s.dep -DSHARED -DL_fract -DFROM_SF -DTO_SA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSFDA_s.o -MT _fractSFDA_s.o -MD -MP -MF _fractSFDA_s.dep -DSHARED -DL_fract -DFROM_SF -DTO_DA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSFTA_s.o -MT _fractSFTA_s.o -MD -MP -MF _fractSFTA_s.dep -DSHARED -DL_fract -DFROM_SF -DTO_TA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSFUQQ_s.o -MT _fractSFUQQ_s.o -MD -MP -MF _fractSFUQQ_s.dep -DSHARED -DL_fract -DFROM_SF -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSFUHQ_s.o -MT _fractSFUHQ_s.o -MD -MP -MF _fractSFUHQ_s.dep -DSHARED -DL_fract -DFROM_SF -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSFUSQ_s.o -MT _fractSFUSQ_s.o -MD -MP -MF _fractSFUSQ_s.dep -DSHARED -DL_fract -DFROM_SF -DTO_USQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSFUDQ_s.o -MT _fractSFUDQ_s.o -MD -MP -MF _fractSFUDQ_s.dep -DSHARED -DL_fract -DFROM_SF -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSFUTQ_s.o -MT _fractSFUTQ_s.o -MD -MP -MF _fractSFUTQ_s.dep -DSHARED -DL_fract -DFROM_SF -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSFUHA_s.o -MT _fractSFUHA_s.o -MD -MP -MF _fractSFUHA_s.dep -DSHARED -DL_fract -DFROM_SF -DTO_UHA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSFUSA_s.o -MT _fractSFUSA_s.o -MD -MP -MF _fractSFUSA_s.dep -DSHARED -DL_fract -DFROM_SF -DTO_USA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSFUDA_s.o -MT _fractSFUDA_s.o -MD -MP -MF _fractSFUDA_s.dep -DSHARED -DL_fract -DFROM_SF -DTO_UDA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractSFUTA_s.o -MT _fractSFUTA_s.o -MD -MP -MF _fractSFUTA_s.dep -DSHARED -DL_fract -DFROM_SF -DTO_UTA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDFQQ_s.o -MT _fractDFQQ_s.o -MD -MP -MF _fractDFQQ_s.dep -DSHARED -DL_fract -DFROM_DF -DTO_QQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDFHQ_s.o -MT _fractDFHQ_s.o -MD -MP -MF _fractDFHQ_s.dep -DSHARED -DL_fract -DFROM_DF -DTO_HQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDFSQ_s.o -MT _fractDFSQ_s.o -MD -MP -MF _fractDFSQ_s.dep -DSHARED -DL_fract -DFROM_DF -DTO_SQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDFDQ_s.o -MT _fractDFDQ_s.o -MD -MP -MF _fractDFDQ_s.dep -DSHARED -DL_fract -DFROM_DF -DTO_DQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDFTQ_s.o -MT _fractDFTQ_s.o -MD -MP -MF _fractDFTQ_s.dep -DSHARED -DL_fract -DFROM_DF -DTO_TQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDFHA_s.o -MT _fractDFHA_s.o -MD -MP -MF _fractDFHA_s.dep -DSHARED -DL_fract -DFROM_DF -DTO_HA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDFSA_s.o -MT _fractDFSA_s.o -MD -MP -MF _fractDFSA_s.dep -DSHARED -DL_fract -DFROM_DF -DTO_SA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDFDA_s.o -MT _fractDFDA_s.o -MD -MP -MF _fractDFDA_s.dep -DSHARED -DL_fract -DFROM_DF -DTO_DA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDFTA_s.o -MT _fractDFTA_s.o -MD -MP -MF _fractDFTA_s.dep -DSHARED -DL_fract -DFROM_DF -DTO_TA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDFUQQ_s.o -MT _fractDFUQQ_s.o -MD -MP -MF _fractDFUQQ_s.dep -DSHARED -DL_fract -DFROM_DF -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDFUHQ_s.o -MT _fractDFUHQ_s.o -MD -MP -MF _fractDFUHQ_s.dep -DSHARED -DL_fract -DFROM_DF -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDFUSQ_s.o -MT _fractDFUSQ_s.o -MD -MP -MF _fractDFUSQ_s.dep -DSHARED -DL_fract -DFROM_DF -DTO_USQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDFUDQ_s.o -MT _fractDFUDQ_s.o -MD -MP -MF _fractDFUDQ_s.dep -DSHARED -DL_fract -DFROM_DF -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDFUTQ_s.o -MT _fractDFUTQ_s.o -MD -MP -MF _fractDFUTQ_s.dep -DSHARED -DL_fract -DFROM_DF -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDFUHA_s.o -MT _fractDFUHA_s.o -MD -MP -MF _fractDFUHA_s.dep -DSHARED -DL_fract -DFROM_DF -DTO_UHA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDFUSA_s.o -MT _fractDFUSA_s.o -MD -MP -MF _fractDFUSA_s.dep -DSHARED -DL_fract -DFROM_DF -DTO_USA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDFUDA_s.o -MT _fractDFUDA_s.o -MD -MP -MF _fractDFUDA_s.dep -DSHARED -DL_fract -DFROM_DF -DTO_UDA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractDFUTA_s.o -MT _fractDFUTA_s.o -MD -MP -MF _fractDFUTA_s.dep -DSHARED -DL_fract -DFROM_DF -DTO_UTA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractQQHQ_s.o -MT _satfractQQHQ_s.o -MD -MP -MF _satfractQQHQ_s.dep -DSHARED -DL_satfract -DFROM_QQ -DTO_HQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractQQSQ_s.o -MT _satfractQQSQ_s.o -MD -MP -MF _satfractQQSQ_s.dep -DSHARED -DL_satfract -DFROM_QQ -DTO_SQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractQQDQ_s.o -MT _satfractQQDQ_s.o -MD -MP -MF _satfractQQDQ_s.dep -DSHARED -DL_satfract -DFROM_QQ -DTO_DQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractQQTQ_s.o -MT _satfractQQTQ_s.o -MD -MP -MF _satfractQQTQ_s.dep -DSHARED -DL_satfract -DFROM_QQ -DTO_TQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractQQHA_s.o -MT _satfractQQHA_s.o -MD -MP -MF _satfractQQHA_s.dep -DSHARED -DL_satfract -DFROM_QQ -DTO_HA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractQQSA_s.o -MT _satfractQQSA_s.o -MD -MP -MF _satfractQQSA_s.dep -DSHARED -DL_satfract -DFROM_QQ -DTO_SA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractQQDA_s.o -MT _satfractQQDA_s.o -MD -MP -MF _satfractQQDA_s.dep -DSHARED -DL_satfract -DFROM_QQ -DTO_DA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractQQTA_s.o -MT _satfractQQTA_s.o -MD -MP -MF _satfractQQTA_s.dep -DSHARED -DL_satfract -DFROM_QQ -DTO_TA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractQQUQQ_s.o -MT _satfractQQUQQ_s.o -MD -MP -MF _satfractQQUQQ_s.dep -DSHARED -DL_satfract -DFROM_QQ -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractQQUHQ_s.o -MT _satfractQQUHQ_s.o -MD -MP -MF _satfractQQUHQ_s.dep -DSHARED -DL_satfract -DFROM_QQ -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractQQUSQ_s.o -MT _satfractQQUSQ_s.o -MD -MP -MF _satfractQQUSQ_s.dep -DSHARED -DL_satfract -DFROM_QQ -DTO_USQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractQQUDQ_s.o -MT _satfractQQUDQ_s.o -MD -MP -MF _satfractQQUDQ_s.dep -DSHARED -DL_satfract -DFROM_QQ -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractQQUTQ_s.o -MT _satfractQQUTQ_s.o -MD -MP -MF _satfractQQUTQ_s.dep -DSHARED -DL_satfract -DFROM_QQ -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractQQUHA_s.o -MT _satfractQQUHA_s.o -MD -MP -MF _satfractQQUHA_s.dep -DSHARED -DL_satfract -DFROM_QQ -DTO_UHA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractQQUSA_s.o -MT _satfractQQUSA_s.o -MD -MP -MF _satfractQQUSA_s.dep -DSHARED -DL_satfract -DFROM_QQ -DTO_USA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractQQUDA_s.o -MT _satfractQQUDA_s.o -MD -MP -MF _satfractQQUDA_s.dep -DSHARED -DL_satfract -DFROM_QQ -DTO_UDA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractQQUTA_s.o -MT _satfractQQUTA_s.o -MD -MP -MF _satfractQQUTA_s.dep -DSHARED -DL_satfract -DFROM_QQ -DTO_UTA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractHQQQ_s.o -MT _satfractHQQQ_s.o -MD -MP -MF _satfractHQQQ_s.dep -DSHARED -DL_satfract -DFROM_HQ -DTO_QQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractHQSQ_s.o -MT _satfractHQSQ_s.o -MD -MP -MF _satfractHQSQ_s.dep -DSHARED -DL_satfract -DFROM_HQ -DTO_SQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractHQDQ_s.o -MT _satfractHQDQ_s.o -MD -MP -MF _satfractHQDQ_s.dep -DSHARED -DL_satfract -DFROM_HQ -DTO_DQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractHQTQ_s.o -MT _satfractHQTQ_s.o -MD -MP -MF _satfractHQTQ_s.dep -DSHARED -DL_satfract -DFROM_HQ -DTO_TQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractHQHA_s.o -MT _satfractHQHA_s.o -MD -MP -MF _satfractHQHA_s.dep -DSHARED -DL_satfract -DFROM_HQ -DTO_HA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractHQSA_s.o -MT _satfractHQSA_s.o -MD -MP -MF _satfractHQSA_s.dep -DSHARED -DL_satfract -DFROM_HQ -DTO_SA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractHQDA_s.o -MT _satfractHQDA_s.o -MD -MP -MF _satfractHQDA_s.dep -DSHARED -DL_satfract -DFROM_HQ -DTO_DA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractHQTA_s.o -MT _satfractHQTA_s.o -MD -MP -MF _satfractHQTA_s.dep -DSHARED -DL_satfract -DFROM_HQ -DTO_TA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractHQUQQ_s.o -MT _satfractHQUQQ_s.o -MD -MP -MF _satfractHQUQQ_s.dep -DSHARED -DL_satfract -DFROM_HQ -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractHQUHQ_s.o -MT _satfractHQUHQ_s.o -MD -MP -MF _satfractHQUHQ_s.dep -DSHARED -DL_satfract -DFROM_HQ -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractHQUSQ_s.o -MT _satfractHQUSQ_s.o -MD -MP -MF _satfractHQUSQ_s.dep -DSHARED -DL_satfract -DFROM_HQ -DTO_USQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractHQUDQ_s.o -MT _satfractHQUDQ_s.o -MD -MP -MF _satfractHQUDQ_s.dep -DSHARED -DL_satfract -DFROM_HQ -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractHQUTQ_s.o -MT _satfractHQUTQ_s.o -MD -MP -MF _satfractHQUTQ_s.dep -DSHARED -DL_satfract -DFROM_HQ -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractHQUHA_s.o -MT _satfractHQUHA_s.o -MD -MP -MF _satfractHQUHA_s.dep -DSHARED -DL_satfract -DFROM_HQ -DTO_UHA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractHQUSA_s.o -MT _satfractHQUSA_s.o -MD -MP -MF _satfractHQUSA_s.dep -DSHARED -DL_satfract -DFROM_HQ -DTO_USA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractHQUDA_s.o -MT _satfractHQUDA_s.o -MD -MP -MF _satfractHQUDA_s.dep -DSHARED -DL_satfract -DFROM_HQ -DTO_UDA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractHQUTA_s.o -MT _satfractHQUTA_s.o -MD -MP -MF _satfractHQUTA_s.dep -DSHARED -DL_satfract -DFROM_HQ -DTO_UTA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSQQQ_s.o -MT _satfractSQQQ_s.o -MD -MP -MF _satfractSQQQ_s.dep -DSHARED -DL_satfract -DFROM_SQ -DTO_QQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSQHQ_s.o -MT _satfractSQHQ_s.o -MD -MP -MF _satfractSQHQ_s.dep -DSHARED -DL_satfract -DFROM_SQ -DTO_HQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSQDQ_s.o -MT _satfractSQDQ_s.o -MD -MP -MF _satfractSQDQ_s.dep -DSHARED -DL_satfract -DFROM_SQ -DTO_DQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSQTQ_s.o -MT _satfractSQTQ_s.o -MD -MP -MF _satfractSQTQ_s.dep -DSHARED -DL_satfract -DFROM_SQ -DTO_TQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSQHA_s.o -MT _satfractSQHA_s.o -MD -MP -MF _satfractSQHA_s.dep -DSHARED -DL_satfract -DFROM_SQ -DTO_HA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSQSA_s.o -MT _satfractSQSA_s.o -MD -MP -MF _satfractSQSA_s.dep -DSHARED -DL_satfract -DFROM_SQ -DTO_SA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSQDA_s.o -MT _satfractSQDA_s.o -MD -MP -MF _satfractSQDA_s.dep -DSHARED -DL_satfract -DFROM_SQ -DTO_DA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSQTA_s.o -MT _satfractSQTA_s.o -MD -MP -MF _satfractSQTA_s.dep -DSHARED -DL_satfract -DFROM_SQ -DTO_TA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSQUQQ_s.o -MT _satfractSQUQQ_s.o -MD -MP -MF _satfractSQUQQ_s.dep -DSHARED -DL_satfract -DFROM_SQ -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSQUHQ_s.o -MT _satfractSQUHQ_s.o -MD -MP -MF _satfractSQUHQ_s.dep -DSHARED -DL_satfract -DFROM_SQ -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSQUSQ_s.o -MT _satfractSQUSQ_s.o -MD -MP -MF _satfractSQUSQ_s.dep -DSHARED -DL_satfract -DFROM_SQ -DTO_USQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSQUDQ_s.o -MT _satfractSQUDQ_s.o -MD -MP -MF _satfractSQUDQ_s.dep -DSHARED -DL_satfract -DFROM_SQ -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSQUTQ_s.o -MT _satfractSQUTQ_s.o -MD -MP -MF _satfractSQUTQ_s.dep -DSHARED -DL_satfract -DFROM_SQ -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSQUHA_s.o -MT _satfractSQUHA_s.o -MD -MP -MF _satfractSQUHA_s.dep -DSHARED -DL_satfract -DFROM_SQ -DTO_UHA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSQUSA_s.o -MT _satfractSQUSA_s.o -MD -MP -MF _satfractSQUSA_s.dep -DSHARED -DL_satfract -DFROM_SQ -DTO_USA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSQUDA_s.o -MT _satfractSQUDA_s.o -MD -MP -MF _satfractSQUDA_s.dep -DSHARED -DL_satfract -DFROM_SQ -DTO_UDA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSQUTA_s.o -MT _satfractSQUTA_s.o -MD -MP -MF _satfractSQUTA_s.dep -DSHARED -DL_satfract -DFROM_SQ -DTO_UTA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDQQQ_s.o -MT _satfractDQQQ_s.o -MD -MP -MF _satfractDQQQ_s.dep -DSHARED -DL_satfract -DFROM_DQ -DTO_QQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDQHQ_s.o -MT _satfractDQHQ_s.o -MD -MP -MF _satfractDQHQ_s.dep -DSHARED -DL_satfract -DFROM_DQ -DTO_HQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDQSQ_s.o -MT _satfractDQSQ_s.o -MD -MP -MF _satfractDQSQ_s.dep -DSHARED -DL_satfract -DFROM_DQ -DTO_SQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDQTQ_s.o -MT _satfractDQTQ_s.o -MD -MP -MF _satfractDQTQ_s.dep -DSHARED -DL_satfract -DFROM_DQ -DTO_TQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDQHA_s.o -MT _satfractDQHA_s.o -MD -MP -MF _satfractDQHA_s.dep -DSHARED -DL_satfract -DFROM_DQ -DTO_HA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDQSA_s.o -MT _satfractDQSA_s.o -MD -MP -MF _satfractDQSA_s.dep -DSHARED -DL_satfract -DFROM_DQ -DTO_SA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDQDA_s.o -MT _satfractDQDA_s.o -MD -MP -MF _satfractDQDA_s.dep -DSHARED -DL_satfract -DFROM_DQ -DTO_DA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDQTA_s.o -MT _satfractDQTA_s.o -MD -MP -MF _satfractDQTA_s.dep -DSHARED -DL_satfract -DFROM_DQ -DTO_TA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDQUQQ_s.o -MT _satfractDQUQQ_s.o -MD -MP -MF _satfractDQUQQ_s.dep -DSHARED -DL_satfract -DFROM_DQ -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDQUHQ_s.o -MT _satfractDQUHQ_s.o -MD -MP -MF _satfractDQUHQ_s.dep -DSHARED -DL_satfract -DFROM_DQ -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDQUSQ_s.o -MT _satfractDQUSQ_s.o -MD -MP -MF _satfractDQUSQ_s.dep -DSHARED -DL_satfract -DFROM_DQ -DTO_USQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDQUDQ_s.o -MT _satfractDQUDQ_s.o -MD -MP -MF _satfractDQUDQ_s.dep -DSHARED -DL_satfract -DFROM_DQ -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDQUTQ_s.o -MT _satfractDQUTQ_s.o -MD -MP -MF _satfractDQUTQ_s.dep -DSHARED -DL_satfract -DFROM_DQ -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDQUHA_s.o -MT _satfractDQUHA_s.o -MD -MP -MF _satfractDQUHA_s.dep -DSHARED -DL_satfract -DFROM_DQ -DTO_UHA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDQUSA_s.o -MT _satfractDQUSA_s.o -MD -MP -MF _satfractDQUSA_s.dep -DSHARED -DL_satfract -DFROM_DQ -DTO_USA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDQUDA_s.o -MT _satfractDQUDA_s.o -MD -MP -MF _satfractDQUDA_s.dep -DSHARED -DL_satfract -DFROM_DQ -DTO_UDA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDQUTA_s.o -MT _satfractDQUTA_s.o -MD -MP -MF _satfractDQUTA_s.dep -DSHARED -DL_satfract -DFROM_DQ -DTO_UTA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractTQQQ_s.o -MT _satfractTQQQ_s.o -MD -MP -MF _satfractTQQQ_s.dep -DSHARED -DL_satfract -DFROM_TQ -DTO_QQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractTQHQ_s.o -MT _satfractTQHQ_s.o -MD -MP -MF _satfractTQHQ_s.dep -DSHARED -DL_satfract -DFROM_TQ -DTO_HQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractTQSQ_s.o -MT _satfractTQSQ_s.o -MD -MP -MF _satfractTQSQ_s.dep -DSHARED -DL_satfract -DFROM_TQ -DTO_SQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractTQDQ_s.o -MT _satfractTQDQ_s.o -MD -MP -MF _satfractTQDQ_s.dep -DSHARED -DL_satfract -DFROM_TQ -DTO_DQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractTQHA_s.o -MT _satfractTQHA_s.o -MD -MP -MF _satfractTQHA_s.dep -DSHARED -DL_satfract -DFROM_TQ -DTO_HA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractTQSA_s.o -MT _satfractTQSA_s.o -MD -MP -MF _satfractTQSA_s.dep -DSHARED -DL_satfract -DFROM_TQ -DTO_SA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractTQDA_s.o -MT _satfractTQDA_s.o -MD -MP -MF _satfractTQDA_s.dep -DSHARED -DL_satfract -DFROM_TQ -DTO_DA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractTQTA_s.o -MT _satfractTQTA_s.o -MD -MP -MF _satfractTQTA_s.dep -DSHARED -DL_satfract -DFROM_TQ -DTO_TA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractTQUQQ_s.o -MT _satfractTQUQQ_s.o -MD -MP -MF _satfractTQUQQ_s.dep -DSHARED -DL_satfract -DFROM_TQ -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractTQUHQ_s.o -MT _satfractTQUHQ_s.o -MD -MP -MF _satfractTQUHQ_s.dep -DSHARED -DL_satfract -DFROM_TQ -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractTQUSQ_s.o -MT _satfractTQUSQ_s.o -MD -MP -MF _satfractTQUSQ_s.dep -DSHARED -DL_satfract -DFROM_TQ -DTO_USQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractTQUDQ_s.o -MT _satfractTQUDQ_s.o -MD -MP -MF _satfractTQUDQ_s.dep -DSHARED -DL_satfract -DFROM_TQ -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractTQUTQ_s.o -MT _satfractTQUTQ_s.o -MD -MP -MF _satfractTQUTQ_s.dep -DSHARED -DL_satfract -DFROM_TQ -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractTQUHA_s.o -MT _satfractTQUHA_s.o -MD -MP -MF _satfractTQUHA_s.dep -DSHARED -DL_satfract -DFROM_TQ -DTO_UHA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractTQUSA_s.o -MT _satfractTQUSA_s.o -MD -MP -MF _satfractTQUSA_s.dep -DSHARED -DL_satfract -DFROM_TQ -DTO_USA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractTQUDA_s.o -MT _satfractTQUDA_s.o -MD -MP -MF _satfractTQUDA_s.dep -DSHARED -DL_satfract -DFROM_TQ -DTO_UDA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractTQUTA_s.o -MT _satfractTQUTA_s.o -MD -MP -MF _satfractTQUTA_s.dep -DSHARED -DL_satfract -DFROM_TQ -DTO_UTA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractHAQQ_s.o -MT _satfractHAQQ_s.o -MD -MP -MF _satfractHAQQ_s.dep -DSHARED -DL_satfract -DFROM_HA -DTO_QQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractHAHQ_s.o -MT _satfractHAHQ_s.o -MD -MP -MF _satfractHAHQ_s.dep -DSHARED -DL_satfract -DFROM_HA -DTO_HQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractHASQ_s.o -MT _satfractHASQ_s.o -MD -MP -MF _satfractHASQ_s.dep -DSHARED -DL_satfract -DFROM_HA -DTO_SQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractHADQ_s.o -MT _satfractHADQ_s.o -MD -MP -MF _satfractHADQ_s.dep -DSHARED -DL_satfract -DFROM_HA -DTO_DQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractHATQ_s.o -MT _satfractHATQ_s.o -MD -MP -MF _satfractHATQ_s.dep -DSHARED -DL_satfract -DFROM_HA -DTO_TQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractHASA_s.o -MT _satfractHASA_s.o -MD -MP -MF _satfractHASA_s.dep -DSHARED -DL_satfract -DFROM_HA -DTO_SA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractHADA_s.o -MT _satfractHADA_s.o -MD -MP -MF _satfractHADA_s.dep -DSHARED -DL_satfract -DFROM_HA -DTO_DA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractHATA_s.o -MT _satfractHATA_s.o -MD -MP -MF _satfractHATA_s.dep -DSHARED -DL_satfract -DFROM_HA -DTO_TA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractHAUQQ_s.o -MT _satfractHAUQQ_s.o -MD -MP -MF _satfractHAUQQ_s.dep -DSHARED -DL_satfract -DFROM_HA -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractHAUHQ_s.o -MT _satfractHAUHQ_s.o -MD -MP -MF _satfractHAUHQ_s.dep -DSHARED -DL_satfract -DFROM_HA -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractHAUSQ_s.o -MT _satfractHAUSQ_s.o -MD -MP -MF _satfractHAUSQ_s.dep -DSHARED -DL_satfract -DFROM_HA -DTO_USQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractHAUDQ_s.o -MT _satfractHAUDQ_s.o -MD -MP -MF _satfractHAUDQ_s.dep -DSHARED -DL_satfract -DFROM_HA -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractHAUTQ_s.o -MT _satfractHAUTQ_s.o -MD -MP -MF _satfractHAUTQ_s.dep -DSHARED -DL_satfract -DFROM_HA -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractHAUHA_s.o -MT _satfractHAUHA_s.o -MD -MP -MF _satfractHAUHA_s.dep -DSHARED -DL_satfract -DFROM_HA -DTO_UHA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractHAUSA_s.o -MT _satfractHAUSA_s.o -MD -MP -MF _satfractHAUSA_s.dep -DSHARED -DL_satfract -DFROM_HA -DTO_USA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractHAUDA_s.o -MT _satfractHAUDA_s.o -MD -MP -MF _satfractHAUDA_s.dep -DSHARED -DL_satfract -DFROM_HA -DTO_UDA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractHAUTA_s.o -MT _satfractHAUTA_s.o -MD -MP -MF _satfractHAUTA_s.dep -DSHARED -DL_satfract -DFROM_HA -DTO_UTA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSAQQ_s.o -MT _satfractSAQQ_s.o -MD -MP -MF _satfractSAQQ_s.dep -DSHARED -DL_satfract -DFROM_SA -DTO_QQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSAHQ_s.o -MT _satfractSAHQ_s.o -MD -MP -MF _satfractSAHQ_s.dep -DSHARED -DL_satfract -DFROM_SA -DTO_HQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSASQ_s.o -MT _satfractSASQ_s.o -MD -MP -MF _satfractSASQ_s.dep -DSHARED -DL_satfract -DFROM_SA -DTO_SQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSADQ_s.o -MT _satfractSADQ_s.o -MD -MP -MF _satfractSADQ_s.dep -DSHARED -DL_satfract -DFROM_SA -DTO_DQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSATQ_s.o -MT _satfractSATQ_s.o -MD -MP -MF _satfractSATQ_s.dep -DSHARED -DL_satfract -DFROM_SA -DTO_TQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSAHA_s.o -MT _satfractSAHA_s.o -MD -MP -MF _satfractSAHA_s.dep -DSHARED -DL_satfract -DFROM_SA -DTO_HA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSADA_s.o -MT _satfractSADA_s.o -MD -MP -MF _satfractSADA_s.dep -DSHARED -DL_satfract -DFROM_SA -DTO_DA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSATA_s.o -MT _satfractSATA_s.o -MD -MP -MF _satfractSATA_s.dep -DSHARED -DL_satfract -DFROM_SA -DTO_TA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSAUQQ_s.o -MT _satfractSAUQQ_s.o -MD -MP -MF _satfractSAUQQ_s.dep -DSHARED -DL_satfract -DFROM_SA -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSAUHQ_s.o -MT _satfractSAUHQ_s.o -MD -MP -MF _satfractSAUHQ_s.dep -DSHARED -DL_satfract -DFROM_SA -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSAUSQ_s.o -MT _satfractSAUSQ_s.o -MD -MP -MF _satfractSAUSQ_s.dep -DSHARED -DL_satfract -DFROM_SA -DTO_USQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSAUDQ_s.o -MT _satfractSAUDQ_s.o -MD -MP -MF _satfractSAUDQ_s.dep -DSHARED -DL_satfract -DFROM_SA -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSAUTQ_s.o -MT _satfractSAUTQ_s.o -MD -MP -MF _satfractSAUTQ_s.dep -DSHARED -DL_satfract -DFROM_SA -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSAUHA_s.o -MT _satfractSAUHA_s.o -MD -MP -MF _satfractSAUHA_s.dep -DSHARED -DL_satfract -DFROM_SA -DTO_UHA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSAUSA_s.o -MT _satfractSAUSA_s.o -MD -MP -MF _satfractSAUSA_s.dep -DSHARED -DL_satfract -DFROM_SA -DTO_USA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSAUDA_s.o -MT _satfractSAUDA_s.o -MD -MP -MF _satfractSAUDA_s.dep -DSHARED -DL_satfract -DFROM_SA -DTO_UDA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSAUTA_s.o -MT _satfractSAUTA_s.o -MD -MP -MF _satfractSAUTA_s.dep -DSHARED -DL_satfract -DFROM_SA -DTO_UTA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDAQQ_s.o -MT _satfractDAQQ_s.o -MD -MP -MF _satfractDAQQ_s.dep -DSHARED -DL_satfract -DFROM_DA -DTO_QQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDAHQ_s.o -MT _satfractDAHQ_s.o -MD -MP -MF _satfractDAHQ_s.dep -DSHARED -DL_satfract -DFROM_DA -DTO_HQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDASQ_s.o -MT _satfractDASQ_s.o -MD -MP -MF _satfractDASQ_s.dep -DSHARED -DL_satfract -DFROM_DA -DTO_SQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDADQ_s.o -MT _satfractDADQ_s.o -MD -MP -MF _satfractDADQ_s.dep -DSHARED -DL_satfract -DFROM_DA -DTO_DQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDATQ_s.o -MT _satfractDATQ_s.o -MD -MP -MF _satfractDATQ_s.dep -DSHARED -DL_satfract -DFROM_DA -DTO_TQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDAHA_s.o -MT _satfractDAHA_s.o -MD -MP -MF _satfractDAHA_s.dep -DSHARED -DL_satfract -DFROM_DA -DTO_HA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDASA_s.o -MT _satfractDASA_s.o -MD -MP -MF _satfractDASA_s.dep -DSHARED -DL_satfract -DFROM_DA -DTO_SA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDATA_s.o -MT _satfractDATA_s.o -MD -MP -MF _satfractDATA_s.dep -DSHARED -DL_satfract -DFROM_DA -DTO_TA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDAUQQ_s.o -MT _satfractDAUQQ_s.o -MD -MP -MF _satfractDAUQQ_s.dep -DSHARED -DL_satfract -DFROM_DA -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDAUHQ_s.o -MT _satfractDAUHQ_s.o -MD -MP -MF _satfractDAUHQ_s.dep -DSHARED -DL_satfract -DFROM_DA -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDAUSQ_s.o -MT _satfractDAUSQ_s.o -MD -MP -MF _satfractDAUSQ_s.dep -DSHARED -DL_satfract -DFROM_DA -DTO_USQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDAUDQ_s.o -MT _satfractDAUDQ_s.o -MD -MP -MF _satfractDAUDQ_s.dep -DSHARED -DL_satfract -DFROM_DA -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDAUTQ_s.o -MT _satfractDAUTQ_s.o -MD -MP -MF _satfractDAUTQ_s.dep -DSHARED -DL_satfract -DFROM_DA -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDAUHA_s.o -MT _satfractDAUHA_s.o -MD -MP -MF _satfractDAUHA_s.dep -DSHARED -DL_satfract -DFROM_DA -DTO_UHA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDAUSA_s.o -MT _satfractDAUSA_s.o -MD -MP -MF _satfractDAUSA_s.dep -DSHARED -DL_satfract -DFROM_DA -DTO_USA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDAUDA_s.o -MT _satfractDAUDA_s.o -MD -MP -MF _satfractDAUDA_s.dep -DSHARED -DL_satfract -DFROM_DA -DTO_UDA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDAUTA_s.o -MT _satfractDAUTA_s.o -MD -MP -MF _satfractDAUTA_s.dep -DSHARED -DL_satfract -DFROM_DA -DTO_UTA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractTAQQ_s.o -MT _satfractTAQQ_s.o -MD -MP -MF _satfractTAQQ_s.dep -DSHARED -DL_satfract -DFROM_TA -DTO_QQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractTAHQ_s.o -MT _satfractTAHQ_s.o -MD -MP -MF _satfractTAHQ_s.dep -DSHARED -DL_satfract -DFROM_TA -DTO_HQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractTASQ_s.o -MT _satfractTASQ_s.o -MD -MP -MF _satfractTASQ_s.dep -DSHARED -DL_satfract -DFROM_TA -DTO_SQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractTADQ_s.o -MT _satfractTADQ_s.o -MD -MP -MF _satfractTADQ_s.dep -DSHARED -DL_satfract -DFROM_TA -DTO_DQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractTATQ_s.o -MT _satfractTATQ_s.o -MD -MP -MF _satfractTATQ_s.dep -DSHARED -DL_satfract -DFROM_TA -DTO_TQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractTAHA_s.o -MT _satfractTAHA_s.o -MD -MP -MF _satfractTAHA_s.dep -DSHARED -DL_satfract -DFROM_TA -DTO_HA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractTASA_s.o -MT _satfractTASA_s.o -MD -MP -MF _satfractTASA_s.dep -DSHARED -DL_satfract -DFROM_TA -DTO_SA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractTADA_s.o -MT _satfractTADA_s.o -MD -MP -MF _satfractTADA_s.dep -DSHARED -DL_satfract -DFROM_TA -DTO_DA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractTAUQQ_s.o -MT _satfractTAUQQ_s.o -MD -MP -MF _satfractTAUQQ_s.dep -DSHARED -DL_satfract -DFROM_TA -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractTAUHQ_s.o -MT _satfractTAUHQ_s.o -MD -MP -MF _satfractTAUHQ_s.dep -DSHARED -DL_satfract -DFROM_TA -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractTAUSQ_s.o -MT _satfractTAUSQ_s.o -MD -MP -MF _satfractTAUSQ_s.dep -DSHARED -DL_satfract -DFROM_TA -DTO_USQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractTAUDQ_s.o -MT _satfractTAUDQ_s.o -MD -MP -MF _satfractTAUDQ_s.dep -DSHARED -DL_satfract -DFROM_TA -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractTAUTQ_s.o -MT _satfractTAUTQ_s.o -MD -MP -MF _satfractTAUTQ_s.dep -DSHARED -DL_satfract -DFROM_TA -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractTAUHA_s.o -MT _satfractTAUHA_s.o -MD -MP -MF _satfractTAUHA_s.dep -DSHARED -DL_satfract -DFROM_TA -DTO_UHA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractTAUSA_s.o -MT _satfractTAUSA_s.o -MD -MP -MF _satfractTAUSA_s.dep -DSHARED -DL_satfract -DFROM_TA -DTO_USA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractTAUDA_s.o -MT _satfractTAUDA_s.o -MD -MP -MF _satfractTAUDA_s.dep -DSHARED -DL_satfract -DFROM_TA -DTO_UDA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractTAUTA_s.o -MT _satfractTAUTA_s.o -MD -MP -MF _satfractTAUTA_s.dep -DSHARED -DL_satfract -DFROM_TA -DTO_UTA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUQQQQ_s.o -MT _satfractUQQQQ_s.o -MD -MP -MF _satfractUQQQQ_s.dep -DSHARED -DL_satfract -DFROM_UQQ -DTO_QQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUQQHQ_s.o -MT _satfractUQQHQ_s.o -MD -MP -MF _satfractUQQHQ_s.dep -DSHARED -DL_satfract -DFROM_UQQ -DTO_HQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUQQSQ_s.o -MT _satfractUQQSQ_s.o -MD -MP -MF _satfractUQQSQ_s.dep -DSHARED -DL_satfract -DFROM_UQQ -DTO_SQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUQQDQ_s.o -MT _satfractUQQDQ_s.o -MD -MP -MF _satfractUQQDQ_s.dep -DSHARED -DL_satfract -DFROM_UQQ -DTO_DQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUQQTQ_s.o -MT _satfractUQQTQ_s.o -MD -MP -MF _satfractUQQTQ_s.dep -DSHARED -DL_satfract -DFROM_UQQ -DTO_TQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUQQHA_s.o -MT _satfractUQQHA_s.o -MD -MP -MF _satfractUQQHA_s.dep -DSHARED -DL_satfract -DFROM_UQQ -DTO_HA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUQQSA_s.o -MT _satfractUQQSA_s.o -MD -MP -MF _satfractUQQSA_s.dep -DSHARED -DL_satfract -DFROM_UQQ -DTO_SA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUQQDA_s.o -MT _satfractUQQDA_s.o -MD -MP -MF _satfractUQQDA_s.dep -DSHARED -DL_satfract -DFROM_UQQ -DTO_DA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUQQTA_s.o -MT _satfractUQQTA_s.o -MD -MP -MF _satfractUQQTA_s.dep -DSHARED -DL_satfract -DFROM_UQQ -DTO_TA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUQQUHQ_s.o -MT _satfractUQQUHQ_s.o -MD -MP -MF _satfractUQQUHQ_s.dep -DSHARED -DL_satfract -DFROM_UQQ -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUQQUSQ_s.o -MT _satfractUQQUSQ_s.o -MD -MP -MF _satfractUQQUSQ_s.dep -DSHARED -DL_satfract -DFROM_UQQ -DTO_USQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUQQUDQ_s.o -MT _satfractUQQUDQ_s.o -MD -MP -MF _satfractUQQUDQ_s.dep -DSHARED -DL_satfract -DFROM_UQQ -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUQQUTQ_s.o -MT _satfractUQQUTQ_s.o -MD -MP -MF _satfractUQQUTQ_s.dep -DSHARED -DL_satfract -DFROM_UQQ -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUQQUHA_s.o -MT _satfractUQQUHA_s.o -MD -MP -MF _satfractUQQUHA_s.dep -DSHARED -DL_satfract -DFROM_UQQ -DTO_UHA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUQQUSA_s.o -MT _satfractUQQUSA_s.o -MD -MP -MF _satfractUQQUSA_s.dep -DSHARED -DL_satfract -DFROM_UQQ -DTO_USA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUQQUDA_s.o -MT _satfractUQQUDA_s.o -MD -MP -MF _satfractUQQUDA_s.dep -DSHARED -DL_satfract -DFROM_UQQ -DTO_UDA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUQQUTA_s.o -MT _satfractUQQUTA_s.o -MD -MP -MF _satfractUQQUTA_s.dep -DSHARED -DL_satfract -DFROM_UQQ -DTO_UTA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUHQQQ_s.o -MT _satfractUHQQQ_s.o -MD -MP -MF _satfractUHQQQ_s.dep -DSHARED -DL_satfract -DFROM_UHQ -DTO_QQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUHQHQ_s.o -MT _satfractUHQHQ_s.o -MD -MP -MF _satfractUHQHQ_s.dep -DSHARED -DL_satfract -DFROM_UHQ -DTO_HQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUHQSQ_s.o -MT _satfractUHQSQ_s.o -MD -MP -MF _satfractUHQSQ_s.dep -DSHARED -DL_satfract -DFROM_UHQ -DTO_SQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUHQDQ_s.o -MT _satfractUHQDQ_s.o -MD -MP -MF _satfractUHQDQ_s.dep -DSHARED -DL_satfract -DFROM_UHQ -DTO_DQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUHQTQ_s.o -MT _satfractUHQTQ_s.o -MD -MP -MF _satfractUHQTQ_s.dep -DSHARED -DL_satfract -DFROM_UHQ -DTO_TQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUHQHA_s.o -MT _satfractUHQHA_s.o -MD -MP -MF _satfractUHQHA_s.dep -DSHARED -DL_satfract -DFROM_UHQ -DTO_HA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUHQSA_s.o -MT _satfractUHQSA_s.o -MD -MP -MF _satfractUHQSA_s.dep -DSHARED -DL_satfract -DFROM_UHQ -DTO_SA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUHQDA_s.o -MT _satfractUHQDA_s.o -MD -MP -MF _satfractUHQDA_s.dep -DSHARED -DL_satfract -DFROM_UHQ -DTO_DA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUHQTA_s.o -MT _satfractUHQTA_s.o -MD -MP -MF _satfractUHQTA_s.dep -DSHARED -DL_satfract -DFROM_UHQ -DTO_TA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUHQUQQ_s.o -MT _satfractUHQUQQ_s.o -MD -MP -MF _satfractUHQUQQ_s.dep -DSHARED -DL_satfract -DFROM_UHQ -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUHQUSQ_s.o -MT _satfractUHQUSQ_s.o -MD -MP -MF _satfractUHQUSQ_s.dep -DSHARED -DL_satfract -DFROM_UHQ -DTO_USQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUHQUDQ_s.o -MT _satfractUHQUDQ_s.o -MD -MP -MF _satfractUHQUDQ_s.dep -DSHARED -DL_satfract -DFROM_UHQ -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUHQUTQ_s.o -MT _satfractUHQUTQ_s.o -MD -MP -MF _satfractUHQUTQ_s.dep -DSHARED -DL_satfract -DFROM_UHQ -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUHQUHA_s.o -MT _satfractUHQUHA_s.o -MD -MP -MF _satfractUHQUHA_s.dep -DSHARED -DL_satfract -DFROM_UHQ -DTO_UHA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUHQUSA_s.o -MT _satfractUHQUSA_s.o -MD -MP -MF _satfractUHQUSA_s.dep -DSHARED -DL_satfract -DFROM_UHQ -DTO_USA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUHQUDA_s.o -MT _satfractUHQUDA_s.o -MD -MP -MF _satfractUHQUDA_s.dep -DSHARED -DL_satfract -DFROM_UHQ -DTO_UDA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUHQUTA_s.o -MT _satfractUHQUTA_s.o -MD -MP -MF _satfractUHQUTA_s.dep -DSHARED -DL_satfract -DFROM_UHQ -DTO_UTA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUSQQQ_s.o -MT _satfractUSQQQ_s.o -MD -MP -MF _satfractUSQQQ_s.dep -DSHARED -DL_satfract -DFROM_USQ -DTO_QQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUSQHQ_s.o -MT _satfractUSQHQ_s.o -MD -MP -MF _satfractUSQHQ_s.dep -DSHARED -DL_satfract -DFROM_USQ -DTO_HQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUSQSQ_s.o -MT _satfractUSQSQ_s.o -MD -MP -MF _satfractUSQSQ_s.dep -DSHARED -DL_satfract -DFROM_USQ -DTO_SQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUSQDQ_s.o -MT _satfractUSQDQ_s.o -MD -MP -MF _satfractUSQDQ_s.dep -DSHARED -DL_satfract -DFROM_USQ -DTO_DQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUSQTQ_s.o -MT _satfractUSQTQ_s.o -MD -MP -MF _satfractUSQTQ_s.dep -DSHARED -DL_satfract -DFROM_USQ -DTO_TQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUSQHA_s.o -MT _satfractUSQHA_s.o -MD -MP -MF _satfractUSQHA_s.dep -DSHARED -DL_satfract -DFROM_USQ -DTO_HA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUSQSA_s.o -MT _satfractUSQSA_s.o -MD -MP -MF _satfractUSQSA_s.dep -DSHARED -DL_satfract -DFROM_USQ -DTO_SA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUSQDA_s.o -MT _satfractUSQDA_s.o -MD -MP -MF _satfractUSQDA_s.dep -DSHARED -DL_satfract -DFROM_USQ -DTO_DA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUSQTA_s.o -MT _satfractUSQTA_s.o -MD -MP -MF _satfractUSQTA_s.dep -DSHARED -DL_satfract -DFROM_USQ -DTO_TA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUSQUQQ_s.o -MT _satfractUSQUQQ_s.o -MD -MP -MF _satfractUSQUQQ_s.dep -DSHARED -DL_satfract -DFROM_USQ -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUSQUHQ_s.o -MT _satfractUSQUHQ_s.o -MD -MP -MF _satfractUSQUHQ_s.dep -DSHARED -DL_satfract -DFROM_USQ -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUSQUDQ_s.o -MT _satfractUSQUDQ_s.o -MD -MP -MF _satfractUSQUDQ_s.dep -DSHARED -DL_satfract -DFROM_USQ -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUSQUTQ_s.o -MT _satfractUSQUTQ_s.o -MD -MP -MF _satfractUSQUTQ_s.dep -DSHARED -DL_satfract -DFROM_USQ -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUSQUHA_s.o -MT _satfractUSQUHA_s.o -MD -MP -MF _satfractUSQUHA_s.dep -DSHARED -DL_satfract -DFROM_USQ -DTO_UHA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUSQUSA_s.o -MT _satfractUSQUSA_s.o -MD -MP -MF _satfractUSQUSA_s.dep -DSHARED -DL_satfract -DFROM_USQ -DTO_USA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUSQUDA_s.o -MT _satfractUSQUDA_s.o -MD -MP -MF _satfractUSQUDA_s.dep -DSHARED -DL_satfract -DFROM_USQ -DTO_UDA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUSQUTA_s.o -MT _satfractUSQUTA_s.o -MD -MP -MF _satfractUSQUTA_s.dep -DSHARED -DL_satfract -DFROM_USQ -DTO_UTA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUDQQQ_s.o -MT _satfractUDQQQ_s.o -MD -MP -MF _satfractUDQQQ_s.dep -DSHARED -DL_satfract -DFROM_UDQ -DTO_QQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUDQHQ_s.o -MT _satfractUDQHQ_s.o -MD -MP -MF _satfractUDQHQ_s.dep -DSHARED -DL_satfract -DFROM_UDQ -DTO_HQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUDQSQ_s.o -MT _satfractUDQSQ_s.o -MD -MP -MF _satfractUDQSQ_s.dep -DSHARED -DL_satfract -DFROM_UDQ -DTO_SQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUDQDQ_s.o -MT _satfractUDQDQ_s.o -MD -MP -MF _satfractUDQDQ_s.dep -DSHARED -DL_satfract -DFROM_UDQ -DTO_DQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUDQTQ_s.o -MT _satfractUDQTQ_s.o -MD -MP -MF _satfractUDQTQ_s.dep -DSHARED -DL_satfract -DFROM_UDQ -DTO_TQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUDQHA_s.o -MT _satfractUDQHA_s.o -MD -MP -MF _satfractUDQHA_s.dep -DSHARED -DL_satfract -DFROM_UDQ -DTO_HA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUDQSA_s.o -MT _satfractUDQSA_s.o -MD -MP -MF _satfractUDQSA_s.dep -DSHARED -DL_satfract -DFROM_UDQ -DTO_SA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUDQDA_s.o -MT _satfractUDQDA_s.o -MD -MP -MF _satfractUDQDA_s.dep -DSHARED -DL_satfract -DFROM_UDQ -DTO_DA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUDQTA_s.o -MT _satfractUDQTA_s.o -MD -MP -MF _satfractUDQTA_s.dep -DSHARED -DL_satfract -DFROM_UDQ -DTO_TA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUDQUQQ_s.o -MT _satfractUDQUQQ_s.o -MD -MP -MF _satfractUDQUQQ_s.dep -DSHARED -DL_satfract -DFROM_UDQ -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUDQUHQ_s.o -MT _satfractUDQUHQ_s.o -MD -MP -MF _satfractUDQUHQ_s.dep -DSHARED -DL_satfract -DFROM_UDQ -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUDQUSQ_s.o -MT _satfractUDQUSQ_s.o -MD -MP -MF _satfractUDQUSQ_s.dep -DSHARED -DL_satfract -DFROM_UDQ -DTO_USQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUDQUTQ_s.o -MT _satfractUDQUTQ_s.o -MD -MP -MF _satfractUDQUTQ_s.dep -DSHARED -DL_satfract -DFROM_UDQ -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUDQUHA_s.o -MT _satfractUDQUHA_s.o -MD -MP -MF _satfractUDQUHA_s.dep -DSHARED -DL_satfract -DFROM_UDQ -DTO_UHA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUDQUSA_s.o -MT _satfractUDQUSA_s.o -MD -MP -MF _satfractUDQUSA_s.dep -DSHARED -DL_satfract -DFROM_UDQ -DTO_USA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUDQUDA_s.o -MT _satfractUDQUDA_s.o -MD -MP -MF _satfractUDQUDA_s.dep -DSHARED -DL_satfract -DFROM_UDQ -DTO_UDA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUDQUTA_s.o -MT _satfractUDQUTA_s.o -MD -MP -MF _satfractUDQUTA_s.dep -DSHARED -DL_satfract -DFROM_UDQ -DTO_UTA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUTQQQ_s.o -MT _satfractUTQQQ_s.o -MD -MP -MF _satfractUTQQQ_s.dep -DSHARED -DL_satfract -DFROM_UTQ -DTO_QQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUTQHQ_s.o -MT _satfractUTQHQ_s.o -MD -MP -MF _satfractUTQHQ_s.dep -DSHARED -DL_satfract -DFROM_UTQ -DTO_HQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUTQSQ_s.o -MT _satfractUTQSQ_s.o -MD -MP -MF _satfractUTQSQ_s.dep -DSHARED -DL_satfract -DFROM_UTQ -DTO_SQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUTQDQ_s.o -MT _satfractUTQDQ_s.o -MD -MP -MF _satfractUTQDQ_s.dep -DSHARED -DL_satfract -DFROM_UTQ -DTO_DQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUTQTQ_s.o -MT _satfractUTQTQ_s.o -MD -MP -MF _satfractUTQTQ_s.dep -DSHARED -DL_satfract -DFROM_UTQ -DTO_TQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUTQHA_s.o -MT _satfractUTQHA_s.o -MD -MP -MF _satfractUTQHA_s.dep -DSHARED -DL_satfract -DFROM_UTQ -DTO_HA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUTQSA_s.o -MT _satfractUTQSA_s.o -MD -MP -MF _satfractUTQSA_s.dep -DSHARED -DL_satfract -DFROM_UTQ -DTO_SA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUTQDA_s.o -MT _satfractUTQDA_s.o -MD -MP -MF _satfractUTQDA_s.dep -DSHARED -DL_satfract -DFROM_UTQ -DTO_DA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUTQTA_s.o -MT _satfractUTQTA_s.o -MD -MP -MF _satfractUTQTA_s.dep -DSHARED -DL_satfract -DFROM_UTQ -DTO_TA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUTQUQQ_s.o -MT _satfractUTQUQQ_s.o -MD -MP -MF _satfractUTQUQQ_s.dep -DSHARED -DL_satfract -DFROM_UTQ -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUTQUHQ_s.o -MT _satfractUTQUHQ_s.o -MD -MP -MF _satfractUTQUHQ_s.dep -DSHARED -DL_satfract -DFROM_UTQ -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUTQUSQ_s.o -MT _satfractUTQUSQ_s.o -MD -MP -MF _satfractUTQUSQ_s.dep -DSHARED -DL_satfract -DFROM_UTQ -DTO_USQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUTQUDQ_s.o -MT _satfractUTQUDQ_s.o -MD -MP -MF _satfractUTQUDQ_s.dep -DSHARED -DL_satfract -DFROM_UTQ -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUTQUHA_s.o -MT _satfractUTQUHA_s.o -MD -MP -MF _satfractUTQUHA_s.dep -DSHARED -DL_satfract -DFROM_UTQ -DTO_UHA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUTQUSA_s.o -MT _satfractUTQUSA_s.o -MD -MP -MF _satfractUTQUSA_s.dep -DSHARED -DL_satfract -DFROM_UTQ -DTO_USA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUTQUDA_s.o -MT _satfractUTQUDA_s.o -MD -MP -MF _satfractUTQUDA_s.dep -DSHARED -DL_satfract -DFROM_UTQ -DTO_UDA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUTQUTA_s.o -MT _satfractUTQUTA_s.o -MD -MP -MF _satfractUTQUTA_s.dep -DSHARED -DL_satfract -DFROM_UTQ -DTO_UTA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUHAQQ_s.o -MT _satfractUHAQQ_s.o -MD -MP -MF _satfractUHAQQ_s.dep -DSHARED -DL_satfract -DFROM_UHA -DTO_QQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUHAHQ_s.o -MT _satfractUHAHQ_s.o -MD -MP -MF _satfractUHAHQ_s.dep -DSHARED -DL_satfract -DFROM_UHA -DTO_HQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUHASQ_s.o -MT _satfractUHASQ_s.o -MD -MP -MF _satfractUHASQ_s.dep -DSHARED -DL_satfract -DFROM_UHA -DTO_SQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUHADQ_s.o -MT _satfractUHADQ_s.o -MD -MP -MF _satfractUHADQ_s.dep -DSHARED -DL_satfract -DFROM_UHA -DTO_DQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUHATQ_s.o -MT _satfractUHATQ_s.o -MD -MP -MF _satfractUHATQ_s.dep -DSHARED -DL_satfract -DFROM_UHA -DTO_TQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUHAHA_s.o -MT _satfractUHAHA_s.o -MD -MP -MF _satfractUHAHA_s.dep -DSHARED -DL_satfract -DFROM_UHA -DTO_HA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUHASA_s.o -MT _satfractUHASA_s.o -MD -MP -MF _satfractUHASA_s.dep -DSHARED -DL_satfract -DFROM_UHA -DTO_SA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUHADA_s.o -MT _satfractUHADA_s.o -MD -MP -MF _satfractUHADA_s.dep -DSHARED -DL_satfract -DFROM_UHA -DTO_DA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUHATA_s.o -MT _satfractUHATA_s.o -MD -MP -MF _satfractUHATA_s.dep -DSHARED -DL_satfract -DFROM_UHA -DTO_TA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUHAUQQ_s.o -MT _satfractUHAUQQ_s.o -MD -MP -MF _satfractUHAUQQ_s.dep -DSHARED -DL_satfract -DFROM_UHA -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUHAUHQ_s.o -MT _satfractUHAUHQ_s.o -MD -MP -MF _satfractUHAUHQ_s.dep -DSHARED -DL_satfract -DFROM_UHA -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUHAUSQ_s.o -MT _satfractUHAUSQ_s.o -MD -MP -MF _satfractUHAUSQ_s.dep -DSHARED -DL_satfract -DFROM_UHA -DTO_USQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUHAUDQ_s.o -MT _satfractUHAUDQ_s.o -MD -MP -MF _satfractUHAUDQ_s.dep -DSHARED -DL_satfract -DFROM_UHA -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUHAUTQ_s.o -MT _satfractUHAUTQ_s.o -MD -MP -MF _satfractUHAUTQ_s.dep -DSHARED -DL_satfract -DFROM_UHA -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUHAUSA_s.o -MT _satfractUHAUSA_s.o -MD -MP -MF _satfractUHAUSA_s.dep -DSHARED -DL_satfract -DFROM_UHA -DTO_USA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUHAUDA_s.o -MT _satfractUHAUDA_s.o -MD -MP -MF _satfractUHAUDA_s.dep -DSHARED -DL_satfract -DFROM_UHA -DTO_UDA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUHAUTA_s.o -MT _satfractUHAUTA_s.o -MD -MP -MF _satfractUHAUTA_s.dep -DSHARED -DL_satfract -DFROM_UHA -DTO_UTA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUSAQQ_s.o -MT _satfractUSAQQ_s.o -MD -MP -MF _satfractUSAQQ_s.dep -DSHARED -DL_satfract -DFROM_USA -DTO_QQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUSAHQ_s.o -MT _satfractUSAHQ_s.o -MD -MP -MF _satfractUSAHQ_s.dep -DSHARED -DL_satfract -DFROM_USA -DTO_HQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUSASQ_s.o -MT _satfractUSASQ_s.o -MD -MP -MF _satfractUSASQ_s.dep -DSHARED -DL_satfract -DFROM_USA -DTO_SQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUSADQ_s.o -MT _satfractUSADQ_s.o -MD -MP -MF _satfractUSADQ_s.dep -DSHARED -DL_satfract -DFROM_USA -DTO_DQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUSATQ_s.o -MT _satfractUSATQ_s.o -MD -MP -MF _satfractUSATQ_s.dep -DSHARED -DL_satfract -DFROM_USA -DTO_TQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUSAHA_s.o -MT _satfractUSAHA_s.o -MD -MP -MF _satfractUSAHA_s.dep -DSHARED -DL_satfract -DFROM_USA -DTO_HA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUSASA_s.o -MT _satfractUSASA_s.o -MD -MP -MF _satfractUSASA_s.dep -DSHARED -DL_satfract -DFROM_USA -DTO_SA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUSADA_s.o -MT _satfractUSADA_s.o -MD -MP -MF _satfractUSADA_s.dep -DSHARED -DL_satfract -DFROM_USA -DTO_DA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUSATA_s.o -MT _satfractUSATA_s.o -MD -MP -MF _satfractUSATA_s.dep -DSHARED -DL_satfract -DFROM_USA -DTO_TA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUSAUQQ_s.o -MT _satfractUSAUQQ_s.o -MD -MP -MF _satfractUSAUQQ_s.dep -DSHARED -DL_satfract -DFROM_USA -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUSAUHQ_s.o -MT _satfractUSAUHQ_s.o -MD -MP -MF _satfractUSAUHQ_s.dep -DSHARED -DL_satfract -DFROM_USA -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUSAUSQ_s.o -MT _satfractUSAUSQ_s.o -MD -MP -MF _satfractUSAUSQ_s.dep -DSHARED -DL_satfract -DFROM_USA -DTO_USQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUSAUDQ_s.o -MT _satfractUSAUDQ_s.o -MD -MP -MF _satfractUSAUDQ_s.dep -DSHARED -DL_satfract -DFROM_USA -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUSAUTQ_s.o -MT _satfractUSAUTQ_s.o -MD -MP -MF _satfractUSAUTQ_s.dep -DSHARED -DL_satfract -DFROM_USA -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUSAUHA_s.o -MT _satfractUSAUHA_s.o -MD -MP -MF _satfractUSAUHA_s.dep -DSHARED -DL_satfract -DFROM_USA -DTO_UHA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUSAUDA_s.o -MT _satfractUSAUDA_s.o -MD -MP -MF _satfractUSAUDA_s.dep -DSHARED -DL_satfract -DFROM_USA -DTO_UDA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUSAUTA_s.o -MT _satfractUSAUTA_s.o -MD -MP -MF _satfractUSAUTA_s.dep -DSHARED -DL_satfract -DFROM_USA -DTO_UTA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUDAQQ_s.o -MT _satfractUDAQQ_s.o -MD -MP -MF _satfractUDAQQ_s.dep -DSHARED -DL_satfract -DFROM_UDA -DTO_QQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUDAHQ_s.o -MT _satfractUDAHQ_s.o -MD -MP -MF _satfractUDAHQ_s.dep -DSHARED -DL_satfract -DFROM_UDA -DTO_HQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUDASQ_s.o -MT _satfractUDASQ_s.o -MD -MP -MF _satfractUDASQ_s.dep -DSHARED -DL_satfract -DFROM_UDA -DTO_SQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUDADQ_s.o -MT _satfractUDADQ_s.o -MD -MP -MF _satfractUDADQ_s.dep -DSHARED -DL_satfract -DFROM_UDA -DTO_DQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUDATQ_s.o -MT _satfractUDATQ_s.o -MD -MP -MF _satfractUDATQ_s.dep -DSHARED -DL_satfract -DFROM_UDA -DTO_TQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUDAHA_s.o -MT _satfractUDAHA_s.o -MD -MP -MF _satfractUDAHA_s.dep -DSHARED -DL_satfract -DFROM_UDA -DTO_HA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUDASA_s.o -MT _satfractUDASA_s.o -MD -MP -MF _satfractUDASA_s.dep -DSHARED -DL_satfract -DFROM_UDA -DTO_SA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUDADA_s.o -MT _satfractUDADA_s.o -MD -MP -MF _satfractUDADA_s.dep -DSHARED -DL_satfract -DFROM_UDA -DTO_DA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUDATA_s.o -MT _satfractUDATA_s.o -MD -MP -MF _satfractUDATA_s.dep -DSHARED -DL_satfract -DFROM_UDA -DTO_TA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUDAUQQ_s.o -MT _satfractUDAUQQ_s.o -MD -MP -MF _satfractUDAUQQ_s.dep -DSHARED -DL_satfract -DFROM_UDA -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUDAUHQ_s.o -MT _satfractUDAUHQ_s.o -MD -MP -MF _satfractUDAUHQ_s.dep -DSHARED -DL_satfract -DFROM_UDA -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUDAUSQ_s.o -MT _satfractUDAUSQ_s.o -MD -MP -MF _satfractUDAUSQ_s.dep -DSHARED -DL_satfract -DFROM_UDA -DTO_USQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUDAUDQ_s.o -MT _satfractUDAUDQ_s.o -MD -MP -MF _satfractUDAUDQ_s.dep -DSHARED -DL_satfract -DFROM_UDA -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUDAUTQ_s.o -MT _satfractUDAUTQ_s.o -MD -MP -MF _satfractUDAUTQ_s.dep -DSHARED -DL_satfract -DFROM_UDA -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUDAUHA_s.o -MT _satfractUDAUHA_s.o -MD -MP -MF _satfractUDAUHA_s.dep -DSHARED -DL_satfract -DFROM_UDA -DTO_UHA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUDAUSA_s.o -MT _satfractUDAUSA_s.o -MD -MP -MF _satfractUDAUSA_s.dep -DSHARED -DL_satfract -DFROM_UDA -DTO_USA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUDAUTA_s.o -MT _satfractUDAUTA_s.o -MD -MP -MF _satfractUDAUTA_s.dep -DSHARED -DL_satfract -DFROM_UDA -DTO_UTA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUTAQQ_s.o -MT _satfractUTAQQ_s.o -MD -MP -MF _satfractUTAQQ_s.dep -DSHARED -DL_satfract -DFROM_UTA -DTO_QQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUTAHQ_s.o -MT _satfractUTAHQ_s.o -MD -MP -MF _satfractUTAHQ_s.dep -DSHARED -DL_satfract -DFROM_UTA -DTO_HQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUTASQ_s.o -MT _satfractUTASQ_s.o -MD -MP -MF _satfractUTASQ_s.dep -DSHARED -DL_satfract -DFROM_UTA -DTO_SQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUTADQ_s.o -MT _satfractUTADQ_s.o -MD -MP -MF _satfractUTADQ_s.dep -DSHARED -DL_satfract -DFROM_UTA -DTO_DQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUTATQ_s.o -MT _satfractUTATQ_s.o -MD -MP -MF _satfractUTATQ_s.dep -DSHARED -DL_satfract -DFROM_UTA -DTO_TQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUTAHA_s.o -MT _satfractUTAHA_s.o -MD -MP -MF _satfractUTAHA_s.dep -DSHARED -DL_satfract -DFROM_UTA -DTO_HA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUTASA_s.o -MT _satfractUTASA_s.o -MD -MP -MF _satfractUTASA_s.dep -DSHARED -DL_satfract -DFROM_UTA -DTO_SA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUTADA_s.o -MT _satfractUTADA_s.o -MD -MP -MF _satfractUTADA_s.dep -DSHARED -DL_satfract -DFROM_UTA -DTO_DA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUTATA_s.o -MT _satfractUTATA_s.o -MD -MP -MF _satfractUTATA_s.dep -DSHARED -DL_satfract -DFROM_UTA -DTO_TA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUTAUQQ_s.o -MT _satfractUTAUQQ_s.o -MD -MP -MF _satfractUTAUQQ_s.dep -DSHARED -DL_satfract -DFROM_UTA -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUTAUHQ_s.o -MT _satfractUTAUHQ_s.o -MD -MP -MF _satfractUTAUHQ_s.dep -DSHARED -DL_satfract -DFROM_UTA -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUTAUSQ_s.o -MT _satfractUTAUSQ_s.o -MD -MP -MF _satfractUTAUSQ_s.dep -DSHARED -DL_satfract -DFROM_UTA -DTO_USQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUTAUDQ_s.o -MT _satfractUTAUDQ_s.o -MD -MP -MF _satfractUTAUDQ_s.dep -DSHARED -DL_satfract -DFROM_UTA -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUTAUTQ_s.o -MT _satfractUTAUTQ_s.o -MD -MP -MF _satfractUTAUTQ_s.dep -DSHARED -DL_satfract -DFROM_UTA -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUTAUHA_s.o -MT _satfractUTAUHA_s.o -MD -MP -MF _satfractUTAUHA_s.dep -DSHARED -DL_satfract -DFROM_UTA -DTO_UHA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUTAUSA_s.o -MT _satfractUTAUSA_s.o -MD -MP -MF _satfractUTAUSA_s.dep -DSHARED -DL_satfract -DFROM_UTA -DTO_USA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractUTAUDA_s.o -MT _satfractUTAUDA_s.o -MD -MP -MF _satfractUTAUDA_s.dep -DSHARED -DL_satfract -DFROM_UTA -DTO_UDA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractQIQQ_s.o -MT _satfractQIQQ_s.o -MD -MP -MF _satfractQIQQ_s.dep -DSHARED -DL_satfract -DFROM_QI -DTO_QQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractQIHQ_s.o -MT _satfractQIHQ_s.o -MD -MP -MF _satfractQIHQ_s.dep -DSHARED -DL_satfract -DFROM_QI -DTO_HQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractQISQ_s.o -MT _satfractQISQ_s.o -MD -MP -MF _satfractQISQ_s.dep -DSHARED -DL_satfract -DFROM_QI -DTO_SQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractQIDQ_s.o -MT _satfractQIDQ_s.o -MD -MP -MF _satfractQIDQ_s.dep -DSHARED -DL_satfract -DFROM_QI -DTO_DQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractQITQ_s.o -MT _satfractQITQ_s.o -MD -MP -MF _satfractQITQ_s.dep -DSHARED -DL_satfract -DFROM_QI -DTO_TQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractQIHA_s.o -MT _satfractQIHA_s.o -MD -MP -MF _satfractQIHA_s.dep -DSHARED -DL_satfract -DFROM_QI -DTO_HA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractQISA_s.o -MT _satfractQISA_s.o -MD -MP -MF _satfractQISA_s.dep -DSHARED -DL_satfract -DFROM_QI -DTO_SA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractQIDA_s.o -MT _satfractQIDA_s.o -MD -MP -MF _satfractQIDA_s.dep -DSHARED -DL_satfract -DFROM_QI -DTO_DA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractQITA_s.o -MT _satfractQITA_s.o -MD -MP -MF _satfractQITA_s.dep -DSHARED -DL_satfract -DFROM_QI -DTO_TA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractQIUQQ_s.o -MT _satfractQIUQQ_s.o -MD -MP -MF _satfractQIUQQ_s.dep -DSHARED -DL_satfract -DFROM_QI -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractQIUHQ_s.o -MT _satfractQIUHQ_s.o -MD -MP -MF _satfractQIUHQ_s.dep -DSHARED -DL_satfract -DFROM_QI -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractQIUSQ_s.o -MT _satfractQIUSQ_s.o -MD -MP -MF _satfractQIUSQ_s.dep -DSHARED -DL_satfract -DFROM_QI -DTO_USQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractQIUDQ_s.o -MT _satfractQIUDQ_s.o -MD -MP -MF _satfractQIUDQ_s.dep -DSHARED -DL_satfract -DFROM_QI -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractQIUTQ_s.o -MT _satfractQIUTQ_s.o -MD -MP -MF _satfractQIUTQ_s.dep -DSHARED -DL_satfract -DFROM_QI -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractQIUHA_s.o -MT _satfractQIUHA_s.o -MD -MP -MF _satfractQIUHA_s.dep -DSHARED -DL_satfract -DFROM_QI -DTO_UHA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractQIUSA_s.o -MT _satfractQIUSA_s.o -MD -MP -MF _satfractQIUSA_s.dep -DSHARED -DL_satfract -DFROM_QI -DTO_USA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractQIUDA_s.o -MT _satfractQIUDA_s.o -MD -MP -MF _satfractQIUDA_s.dep -DSHARED -DL_satfract -DFROM_QI -DTO_UDA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractQIUTA_s.o -MT _satfractQIUTA_s.o -MD -MP -MF _satfractQIUTA_s.dep -DSHARED -DL_satfract -DFROM_QI -DTO_UTA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractHIQQ_s.o -MT _satfractHIQQ_s.o -MD -MP -MF _satfractHIQQ_s.dep -DSHARED -DL_satfract -DFROM_HI -DTO_QQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractHIHQ_s.o -MT _satfractHIHQ_s.o -MD -MP -MF _satfractHIHQ_s.dep -DSHARED -DL_satfract -DFROM_HI -DTO_HQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractHISQ_s.o -MT _satfractHISQ_s.o -MD -MP -MF _satfractHISQ_s.dep -DSHARED -DL_satfract -DFROM_HI -DTO_SQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractHIDQ_s.o -MT _satfractHIDQ_s.o -MD -MP -MF _satfractHIDQ_s.dep -DSHARED -DL_satfract -DFROM_HI -DTO_DQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractHITQ_s.o -MT _satfractHITQ_s.o -MD -MP -MF _satfractHITQ_s.dep -DSHARED -DL_satfract -DFROM_HI -DTO_TQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractHIHA_s.o -MT _satfractHIHA_s.o -MD -MP -MF _satfractHIHA_s.dep -DSHARED -DL_satfract -DFROM_HI -DTO_HA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractHISA_s.o -MT _satfractHISA_s.o -MD -MP -MF _satfractHISA_s.dep -DSHARED -DL_satfract -DFROM_HI -DTO_SA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractHIDA_s.o -MT _satfractHIDA_s.o -MD -MP -MF _satfractHIDA_s.dep -DSHARED -DL_satfract -DFROM_HI -DTO_DA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractHITA_s.o -MT _satfractHITA_s.o -MD -MP -MF _satfractHITA_s.dep -DSHARED -DL_satfract -DFROM_HI -DTO_TA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractHIUQQ_s.o -MT _satfractHIUQQ_s.o -MD -MP -MF _satfractHIUQQ_s.dep -DSHARED -DL_satfract -DFROM_HI -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractHIUHQ_s.o -MT _satfractHIUHQ_s.o -MD -MP -MF _satfractHIUHQ_s.dep -DSHARED -DL_satfract -DFROM_HI -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractHIUSQ_s.o -MT _satfractHIUSQ_s.o -MD -MP -MF _satfractHIUSQ_s.dep -DSHARED -DL_satfract -DFROM_HI -DTO_USQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractHIUDQ_s.o -MT _satfractHIUDQ_s.o -MD -MP -MF _satfractHIUDQ_s.dep -DSHARED -DL_satfract -DFROM_HI -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractHIUTQ_s.o -MT _satfractHIUTQ_s.o -MD -MP -MF _satfractHIUTQ_s.dep -DSHARED -DL_satfract -DFROM_HI -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractHIUHA_s.o -MT _satfractHIUHA_s.o -MD -MP -MF _satfractHIUHA_s.dep -DSHARED -DL_satfract -DFROM_HI -DTO_UHA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractHIUSA_s.o -MT _satfractHIUSA_s.o -MD -MP -MF _satfractHIUSA_s.dep -DSHARED -DL_satfract -DFROM_HI -DTO_USA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractHIUDA_s.o -MT _satfractHIUDA_s.o -MD -MP -MF _satfractHIUDA_s.dep -DSHARED -DL_satfract -DFROM_HI -DTO_UDA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractHIUTA_s.o -MT _satfractHIUTA_s.o -MD -MP -MF _satfractHIUTA_s.dep -DSHARED -DL_satfract -DFROM_HI -DTO_UTA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSIQQ_s.o -MT _satfractSIQQ_s.o -MD -MP -MF _satfractSIQQ_s.dep -DSHARED -DL_satfract -DFROM_SI -DTO_QQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSIHQ_s.o -MT _satfractSIHQ_s.o -MD -MP -MF _satfractSIHQ_s.dep -DSHARED -DL_satfract -DFROM_SI -DTO_HQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSISQ_s.o -MT _satfractSISQ_s.o -MD -MP -MF _satfractSISQ_s.dep -DSHARED -DL_satfract -DFROM_SI -DTO_SQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSIDQ_s.o -MT _satfractSIDQ_s.o -MD -MP -MF _satfractSIDQ_s.dep -DSHARED -DL_satfract -DFROM_SI -DTO_DQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSITQ_s.o -MT _satfractSITQ_s.o -MD -MP -MF _satfractSITQ_s.dep -DSHARED -DL_satfract -DFROM_SI -DTO_TQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSIHA_s.o -MT _satfractSIHA_s.o -MD -MP -MF _satfractSIHA_s.dep -DSHARED -DL_satfract -DFROM_SI -DTO_HA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSISA_s.o -MT _satfractSISA_s.o -MD -MP -MF _satfractSISA_s.dep -DSHARED -DL_satfract -DFROM_SI -DTO_SA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSIDA_s.o -MT _satfractSIDA_s.o -MD -MP -MF _satfractSIDA_s.dep -DSHARED -DL_satfract -DFROM_SI -DTO_DA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSITA_s.o -MT _satfractSITA_s.o -MD -MP -MF _satfractSITA_s.dep -DSHARED -DL_satfract -DFROM_SI -DTO_TA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSIUQQ_s.o -MT _satfractSIUQQ_s.o -MD -MP -MF _satfractSIUQQ_s.dep -DSHARED -DL_satfract -DFROM_SI -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSIUHQ_s.o -MT _satfractSIUHQ_s.o -MD -MP -MF _satfractSIUHQ_s.dep -DSHARED -DL_satfract -DFROM_SI -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSIUSQ_s.o -MT _satfractSIUSQ_s.o -MD -MP -MF _satfractSIUSQ_s.dep -DSHARED -DL_satfract -DFROM_SI -DTO_USQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSIUDQ_s.o -MT _satfractSIUDQ_s.o -MD -MP -MF _satfractSIUDQ_s.dep -DSHARED -DL_satfract -DFROM_SI -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSIUTQ_s.o -MT _satfractSIUTQ_s.o -MD -MP -MF _satfractSIUTQ_s.dep -DSHARED -DL_satfract -DFROM_SI -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSIUHA_s.o -MT _satfractSIUHA_s.o -MD -MP -MF _satfractSIUHA_s.dep -DSHARED -DL_satfract -DFROM_SI -DTO_UHA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSIUSA_s.o -MT _satfractSIUSA_s.o -MD -MP -MF _satfractSIUSA_s.dep -DSHARED -DL_satfract -DFROM_SI -DTO_USA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSIUDA_s.o -MT _satfractSIUDA_s.o -MD -MP -MF _satfractSIUDA_s.dep -DSHARED -DL_satfract -DFROM_SI -DTO_UDA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSIUTA_s.o -MT _satfractSIUTA_s.o -MD -MP -MF _satfractSIUTA_s.dep -DSHARED -DL_satfract -DFROM_SI -DTO_UTA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDIQQ_s.o -MT _satfractDIQQ_s.o -MD -MP -MF _satfractDIQQ_s.dep -DSHARED -DL_satfract -DFROM_DI -DTO_QQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDIHQ_s.o -MT _satfractDIHQ_s.o -MD -MP -MF _satfractDIHQ_s.dep -DSHARED -DL_satfract -DFROM_DI -DTO_HQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDISQ_s.o -MT _satfractDISQ_s.o -MD -MP -MF _satfractDISQ_s.dep -DSHARED -DL_satfract -DFROM_DI -DTO_SQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDIDQ_s.o -MT _satfractDIDQ_s.o -MD -MP -MF _satfractDIDQ_s.dep -DSHARED -DL_satfract -DFROM_DI -DTO_DQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDITQ_s.o -MT _satfractDITQ_s.o -MD -MP -MF _satfractDITQ_s.dep -DSHARED -DL_satfract -DFROM_DI -DTO_TQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDIHA_s.o -MT _satfractDIHA_s.o -MD -MP -MF _satfractDIHA_s.dep -DSHARED -DL_satfract -DFROM_DI -DTO_HA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDISA_s.o -MT _satfractDISA_s.o -MD -MP -MF _satfractDISA_s.dep -DSHARED -DL_satfract -DFROM_DI -DTO_SA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDIDA_s.o -MT _satfractDIDA_s.o -MD -MP -MF _satfractDIDA_s.dep -DSHARED -DL_satfract -DFROM_DI -DTO_DA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDITA_s.o -MT _satfractDITA_s.o -MD -MP -MF _satfractDITA_s.dep -DSHARED -DL_satfract -DFROM_DI -DTO_TA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDIUQQ_s.o -MT _satfractDIUQQ_s.o -MD -MP -MF _satfractDIUQQ_s.dep -DSHARED -DL_satfract -DFROM_DI -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDIUHQ_s.o -MT _satfractDIUHQ_s.o -MD -MP -MF _satfractDIUHQ_s.dep -DSHARED -DL_satfract -DFROM_DI -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDIUSQ_s.o -MT _satfractDIUSQ_s.o -MD -MP -MF _satfractDIUSQ_s.dep -DSHARED -DL_satfract -DFROM_DI -DTO_USQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDIUDQ_s.o -MT _satfractDIUDQ_s.o -MD -MP -MF _satfractDIUDQ_s.dep -DSHARED -DL_satfract -DFROM_DI -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDIUTQ_s.o -MT _satfractDIUTQ_s.o -MD -MP -MF _satfractDIUTQ_s.dep -DSHARED -DL_satfract -DFROM_DI -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDIUHA_s.o -MT _satfractDIUHA_s.o -MD -MP -MF _satfractDIUHA_s.dep -DSHARED -DL_satfract -DFROM_DI -DTO_UHA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDIUSA_s.o -MT _satfractDIUSA_s.o -MD -MP -MF _satfractDIUSA_s.dep -DSHARED -DL_satfract -DFROM_DI -DTO_USA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDIUDA_s.o -MT _satfractDIUDA_s.o -MD -MP -MF _satfractDIUDA_s.dep -DSHARED -DL_satfract -DFROM_DI -DTO_UDA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDIUTA_s.o -MT _satfractDIUTA_s.o -MD -MP -MF _satfractDIUTA_s.dep -DSHARED -DL_satfract -DFROM_DI -DTO_UTA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractTIQQ_s.o -MT _satfractTIQQ_s.o -MD -MP -MF _satfractTIQQ_s.dep -DSHARED -DL_satfract -DFROM_TI -DTO_QQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractTIHQ_s.o -MT _satfractTIHQ_s.o -MD -MP -MF _satfractTIHQ_s.dep -DSHARED -DL_satfract -DFROM_TI -DTO_HQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractTISQ_s.o -MT _satfractTISQ_s.o -MD -MP -MF _satfractTISQ_s.dep -DSHARED -DL_satfract -DFROM_TI -DTO_SQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractTIDQ_s.o -MT _satfractTIDQ_s.o -MD -MP -MF _satfractTIDQ_s.dep -DSHARED -DL_satfract -DFROM_TI -DTO_DQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractTITQ_s.o -MT _satfractTITQ_s.o -MD -MP -MF _satfractTITQ_s.dep -DSHARED -DL_satfract -DFROM_TI -DTO_TQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractTIHA_s.o -MT _satfractTIHA_s.o -MD -MP -MF _satfractTIHA_s.dep -DSHARED -DL_satfract -DFROM_TI -DTO_HA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractTISA_s.o -MT _satfractTISA_s.o -MD -MP -MF _satfractTISA_s.dep -DSHARED -DL_satfract -DFROM_TI -DTO_SA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractTIDA_s.o -MT _satfractTIDA_s.o -MD -MP -MF _satfractTIDA_s.dep -DSHARED -DL_satfract -DFROM_TI -DTO_DA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractTITA_s.o -MT _satfractTITA_s.o -MD -MP -MF _satfractTITA_s.dep -DSHARED -DL_satfract -DFROM_TI -DTO_TA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractTIUQQ_s.o -MT _satfractTIUQQ_s.o -MD -MP -MF _satfractTIUQQ_s.dep -DSHARED -DL_satfract -DFROM_TI -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractTIUHQ_s.o -MT _satfractTIUHQ_s.o -MD -MP -MF _satfractTIUHQ_s.dep -DSHARED -DL_satfract -DFROM_TI -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractTIUSQ_s.o -MT _satfractTIUSQ_s.o -MD -MP -MF _satfractTIUSQ_s.dep -DSHARED -DL_satfract -DFROM_TI -DTO_USQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractTIUDQ_s.o -MT _satfractTIUDQ_s.o -MD -MP -MF _satfractTIUDQ_s.dep -DSHARED -DL_satfract -DFROM_TI -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractTIUTQ_s.o -MT _satfractTIUTQ_s.o -MD -MP -MF _satfractTIUTQ_s.dep -DSHARED -DL_satfract -DFROM_TI -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractTIUHA_s.o -MT _satfractTIUHA_s.o -MD -MP -MF _satfractTIUHA_s.dep -DSHARED -DL_satfract -DFROM_TI -DTO_UHA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractTIUSA_s.o -MT _satfractTIUSA_s.o -MD -MP -MF _satfractTIUSA_s.dep -DSHARED -DL_satfract -DFROM_TI -DTO_USA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractTIUDA_s.o -MT _satfractTIUDA_s.o -MD -MP -MF _satfractTIUDA_s.dep -DSHARED -DL_satfract -DFROM_TI -DTO_UDA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractTIUTA_s.o -MT _satfractTIUTA_s.o -MD -MP -MF _satfractTIUTA_s.dep -DSHARED -DL_satfract -DFROM_TI -DTO_UTA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSFQQ_s.o -MT _satfractSFQQ_s.o -MD -MP -MF _satfractSFQQ_s.dep -DSHARED -DL_satfract -DFROM_SF -DTO_QQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSFHQ_s.o -MT _satfractSFHQ_s.o -MD -MP -MF _satfractSFHQ_s.dep -DSHARED -DL_satfract -DFROM_SF -DTO_HQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSFSQ_s.o -MT _satfractSFSQ_s.o -MD -MP -MF _satfractSFSQ_s.dep -DSHARED -DL_satfract -DFROM_SF -DTO_SQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSFDQ_s.o -MT _satfractSFDQ_s.o -MD -MP -MF _satfractSFDQ_s.dep -DSHARED -DL_satfract -DFROM_SF -DTO_DQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSFTQ_s.o -MT _satfractSFTQ_s.o -MD -MP -MF _satfractSFTQ_s.dep -DSHARED -DL_satfract -DFROM_SF -DTO_TQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSFHA_s.o -MT _satfractSFHA_s.o -MD -MP -MF _satfractSFHA_s.dep -DSHARED -DL_satfract -DFROM_SF -DTO_HA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSFSA_s.o -MT _satfractSFSA_s.o -MD -MP -MF _satfractSFSA_s.dep -DSHARED -DL_satfract -DFROM_SF -DTO_SA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSFDA_s.o -MT _satfractSFDA_s.o -MD -MP -MF _satfractSFDA_s.dep -DSHARED -DL_satfract -DFROM_SF -DTO_DA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSFTA_s.o -MT _satfractSFTA_s.o -MD -MP -MF _satfractSFTA_s.dep -DSHARED -DL_satfract -DFROM_SF -DTO_TA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSFUQQ_s.o -MT _satfractSFUQQ_s.o -MD -MP -MF _satfractSFUQQ_s.dep -DSHARED -DL_satfract -DFROM_SF -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSFUHQ_s.o -MT _satfractSFUHQ_s.o -MD -MP -MF _satfractSFUHQ_s.dep -DSHARED -DL_satfract -DFROM_SF -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSFUSQ_s.o -MT _satfractSFUSQ_s.o -MD -MP -MF _satfractSFUSQ_s.dep -DSHARED -DL_satfract -DFROM_SF -DTO_USQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSFUDQ_s.o -MT _satfractSFUDQ_s.o -MD -MP -MF _satfractSFUDQ_s.dep -DSHARED -DL_satfract -DFROM_SF -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSFUTQ_s.o -MT _satfractSFUTQ_s.o -MD -MP -MF _satfractSFUTQ_s.dep -DSHARED -DL_satfract -DFROM_SF -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSFUHA_s.o -MT _satfractSFUHA_s.o -MD -MP -MF _satfractSFUHA_s.dep -DSHARED -DL_satfract -DFROM_SF -DTO_UHA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSFUSA_s.o -MT _satfractSFUSA_s.o -MD -MP -MF _satfractSFUSA_s.dep -DSHARED -DL_satfract -DFROM_SF -DTO_USA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSFUDA_s.o -MT _satfractSFUDA_s.o -MD -MP -MF _satfractSFUDA_s.dep -DSHARED -DL_satfract -DFROM_SF -DTO_UDA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractSFUTA_s.o -MT _satfractSFUTA_s.o -MD -MP -MF _satfractSFUTA_s.dep -DSHARED -DL_satfract -DFROM_SF -DTO_UTA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDFQQ_s.o -MT _satfractDFQQ_s.o -MD -MP -MF _satfractDFQQ_s.dep -DSHARED -DL_satfract -DFROM_DF -DTO_QQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDFHQ_s.o -MT _satfractDFHQ_s.o -MD -MP -MF _satfractDFHQ_s.dep -DSHARED -DL_satfract -DFROM_DF -DTO_HQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDFSQ_s.o -MT _satfractDFSQ_s.o -MD -MP -MF _satfractDFSQ_s.dep -DSHARED -DL_satfract -DFROM_DF -DTO_SQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDFDQ_s.o -MT _satfractDFDQ_s.o -MD -MP -MF _satfractDFDQ_s.dep -DSHARED -DL_satfract -DFROM_DF -DTO_DQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDFTQ_s.o -MT _satfractDFTQ_s.o -MD -MP -MF _satfractDFTQ_s.dep -DSHARED -DL_satfract -DFROM_DF -DTO_TQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDFHA_s.o -MT _satfractDFHA_s.o -MD -MP -MF _satfractDFHA_s.dep -DSHARED -DL_satfract -DFROM_DF -DTO_HA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDFSA_s.o -MT _satfractDFSA_s.o -MD -MP -MF _satfractDFSA_s.dep -DSHARED -DL_satfract -DFROM_DF -DTO_SA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDFDA_s.o -MT _satfractDFDA_s.o -MD -MP -MF _satfractDFDA_s.dep -DSHARED -DL_satfract -DFROM_DF -DTO_DA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDFTA_s.o -MT _satfractDFTA_s.o -MD -MP -MF _satfractDFTA_s.dep -DSHARED -DL_satfract -DFROM_DF -DTO_TA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDFUQQ_s.o -MT _satfractDFUQQ_s.o -MD -MP -MF _satfractDFUQQ_s.dep -DSHARED -DL_satfract -DFROM_DF -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDFUHQ_s.o -MT _satfractDFUHQ_s.o -MD -MP -MF _satfractDFUHQ_s.dep -DSHARED -DL_satfract -DFROM_DF -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDFUSQ_s.o -MT _satfractDFUSQ_s.o -MD -MP -MF _satfractDFUSQ_s.dep -DSHARED -DL_satfract -DFROM_DF -DTO_USQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDFUDQ_s.o -MT _satfractDFUDQ_s.o -MD -MP -MF _satfractDFUDQ_s.dep -DSHARED -DL_satfract -DFROM_DF -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDFUTQ_s.o -MT _satfractDFUTQ_s.o -MD -MP -MF _satfractDFUTQ_s.dep -DSHARED -DL_satfract -DFROM_DF -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDFUHA_s.o -MT _satfractDFUHA_s.o -MD -MP -MF _satfractDFUHA_s.dep -DSHARED -DL_satfract -DFROM_DF -DTO_UHA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDFUSA_s.o -MT _satfractDFUSA_s.o -MD -MP -MF _satfractDFUSA_s.dep -DSHARED -DL_satfract -DFROM_DF -DTO_USA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDFUDA_s.o -MT _satfractDFUDA_s.o -MD -MP -MF _satfractDFUDA_s.dep -DSHARED -DL_satfract -DFROM_DF -DTO_UDA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractDFUTA_s.o -MT _satfractDFUTA_s.o -MD -MP -MF _satfractDFUTA_s.dep -DSHARED -DL_satfract -DFROM_DF -DTO_UTA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsQQUQI_s.o -MT _fractunsQQUQI_s.o -MD -MP -MF _fractunsQQUQI_s.dep -DSHARED -DL_fractuns -DFROM_QQ -DTO_UQI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsQQUHI_s.o -MT _fractunsQQUHI_s.o -MD -MP -MF _fractunsQQUHI_s.dep -DSHARED -DL_fractuns -DFROM_QQ -DTO_UHI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsQQUSI_s.o -MT _fractunsQQUSI_s.o -MD -MP -MF _fractunsQQUSI_s.dep -DSHARED -DL_fractuns -DFROM_QQ -DTO_USI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsQQUDI_s.o -MT _fractunsQQUDI_s.o -MD -MP -MF _fractunsQQUDI_s.dep -DSHARED -DL_fractuns -DFROM_QQ -DTO_UDI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsQQUTI_s.o -MT _fractunsQQUTI_s.o -MD -MP -MF _fractunsQQUTI_s.dep -DSHARED -DL_fractuns -DFROM_QQ -DTO_UTI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsHQUQI_s.o -MT _fractunsHQUQI_s.o -MD -MP -MF _fractunsHQUQI_s.dep -DSHARED -DL_fractuns -DFROM_HQ -DTO_UQI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsHQUHI_s.o -MT _fractunsHQUHI_s.o -MD -MP -MF _fractunsHQUHI_s.dep -DSHARED -DL_fractuns -DFROM_HQ -DTO_UHI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsHQUSI_s.o -MT _fractunsHQUSI_s.o -MD -MP -MF _fractunsHQUSI_s.dep -DSHARED -DL_fractuns -DFROM_HQ -DTO_USI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsHQUDI_s.o -MT _fractunsHQUDI_s.o -MD -MP -MF _fractunsHQUDI_s.dep -DSHARED -DL_fractuns -DFROM_HQ -DTO_UDI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsHQUTI_s.o -MT _fractunsHQUTI_s.o -MD -MP -MF _fractunsHQUTI_s.dep -DSHARED -DL_fractuns -DFROM_HQ -DTO_UTI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsSQUQI_s.o -MT _fractunsSQUQI_s.o -MD -MP -MF _fractunsSQUQI_s.dep -DSHARED -DL_fractuns -DFROM_SQ -DTO_UQI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsSQUHI_s.o -MT _fractunsSQUHI_s.o -MD -MP -MF _fractunsSQUHI_s.dep -DSHARED -DL_fractuns -DFROM_SQ -DTO_UHI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsSQUSI_s.o -MT _fractunsSQUSI_s.o -MD -MP -MF _fractunsSQUSI_s.dep -DSHARED -DL_fractuns -DFROM_SQ -DTO_USI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsSQUDI_s.o -MT _fractunsSQUDI_s.o -MD -MP -MF _fractunsSQUDI_s.dep -DSHARED -DL_fractuns -DFROM_SQ -DTO_UDI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsSQUTI_s.o -MT _fractunsSQUTI_s.o -MD -MP -MF _fractunsSQUTI_s.dep -DSHARED -DL_fractuns -DFROM_SQ -DTO_UTI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsDQUQI_s.o -MT _fractunsDQUQI_s.o -MD -MP -MF _fractunsDQUQI_s.dep -DSHARED -DL_fractuns -DFROM_DQ -DTO_UQI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsDQUHI_s.o -MT _fractunsDQUHI_s.o -MD -MP -MF _fractunsDQUHI_s.dep -DSHARED -DL_fractuns -DFROM_DQ -DTO_UHI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsDQUSI_s.o -MT _fractunsDQUSI_s.o -MD -MP -MF _fractunsDQUSI_s.dep -DSHARED -DL_fractuns -DFROM_DQ -DTO_USI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsDQUDI_s.o -MT _fractunsDQUDI_s.o -MD -MP -MF _fractunsDQUDI_s.dep -DSHARED -DL_fractuns -DFROM_DQ -DTO_UDI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsDQUTI_s.o -MT _fractunsDQUTI_s.o -MD -MP -MF _fractunsDQUTI_s.dep -DSHARED -DL_fractuns -DFROM_DQ -DTO_UTI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsTQUQI_s.o -MT _fractunsTQUQI_s.o -MD -MP -MF _fractunsTQUQI_s.dep -DSHARED -DL_fractuns -DFROM_TQ -DTO_UQI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsTQUHI_s.o -MT _fractunsTQUHI_s.o -MD -MP -MF _fractunsTQUHI_s.dep -DSHARED -DL_fractuns -DFROM_TQ -DTO_UHI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsTQUSI_s.o -MT _fractunsTQUSI_s.o -MD -MP -MF _fractunsTQUSI_s.dep -DSHARED -DL_fractuns -DFROM_TQ -DTO_USI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsTQUDI_s.o -MT _fractunsTQUDI_s.o -MD -MP -MF _fractunsTQUDI_s.dep -DSHARED -DL_fractuns -DFROM_TQ -DTO_UDI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsTQUTI_s.o -MT _fractunsTQUTI_s.o -MD -MP -MF _fractunsTQUTI_s.dep -DSHARED -DL_fractuns -DFROM_TQ -DTO_UTI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsHAUQI_s.o -MT _fractunsHAUQI_s.o -MD -MP -MF _fractunsHAUQI_s.dep -DSHARED -DL_fractuns -DFROM_HA -DTO_UQI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsHAUHI_s.o -MT _fractunsHAUHI_s.o -MD -MP -MF _fractunsHAUHI_s.dep -DSHARED -DL_fractuns -DFROM_HA -DTO_UHI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsHAUSI_s.o -MT _fractunsHAUSI_s.o -MD -MP -MF _fractunsHAUSI_s.dep -DSHARED -DL_fractuns -DFROM_HA -DTO_USI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsHAUDI_s.o -MT _fractunsHAUDI_s.o -MD -MP -MF _fractunsHAUDI_s.dep -DSHARED -DL_fractuns -DFROM_HA -DTO_UDI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsHAUTI_s.o -MT _fractunsHAUTI_s.o -MD -MP -MF _fractunsHAUTI_s.dep -DSHARED -DL_fractuns -DFROM_HA -DTO_UTI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsSAUQI_s.o -MT _fractunsSAUQI_s.o -MD -MP -MF _fractunsSAUQI_s.dep -DSHARED -DL_fractuns -DFROM_SA -DTO_UQI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsSAUHI_s.o -MT _fractunsSAUHI_s.o -MD -MP -MF _fractunsSAUHI_s.dep -DSHARED -DL_fractuns -DFROM_SA -DTO_UHI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsSAUSI_s.o -MT _fractunsSAUSI_s.o -MD -MP -MF _fractunsSAUSI_s.dep -DSHARED -DL_fractuns -DFROM_SA -DTO_USI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsSAUDI_s.o -MT _fractunsSAUDI_s.o -MD -MP -MF _fractunsSAUDI_s.dep -DSHARED -DL_fractuns -DFROM_SA -DTO_UDI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsSAUTI_s.o -MT _fractunsSAUTI_s.o -MD -MP -MF _fractunsSAUTI_s.dep -DSHARED -DL_fractuns -DFROM_SA -DTO_UTI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsDAUQI_s.o -MT _fractunsDAUQI_s.o -MD -MP -MF _fractunsDAUQI_s.dep -DSHARED -DL_fractuns -DFROM_DA -DTO_UQI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsDAUHI_s.o -MT _fractunsDAUHI_s.o -MD -MP -MF _fractunsDAUHI_s.dep -DSHARED -DL_fractuns -DFROM_DA -DTO_UHI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsDAUSI_s.o -MT _fractunsDAUSI_s.o -MD -MP -MF _fractunsDAUSI_s.dep -DSHARED -DL_fractuns -DFROM_DA -DTO_USI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsDAUDI_s.o -MT _fractunsDAUDI_s.o -MD -MP -MF _fractunsDAUDI_s.dep -DSHARED -DL_fractuns -DFROM_DA -DTO_UDI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsDAUTI_s.o -MT _fractunsDAUTI_s.o -MD -MP -MF _fractunsDAUTI_s.dep -DSHARED -DL_fractuns -DFROM_DA -DTO_UTI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsTAUQI_s.o -MT _fractunsTAUQI_s.o -MD -MP -MF _fractunsTAUQI_s.dep -DSHARED -DL_fractuns -DFROM_TA -DTO_UQI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsTAUHI_s.o -MT _fractunsTAUHI_s.o -MD -MP -MF _fractunsTAUHI_s.dep -DSHARED -DL_fractuns -DFROM_TA -DTO_UHI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsTAUSI_s.o -MT _fractunsTAUSI_s.o -MD -MP -MF _fractunsTAUSI_s.dep -DSHARED -DL_fractuns -DFROM_TA -DTO_USI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsTAUDI_s.o -MT _fractunsTAUDI_s.o -MD -MP -MF _fractunsTAUDI_s.dep -DSHARED -DL_fractuns -DFROM_TA -DTO_UDI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsTAUTI_s.o -MT _fractunsTAUTI_s.o -MD -MP -MF _fractunsTAUTI_s.dep -DSHARED -DL_fractuns -DFROM_TA -DTO_UTI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUQQUQI_s.o -MT _fractunsUQQUQI_s.o -MD -MP -MF _fractunsUQQUQI_s.dep -DSHARED -DL_fractuns -DFROM_UQQ -DTO_UQI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUQQUHI_s.o -MT _fractunsUQQUHI_s.o -MD -MP -MF _fractunsUQQUHI_s.dep -DSHARED -DL_fractuns -DFROM_UQQ -DTO_UHI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUQQUSI_s.o -MT _fractunsUQQUSI_s.o -MD -MP -MF _fractunsUQQUSI_s.dep -DSHARED -DL_fractuns -DFROM_UQQ -DTO_USI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUQQUDI_s.o -MT _fractunsUQQUDI_s.o -MD -MP -MF _fractunsUQQUDI_s.dep -DSHARED -DL_fractuns -DFROM_UQQ -DTO_UDI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUQQUTI_s.o -MT _fractunsUQQUTI_s.o -MD -MP -MF _fractunsUQQUTI_s.dep -DSHARED -DL_fractuns -DFROM_UQQ -DTO_UTI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUHQUQI_s.o -MT _fractunsUHQUQI_s.o -MD -MP -MF _fractunsUHQUQI_s.dep -DSHARED -DL_fractuns -DFROM_UHQ -DTO_UQI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUHQUHI_s.o -MT _fractunsUHQUHI_s.o -MD -MP -MF _fractunsUHQUHI_s.dep -DSHARED -DL_fractuns -DFROM_UHQ -DTO_UHI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUHQUSI_s.o -MT _fractunsUHQUSI_s.o -MD -MP -MF _fractunsUHQUSI_s.dep -DSHARED -DL_fractuns -DFROM_UHQ -DTO_USI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUHQUDI_s.o -MT _fractunsUHQUDI_s.o -MD -MP -MF _fractunsUHQUDI_s.dep -DSHARED -DL_fractuns -DFROM_UHQ -DTO_UDI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUHQUTI_s.o -MT _fractunsUHQUTI_s.o -MD -MP -MF _fractunsUHQUTI_s.dep -DSHARED -DL_fractuns -DFROM_UHQ -DTO_UTI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUSQUQI_s.o -MT _fractunsUSQUQI_s.o -MD -MP -MF _fractunsUSQUQI_s.dep -DSHARED -DL_fractuns -DFROM_USQ -DTO_UQI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUSQUHI_s.o -MT _fractunsUSQUHI_s.o -MD -MP -MF _fractunsUSQUHI_s.dep -DSHARED -DL_fractuns -DFROM_USQ -DTO_UHI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUSQUSI_s.o -MT _fractunsUSQUSI_s.o -MD -MP -MF _fractunsUSQUSI_s.dep -DSHARED -DL_fractuns -DFROM_USQ -DTO_USI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUSQUDI_s.o -MT _fractunsUSQUDI_s.o -MD -MP -MF _fractunsUSQUDI_s.dep -DSHARED -DL_fractuns -DFROM_USQ -DTO_UDI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUSQUTI_s.o -MT _fractunsUSQUTI_s.o -MD -MP -MF _fractunsUSQUTI_s.dep -DSHARED -DL_fractuns -DFROM_USQ -DTO_UTI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUDQUQI_s.o -MT _fractunsUDQUQI_s.o -MD -MP -MF _fractunsUDQUQI_s.dep -DSHARED -DL_fractuns -DFROM_UDQ -DTO_UQI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUDQUHI_s.o -MT _fractunsUDQUHI_s.o -MD -MP -MF _fractunsUDQUHI_s.dep -DSHARED -DL_fractuns -DFROM_UDQ -DTO_UHI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUDQUSI_s.o -MT _fractunsUDQUSI_s.o -MD -MP -MF _fractunsUDQUSI_s.dep -DSHARED -DL_fractuns -DFROM_UDQ -DTO_USI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUDQUDI_s.o -MT _fractunsUDQUDI_s.o -MD -MP -MF _fractunsUDQUDI_s.dep -DSHARED -DL_fractuns -DFROM_UDQ -DTO_UDI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUDQUTI_s.o -MT _fractunsUDQUTI_s.o -MD -MP -MF _fractunsUDQUTI_s.dep -DSHARED -DL_fractuns -DFROM_UDQ -DTO_UTI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUTQUQI_s.o -MT _fractunsUTQUQI_s.o -MD -MP -MF _fractunsUTQUQI_s.dep -DSHARED -DL_fractuns -DFROM_UTQ -DTO_UQI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUTQUHI_s.o -MT _fractunsUTQUHI_s.o -MD -MP -MF _fractunsUTQUHI_s.dep -DSHARED -DL_fractuns -DFROM_UTQ -DTO_UHI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUTQUSI_s.o -MT _fractunsUTQUSI_s.o -MD -MP -MF _fractunsUTQUSI_s.dep -DSHARED -DL_fractuns -DFROM_UTQ -DTO_USI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUTQUDI_s.o -MT _fractunsUTQUDI_s.o -MD -MP -MF _fractunsUTQUDI_s.dep -DSHARED -DL_fractuns -DFROM_UTQ -DTO_UDI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUTQUTI_s.o -MT _fractunsUTQUTI_s.o -MD -MP -MF _fractunsUTQUTI_s.dep -DSHARED -DL_fractuns -DFROM_UTQ -DTO_UTI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUHAUQI_s.o -MT _fractunsUHAUQI_s.o -MD -MP -MF _fractunsUHAUQI_s.dep -DSHARED -DL_fractuns -DFROM_UHA -DTO_UQI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUHAUHI_s.o -MT _fractunsUHAUHI_s.o -MD -MP -MF _fractunsUHAUHI_s.dep -DSHARED -DL_fractuns -DFROM_UHA -DTO_UHI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUHAUSI_s.o -MT _fractunsUHAUSI_s.o -MD -MP -MF _fractunsUHAUSI_s.dep -DSHARED -DL_fractuns -DFROM_UHA -DTO_USI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUHAUDI_s.o -MT _fractunsUHAUDI_s.o -MD -MP -MF _fractunsUHAUDI_s.dep -DSHARED -DL_fractuns -DFROM_UHA -DTO_UDI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUHAUTI_s.o -MT _fractunsUHAUTI_s.o -MD -MP -MF _fractunsUHAUTI_s.dep -DSHARED -DL_fractuns -DFROM_UHA -DTO_UTI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUSAUQI_s.o -MT _fractunsUSAUQI_s.o -MD -MP -MF _fractunsUSAUQI_s.dep -DSHARED -DL_fractuns -DFROM_USA -DTO_UQI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUSAUHI_s.o -MT _fractunsUSAUHI_s.o -MD -MP -MF _fractunsUSAUHI_s.dep -DSHARED -DL_fractuns -DFROM_USA -DTO_UHI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUSAUSI_s.o -MT _fractunsUSAUSI_s.o -MD -MP -MF _fractunsUSAUSI_s.dep -DSHARED -DL_fractuns -DFROM_USA -DTO_USI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUSAUDI_s.o -MT _fractunsUSAUDI_s.o -MD -MP -MF _fractunsUSAUDI_s.dep -DSHARED -DL_fractuns -DFROM_USA -DTO_UDI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUSAUTI_s.o -MT _fractunsUSAUTI_s.o -MD -MP -MF _fractunsUSAUTI_s.dep -DSHARED -DL_fractuns -DFROM_USA -DTO_UTI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUDAUQI_s.o -MT _fractunsUDAUQI_s.o -MD -MP -MF _fractunsUDAUQI_s.dep -DSHARED -DL_fractuns -DFROM_UDA -DTO_UQI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUDAUHI_s.o -MT _fractunsUDAUHI_s.o -MD -MP -MF _fractunsUDAUHI_s.dep -DSHARED -DL_fractuns -DFROM_UDA -DTO_UHI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUDAUSI_s.o -MT _fractunsUDAUSI_s.o -MD -MP -MF _fractunsUDAUSI_s.dep -DSHARED -DL_fractuns -DFROM_UDA -DTO_USI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUDAUDI_s.o -MT _fractunsUDAUDI_s.o -MD -MP -MF _fractunsUDAUDI_s.dep -DSHARED -DL_fractuns -DFROM_UDA -DTO_UDI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUDAUTI_s.o -MT _fractunsUDAUTI_s.o -MD -MP -MF _fractunsUDAUTI_s.dep -DSHARED -DL_fractuns -DFROM_UDA -DTO_UTI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUTAUQI_s.o -MT _fractunsUTAUQI_s.o -MD -MP -MF _fractunsUTAUQI_s.dep -DSHARED -DL_fractuns -DFROM_UTA -DTO_UQI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUTAUHI_s.o -MT _fractunsUTAUHI_s.o -MD -MP -MF _fractunsUTAUHI_s.dep -DSHARED -DL_fractuns -DFROM_UTA -DTO_UHI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUTAUSI_s.o -MT _fractunsUTAUSI_s.o -MD -MP -MF _fractunsUTAUSI_s.dep -DSHARED -DL_fractuns -DFROM_UTA -DTO_USI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUTAUDI_s.o -MT _fractunsUTAUDI_s.o -MD -MP -MF _fractunsUTAUDI_s.dep -DSHARED -DL_fractuns -DFROM_UTA -DTO_UDI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUTAUTI_s.o -MT _fractunsUTAUTI_s.o -MD -MP -MF _fractunsUTAUTI_s.dep -DSHARED -DL_fractuns -DFROM_UTA -DTO_UTI -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUQIQQ_s.o -MT _fractunsUQIQQ_s.o -MD -MP -MF _fractunsUQIQQ_s.dep -DSHARED -DL_fractuns -DFROM_UQI -DTO_QQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUQIHQ_s.o -MT _fractunsUQIHQ_s.o -MD -MP -MF _fractunsUQIHQ_s.dep -DSHARED -DL_fractuns -DFROM_UQI -DTO_HQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUQISQ_s.o -MT _fractunsUQISQ_s.o -MD -MP -MF _fractunsUQISQ_s.dep -DSHARED -DL_fractuns -DFROM_UQI -DTO_SQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUQIDQ_s.o -MT _fractunsUQIDQ_s.o -MD -MP -MF _fractunsUQIDQ_s.dep -DSHARED -DL_fractuns -DFROM_UQI -DTO_DQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUQITQ_s.o -MT _fractunsUQITQ_s.o -MD -MP -MF _fractunsUQITQ_s.dep -DSHARED -DL_fractuns -DFROM_UQI -DTO_TQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUQIHA_s.o -MT _fractunsUQIHA_s.o -MD -MP -MF _fractunsUQIHA_s.dep -DSHARED -DL_fractuns -DFROM_UQI -DTO_HA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUQISA_s.o -MT _fractunsUQISA_s.o -MD -MP -MF _fractunsUQISA_s.dep -DSHARED -DL_fractuns -DFROM_UQI -DTO_SA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUQIDA_s.o -MT _fractunsUQIDA_s.o -MD -MP -MF _fractunsUQIDA_s.dep -DSHARED -DL_fractuns -DFROM_UQI -DTO_DA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUQITA_s.o -MT _fractunsUQITA_s.o -MD -MP -MF _fractunsUQITA_s.dep -DSHARED -DL_fractuns -DFROM_UQI -DTO_TA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUQIUQQ_s.o -MT _fractunsUQIUQQ_s.o -MD -MP -MF _fractunsUQIUQQ_s.dep -DSHARED -DL_fractuns -DFROM_UQI -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUQIUHQ_s.o -MT _fractunsUQIUHQ_s.o -MD -MP -MF _fractunsUQIUHQ_s.dep -DSHARED -DL_fractuns -DFROM_UQI -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUQIUSQ_s.o -MT _fractunsUQIUSQ_s.o -MD -MP -MF _fractunsUQIUSQ_s.dep -DSHARED -DL_fractuns -DFROM_UQI -DTO_USQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUQIUDQ_s.o -MT _fractunsUQIUDQ_s.o -MD -MP -MF _fractunsUQIUDQ_s.dep -DSHARED -DL_fractuns -DFROM_UQI -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUQIUTQ_s.o -MT _fractunsUQIUTQ_s.o -MD -MP -MF _fractunsUQIUTQ_s.dep -DSHARED -DL_fractuns -DFROM_UQI -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUQIUHA_s.o -MT _fractunsUQIUHA_s.o -MD -MP -MF _fractunsUQIUHA_s.dep -DSHARED -DL_fractuns -DFROM_UQI -DTO_UHA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUQIUSA_s.o -MT _fractunsUQIUSA_s.o -MD -MP -MF _fractunsUQIUSA_s.dep -DSHARED -DL_fractuns -DFROM_UQI -DTO_USA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUQIUDA_s.o -MT _fractunsUQIUDA_s.o -MD -MP -MF _fractunsUQIUDA_s.dep -DSHARED -DL_fractuns -DFROM_UQI -DTO_UDA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUQIUTA_s.o -MT _fractunsUQIUTA_s.o -MD -MP -MF _fractunsUQIUTA_s.dep -DSHARED -DL_fractuns -DFROM_UQI -DTO_UTA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUHIQQ_s.o -MT _fractunsUHIQQ_s.o -MD -MP -MF _fractunsUHIQQ_s.dep -DSHARED -DL_fractuns -DFROM_UHI -DTO_QQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUHIHQ_s.o -MT _fractunsUHIHQ_s.o -MD -MP -MF _fractunsUHIHQ_s.dep -DSHARED -DL_fractuns -DFROM_UHI -DTO_HQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUHISQ_s.o -MT _fractunsUHISQ_s.o -MD -MP -MF _fractunsUHISQ_s.dep -DSHARED -DL_fractuns -DFROM_UHI -DTO_SQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUHIDQ_s.o -MT _fractunsUHIDQ_s.o -MD -MP -MF _fractunsUHIDQ_s.dep -DSHARED -DL_fractuns -DFROM_UHI -DTO_DQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUHITQ_s.o -MT _fractunsUHITQ_s.o -MD -MP -MF _fractunsUHITQ_s.dep -DSHARED -DL_fractuns -DFROM_UHI -DTO_TQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUHIHA_s.o -MT _fractunsUHIHA_s.o -MD -MP -MF _fractunsUHIHA_s.dep -DSHARED -DL_fractuns -DFROM_UHI -DTO_HA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUHISA_s.o -MT _fractunsUHISA_s.o -MD -MP -MF _fractunsUHISA_s.dep -DSHARED -DL_fractuns -DFROM_UHI -DTO_SA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUHIDA_s.o -MT _fractunsUHIDA_s.o -MD -MP -MF _fractunsUHIDA_s.dep -DSHARED -DL_fractuns -DFROM_UHI -DTO_DA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUHITA_s.o -MT _fractunsUHITA_s.o -MD -MP -MF _fractunsUHITA_s.dep -DSHARED -DL_fractuns -DFROM_UHI -DTO_TA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUHIUQQ_s.o -MT _fractunsUHIUQQ_s.o -MD -MP -MF _fractunsUHIUQQ_s.dep -DSHARED -DL_fractuns -DFROM_UHI -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUHIUHQ_s.o -MT _fractunsUHIUHQ_s.o -MD -MP -MF _fractunsUHIUHQ_s.dep -DSHARED -DL_fractuns -DFROM_UHI -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUHIUSQ_s.o -MT _fractunsUHIUSQ_s.o -MD -MP -MF _fractunsUHIUSQ_s.dep -DSHARED -DL_fractuns -DFROM_UHI -DTO_USQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUHIUDQ_s.o -MT _fractunsUHIUDQ_s.o -MD -MP -MF _fractunsUHIUDQ_s.dep -DSHARED -DL_fractuns -DFROM_UHI -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUHIUTQ_s.o -MT _fractunsUHIUTQ_s.o -MD -MP -MF _fractunsUHIUTQ_s.dep -DSHARED -DL_fractuns -DFROM_UHI -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUHIUHA_s.o -MT _fractunsUHIUHA_s.o -MD -MP -MF _fractunsUHIUHA_s.dep -DSHARED -DL_fractuns -DFROM_UHI -DTO_UHA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUHIUSA_s.o -MT _fractunsUHIUSA_s.o -MD -MP -MF _fractunsUHIUSA_s.dep -DSHARED -DL_fractuns -DFROM_UHI -DTO_USA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUHIUDA_s.o -MT _fractunsUHIUDA_s.o -MD -MP -MF _fractunsUHIUDA_s.dep -DSHARED -DL_fractuns -DFROM_UHI -DTO_UDA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUHIUTA_s.o -MT _fractunsUHIUTA_s.o -MD -MP -MF _fractunsUHIUTA_s.dep -DSHARED -DL_fractuns -DFROM_UHI -DTO_UTA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUSIQQ_s.o -MT _fractunsUSIQQ_s.o -MD -MP -MF _fractunsUSIQQ_s.dep -DSHARED -DL_fractuns -DFROM_USI -DTO_QQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUSIHQ_s.o -MT _fractunsUSIHQ_s.o -MD -MP -MF _fractunsUSIHQ_s.dep -DSHARED -DL_fractuns -DFROM_USI -DTO_HQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUSISQ_s.o -MT _fractunsUSISQ_s.o -MD -MP -MF _fractunsUSISQ_s.dep -DSHARED -DL_fractuns -DFROM_USI -DTO_SQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUSIDQ_s.o -MT _fractunsUSIDQ_s.o -MD -MP -MF _fractunsUSIDQ_s.dep -DSHARED -DL_fractuns -DFROM_USI -DTO_DQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUSITQ_s.o -MT _fractunsUSITQ_s.o -MD -MP -MF _fractunsUSITQ_s.dep -DSHARED -DL_fractuns -DFROM_USI -DTO_TQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUSIHA_s.o -MT _fractunsUSIHA_s.o -MD -MP -MF _fractunsUSIHA_s.dep -DSHARED -DL_fractuns -DFROM_USI -DTO_HA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUSISA_s.o -MT _fractunsUSISA_s.o -MD -MP -MF _fractunsUSISA_s.dep -DSHARED -DL_fractuns -DFROM_USI -DTO_SA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUSIDA_s.o -MT _fractunsUSIDA_s.o -MD -MP -MF _fractunsUSIDA_s.dep -DSHARED -DL_fractuns -DFROM_USI -DTO_DA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUSITA_s.o -MT _fractunsUSITA_s.o -MD -MP -MF _fractunsUSITA_s.dep -DSHARED -DL_fractuns -DFROM_USI -DTO_TA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUSIUQQ_s.o -MT _fractunsUSIUQQ_s.o -MD -MP -MF _fractunsUSIUQQ_s.dep -DSHARED -DL_fractuns -DFROM_USI -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUSIUHQ_s.o -MT _fractunsUSIUHQ_s.o -MD -MP -MF _fractunsUSIUHQ_s.dep -DSHARED -DL_fractuns -DFROM_USI -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUSIUSQ_s.o -MT _fractunsUSIUSQ_s.o -MD -MP -MF _fractunsUSIUSQ_s.dep -DSHARED -DL_fractuns -DFROM_USI -DTO_USQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUSIUDQ_s.o -MT _fractunsUSIUDQ_s.o -MD -MP -MF _fractunsUSIUDQ_s.dep -DSHARED -DL_fractuns -DFROM_USI -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUSIUTQ_s.o -MT _fractunsUSIUTQ_s.o -MD -MP -MF _fractunsUSIUTQ_s.dep -DSHARED -DL_fractuns -DFROM_USI -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUSIUHA_s.o -MT _fractunsUSIUHA_s.o -MD -MP -MF _fractunsUSIUHA_s.dep -DSHARED -DL_fractuns -DFROM_USI -DTO_UHA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUSIUSA_s.o -MT _fractunsUSIUSA_s.o -MD -MP -MF _fractunsUSIUSA_s.dep -DSHARED -DL_fractuns -DFROM_USI -DTO_USA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUSIUDA_s.o -MT _fractunsUSIUDA_s.o -MD -MP -MF _fractunsUSIUDA_s.dep -DSHARED -DL_fractuns -DFROM_USI -DTO_UDA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUSIUTA_s.o -MT _fractunsUSIUTA_s.o -MD -MP -MF _fractunsUSIUTA_s.dep -DSHARED -DL_fractuns -DFROM_USI -DTO_UTA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUDIQQ_s.o -MT _fractunsUDIQQ_s.o -MD -MP -MF _fractunsUDIQQ_s.dep -DSHARED -DL_fractuns -DFROM_UDI -DTO_QQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUDIHQ_s.o -MT _fractunsUDIHQ_s.o -MD -MP -MF _fractunsUDIHQ_s.dep -DSHARED -DL_fractuns -DFROM_UDI -DTO_HQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUDISQ_s.o -MT _fractunsUDISQ_s.o -MD -MP -MF _fractunsUDISQ_s.dep -DSHARED -DL_fractuns -DFROM_UDI -DTO_SQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUDIDQ_s.o -MT _fractunsUDIDQ_s.o -MD -MP -MF _fractunsUDIDQ_s.dep -DSHARED -DL_fractuns -DFROM_UDI -DTO_DQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUDITQ_s.o -MT _fractunsUDITQ_s.o -MD -MP -MF _fractunsUDITQ_s.dep -DSHARED -DL_fractuns -DFROM_UDI -DTO_TQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUDIHA_s.o -MT _fractunsUDIHA_s.o -MD -MP -MF _fractunsUDIHA_s.dep -DSHARED -DL_fractuns -DFROM_UDI -DTO_HA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUDISA_s.o -MT _fractunsUDISA_s.o -MD -MP -MF _fractunsUDISA_s.dep -DSHARED -DL_fractuns -DFROM_UDI -DTO_SA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUDIDA_s.o -MT _fractunsUDIDA_s.o -MD -MP -MF _fractunsUDIDA_s.dep -DSHARED -DL_fractuns -DFROM_UDI -DTO_DA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUDITA_s.o -MT _fractunsUDITA_s.o -MD -MP -MF _fractunsUDITA_s.dep -DSHARED -DL_fractuns -DFROM_UDI -DTO_TA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUDIUQQ_s.o -MT _fractunsUDIUQQ_s.o -MD -MP -MF _fractunsUDIUQQ_s.dep -DSHARED -DL_fractuns -DFROM_UDI -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUDIUHQ_s.o -MT _fractunsUDIUHQ_s.o -MD -MP -MF _fractunsUDIUHQ_s.dep -DSHARED -DL_fractuns -DFROM_UDI -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUDIUSQ_s.o -MT _fractunsUDIUSQ_s.o -MD -MP -MF _fractunsUDIUSQ_s.dep -DSHARED -DL_fractuns -DFROM_UDI -DTO_USQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUDIUDQ_s.o -MT _fractunsUDIUDQ_s.o -MD -MP -MF _fractunsUDIUDQ_s.dep -DSHARED -DL_fractuns -DFROM_UDI -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUDIUTQ_s.o -MT _fractunsUDIUTQ_s.o -MD -MP -MF _fractunsUDIUTQ_s.dep -DSHARED -DL_fractuns -DFROM_UDI -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUDIUHA_s.o -MT _fractunsUDIUHA_s.o -MD -MP -MF _fractunsUDIUHA_s.dep -DSHARED -DL_fractuns -DFROM_UDI -DTO_UHA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUDIUSA_s.o -MT _fractunsUDIUSA_s.o -MD -MP -MF _fractunsUDIUSA_s.dep -DSHARED -DL_fractuns -DFROM_UDI -DTO_USA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUDIUDA_s.o -MT _fractunsUDIUDA_s.o -MD -MP -MF _fractunsUDIUDA_s.dep -DSHARED -DL_fractuns -DFROM_UDI -DTO_UDA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUDIUTA_s.o -MT _fractunsUDIUTA_s.o -MD -MP -MF _fractunsUDIUTA_s.dep -DSHARED -DL_fractuns -DFROM_UDI -DTO_UTA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUTIQQ_s.o -MT _fractunsUTIQQ_s.o -MD -MP -MF _fractunsUTIQQ_s.dep -DSHARED -DL_fractuns -DFROM_UTI -DTO_QQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUTIHQ_s.o -MT _fractunsUTIHQ_s.o -MD -MP -MF _fractunsUTIHQ_s.dep -DSHARED -DL_fractuns -DFROM_UTI -DTO_HQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUTISQ_s.o -MT _fractunsUTISQ_s.o -MD -MP -MF _fractunsUTISQ_s.dep -DSHARED -DL_fractuns -DFROM_UTI -DTO_SQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUTIDQ_s.o -MT _fractunsUTIDQ_s.o -MD -MP -MF _fractunsUTIDQ_s.dep -DSHARED -DL_fractuns -DFROM_UTI -DTO_DQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUTITQ_s.o -MT _fractunsUTITQ_s.o -MD -MP -MF _fractunsUTITQ_s.dep -DSHARED -DL_fractuns -DFROM_UTI -DTO_TQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUTIHA_s.o -MT _fractunsUTIHA_s.o -MD -MP -MF _fractunsUTIHA_s.dep -DSHARED -DL_fractuns -DFROM_UTI -DTO_HA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUTISA_s.o -MT _fractunsUTISA_s.o -MD -MP -MF _fractunsUTISA_s.dep -DSHARED -DL_fractuns -DFROM_UTI -DTO_SA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUTIDA_s.o -MT _fractunsUTIDA_s.o -MD -MP -MF _fractunsUTIDA_s.dep -DSHARED -DL_fractuns -DFROM_UTI -DTO_DA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUTITA_s.o -MT _fractunsUTITA_s.o -MD -MP -MF _fractunsUTITA_s.dep -DSHARED -DL_fractuns -DFROM_UTI -DTO_TA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUTIUQQ_s.o -MT _fractunsUTIUQQ_s.o -MD -MP -MF _fractunsUTIUQQ_s.dep -DSHARED -DL_fractuns -DFROM_UTI -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUTIUHQ_s.o -MT _fractunsUTIUHQ_s.o -MD -MP -MF _fractunsUTIUHQ_s.dep -DSHARED -DL_fractuns -DFROM_UTI -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUTIUSQ_s.o -MT _fractunsUTIUSQ_s.o -MD -MP -MF _fractunsUTIUSQ_s.dep -DSHARED -DL_fractuns -DFROM_UTI -DTO_USQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUTIUDQ_s.o -MT _fractunsUTIUDQ_s.o -MD -MP -MF _fractunsUTIUDQ_s.dep -DSHARED -DL_fractuns -DFROM_UTI -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUTIUTQ_s.o -MT _fractunsUTIUTQ_s.o -MD -MP -MF _fractunsUTIUTQ_s.dep -DSHARED -DL_fractuns -DFROM_UTI -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUTIUHA_s.o -MT _fractunsUTIUHA_s.o -MD -MP -MF _fractunsUTIUHA_s.dep -DSHARED -DL_fractuns -DFROM_UTI -DTO_UHA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUTIUSA_s.o -MT _fractunsUTIUSA_s.o -MD -MP -MF _fractunsUTIUSA_s.dep -DSHARED -DL_fractuns -DFROM_UTI -DTO_USA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUTIUDA_s.o -MT _fractunsUTIUDA_s.o -MD -MP -MF _fractunsUTIUDA_s.dep -DSHARED -DL_fractuns -DFROM_UTI -DTO_UDA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _fractunsUTIUTA_s.o -MT _fractunsUTIUTA_s.o -MD -MP -MF _fractunsUTIUTA_s.dep -DSHARED -DL_fractuns -DFROM_UTI -DTO_UTA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUQIQQ_s.o -MT _satfractunsUQIQQ_s.o -MD -MP -MF _satfractunsUQIQQ_s.dep -DSHARED -DL_satfractuns -DFROM_UQI -DTO_QQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUQIHQ_s.o -MT _satfractunsUQIHQ_s.o -MD -MP -MF _satfractunsUQIHQ_s.dep -DSHARED -DL_satfractuns -DFROM_UQI -DTO_HQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUQISQ_s.o -MT _satfractunsUQISQ_s.o -MD -MP -MF _satfractunsUQISQ_s.dep -DSHARED -DL_satfractuns -DFROM_UQI -DTO_SQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUQIDQ_s.o -MT _satfractunsUQIDQ_s.o -MD -MP -MF _satfractunsUQIDQ_s.dep -DSHARED -DL_satfractuns -DFROM_UQI -DTO_DQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUQITQ_s.o -MT _satfractunsUQITQ_s.o -MD -MP -MF _satfractunsUQITQ_s.dep -DSHARED -DL_satfractuns -DFROM_UQI -DTO_TQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUQIHA_s.o -MT _satfractunsUQIHA_s.o -MD -MP -MF _satfractunsUQIHA_s.dep -DSHARED -DL_satfractuns -DFROM_UQI -DTO_HA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUQISA_s.o -MT _satfractunsUQISA_s.o -MD -MP -MF _satfractunsUQISA_s.dep -DSHARED -DL_satfractuns -DFROM_UQI -DTO_SA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUQIDA_s.o -MT _satfractunsUQIDA_s.o -MD -MP -MF _satfractunsUQIDA_s.dep -DSHARED -DL_satfractuns -DFROM_UQI -DTO_DA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUQITA_s.o -MT _satfractunsUQITA_s.o -MD -MP -MF _satfractunsUQITA_s.dep -DSHARED -DL_satfractuns -DFROM_UQI -DTO_TA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUQIUQQ_s.o -MT _satfractunsUQIUQQ_s.o -MD -MP -MF _satfractunsUQIUQQ_s.dep -DSHARED -DL_satfractuns -DFROM_UQI -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUQIUHQ_s.o -MT _satfractunsUQIUHQ_s.o -MD -MP -MF _satfractunsUQIUHQ_s.dep -DSHARED -DL_satfractuns -DFROM_UQI -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUQIUSQ_s.o -MT _satfractunsUQIUSQ_s.o -MD -MP -MF _satfractunsUQIUSQ_s.dep -DSHARED -DL_satfractuns -DFROM_UQI -DTO_USQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUQIUDQ_s.o -MT _satfractunsUQIUDQ_s.o -MD -MP -MF _satfractunsUQIUDQ_s.dep -DSHARED -DL_satfractuns -DFROM_UQI -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUQIUTQ_s.o -MT _satfractunsUQIUTQ_s.o -MD -MP -MF _satfractunsUQIUTQ_s.dep -DSHARED -DL_satfractuns -DFROM_UQI -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUQIUHA_s.o -MT _satfractunsUQIUHA_s.o -MD -MP -MF _satfractunsUQIUHA_s.dep -DSHARED -DL_satfractuns -DFROM_UQI -DTO_UHA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUQIUSA_s.o -MT _satfractunsUQIUSA_s.o -MD -MP -MF _satfractunsUQIUSA_s.dep -DSHARED -DL_satfractuns -DFROM_UQI -DTO_USA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUQIUDA_s.o -MT _satfractunsUQIUDA_s.o -MD -MP -MF _satfractunsUQIUDA_s.dep -DSHARED -DL_satfractuns -DFROM_UQI -DTO_UDA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUQIUTA_s.o -MT _satfractunsUQIUTA_s.o -MD -MP -MF _satfractunsUQIUTA_s.dep -DSHARED -DL_satfractuns -DFROM_UQI -DTO_UTA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUHIQQ_s.o -MT _satfractunsUHIQQ_s.o -MD -MP -MF _satfractunsUHIQQ_s.dep -DSHARED -DL_satfractuns -DFROM_UHI -DTO_QQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUHIHQ_s.o -MT _satfractunsUHIHQ_s.o -MD -MP -MF _satfractunsUHIHQ_s.dep -DSHARED -DL_satfractuns -DFROM_UHI -DTO_HQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUHISQ_s.o -MT _satfractunsUHISQ_s.o -MD -MP -MF _satfractunsUHISQ_s.dep -DSHARED -DL_satfractuns -DFROM_UHI -DTO_SQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUHIDQ_s.o -MT _satfractunsUHIDQ_s.o -MD -MP -MF _satfractunsUHIDQ_s.dep -DSHARED -DL_satfractuns -DFROM_UHI -DTO_DQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUHITQ_s.o -MT _satfractunsUHITQ_s.o -MD -MP -MF _satfractunsUHITQ_s.dep -DSHARED -DL_satfractuns -DFROM_UHI -DTO_TQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUHIHA_s.o -MT _satfractunsUHIHA_s.o -MD -MP -MF _satfractunsUHIHA_s.dep -DSHARED -DL_satfractuns -DFROM_UHI -DTO_HA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUHISA_s.o -MT _satfractunsUHISA_s.o -MD -MP -MF _satfractunsUHISA_s.dep -DSHARED -DL_satfractuns -DFROM_UHI -DTO_SA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUHIDA_s.o -MT _satfractunsUHIDA_s.o -MD -MP -MF _satfractunsUHIDA_s.dep -DSHARED -DL_satfractuns -DFROM_UHI -DTO_DA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUHITA_s.o -MT _satfractunsUHITA_s.o -MD -MP -MF _satfractunsUHITA_s.dep -DSHARED -DL_satfractuns -DFROM_UHI -DTO_TA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUHIUQQ_s.o -MT _satfractunsUHIUQQ_s.o -MD -MP -MF _satfractunsUHIUQQ_s.dep -DSHARED -DL_satfractuns -DFROM_UHI -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUHIUHQ_s.o -MT _satfractunsUHIUHQ_s.o -MD -MP -MF _satfractunsUHIUHQ_s.dep -DSHARED -DL_satfractuns -DFROM_UHI -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUHIUSQ_s.o -MT _satfractunsUHIUSQ_s.o -MD -MP -MF _satfractunsUHIUSQ_s.dep -DSHARED -DL_satfractuns -DFROM_UHI -DTO_USQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUHIUDQ_s.o -MT _satfractunsUHIUDQ_s.o -MD -MP -MF _satfractunsUHIUDQ_s.dep -DSHARED -DL_satfractuns -DFROM_UHI -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUHIUTQ_s.o -MT _satfractunsUHIUTQ_s.o -MD -MP -MF _satfractunsUHIUTQ_s.dep -DSHARED -DL_satfractuns -DFROM_UHI -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUHIUHA_s.o -MT _satfractunsUHIUHA_s.o -MD -MP -MF _satfractunsUHIUHA_s.dep -DSHARED -DL_satfractuns -DFROM_UHI -DTO_UHA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUHIUSA_s.o -MT _satfractunsUHIUSA_s.o -MD -MP -MF _satfractunsUHIUSA_s.dep -DSHARED -DL_satfractuns -DFROM_UHI -DTO_USA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUHIUDA_s.o -MT _satfractunsUHIUDA_s.o -MD -MP -MF _satfractunsUHIUDA_s.dep -DSHARED -DL_satfractuns -DFROM_UHI -DTO_UDA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUHIUTA_s.o -MT _satfractunsUHIUTA_s.o -MD -MP -MF _satfractunsUHIUTA_s.dep -DSHARED -DL_satfractuns -DFROM_UHI -DTO_UTA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUSIQQ_s.o -MT _satfractunsUSIQQ_s.o -MD -MP -MF _satfractunsUSIQQ_s.dep -DSHARED -DL_satfractuns -DFROM_USI -DTO_QQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUSIHQ_s.o -MT _satfractunsUSIHQ_s.o -MD -MP -MF _satfractunsUSIHQ_s.dep -DSHARED -DL_satfractuns -DFROM_USI -DTO_HQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUSISQ_s.o -MT _satfractunsUSISQ_s.o -MD -MP -MF _satfractunsUSISQ_s.dep -DSHARED -DL_satfractuns -DFROM_USI -DTO_SQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUSIDQ_s.o -MT _satfractunsUSIDQ_s.o -MD -MP -MF _satfractunsUSIDQ_s.dep -DSHARED -DL_satfractuns -DFROM_USI -DTO_DQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUSITQ_s.o -MT _satfractunsUSITQ_s.o -MD -MP -MF _satfractunsUSITQ_s.dep -DSHARED -DL_satfractuns -DFROM_USI -DTO_TQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUSIHA_s.o -MT _satfractunsUSIHA_s.o -MD -MP -MF _satfractunsUSIHA_s.dep -DSHARED -DL_satfractuns -DFROM_USI -DTO_HA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUSISA_s.o -MT _satfractunsUSISA_s.o -MD -MP -MF _satfractunsUSISA_s.dep -DSHARED -DL_satfractuns -DFROM_USI -DTO_SA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUSIDA_s.o -MT _satfractunsUSIDA_s.o -MD -MP -MF _satfractunsUSIDA_s.dep -DSHARED -DL_satfractuns -DFROM_USI -DTO_DA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUSITA_s.o -MT _satfractunsUSITA_s.o -MD -MP -MF _satfractunsUSITA_s.dep -DSHARED -DL_satfractuns -DFROM_USI -DTO_TA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUSIUQQ_s.o -MT _satfractunsUSIUQQ_s.o -MD -MP -MF _satfractunsUSIUQQ_s.dep -DSHARED -DL_satfractuns -DFROM_USI -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUSIUHQ_s.o -MT _satfractunsUSIUHQ_s.o -MD -MP -MF _satfractunsUSIUHQ_s.dep -DSHARED -DL_satfractuns -DFROM_USI -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUSIUSQ_s.o -MT _satfractunsUSIUSQ_s.o -MD -MP -MF _satfractunsUSIUSQ_s.dep -DSHARED -DL_satfractuns -DFROM_USI -DTO_USQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUSIUDQ_s.o -MT _satfractunsUSIUDQ_s.o -MD -MP -MF _satfractunsUSIUDQ_s.dep -DSHARED -DL_satfractuns -DFROM_USI -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUSIUTQ_s.o -MT _satfractunsUSIUTQ_s.o -MD -MP -MF _satfractunsUSIUTQ_s.dep -DSHARED -DL_satfractuns -DFROM_USI -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUSIUHA_s.o -MT _satfractunsUSIUHA_s.o -MD -MP -MF _satfractunsUSIUHA_s.dep -DSHARED -DL_satfractuns -DFROM_USI -DTO_UHA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUSIUSA_s.o -MT _satfractunsUSIUSA_s.o -MD -MP -MF _satfractunsUSIUSA_s.dep -DSHARED -DL_satfractuns -DFROM_USI -DTO_USA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUSIUDA_s.o -MT _satfractunsUSIUDA_s.o -MD -MP -MF _satfractunsUSIUDA_s.dep -DSHARED -DL_satfractuns -DFROM_USI -DTO_UDA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUSIUTA_s.o -MT _satfractunsUSIUTA_s.o -MD -MP -MF _satfractunsUSIUTA_s.dep -DSHARED -DL_satfractuns -DFROM_USI -DTO_UTA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUDIQQ_s.o -MT _satfractunsUDIQQ_s.o -MD -MP -MF _satfractunsUDIQQ_s.dep -DSHARED -DL_satfractuns -DFROM_UDI -DTO_QQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUDIHQ_s.o -MT _satfractunsUDIHQ_s.o -MD -MP -MF _satfractunsUDIHQ_s.dep -DSHARED -DL_satfractuns -DFROM_UDI -DTO_HQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUDISQ_s.o -MT _satfractunsUDISQ_s.o -MD -MP -MF _satfractunsUDISQ_s.dep -DSHARED -DL_satfractuns -DFROM_UDI -DTO_SQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUDIDQ_s.o -MT _satfractunsUDIDQ_s.o -MD -MP -MF _satfractunsUDIDQ_s.dep -DSHARED -DL_satfractuns -DFROM_UDI -DTO_DQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUDITQ_s.o -MT _satfractunsUDITQ_s.o -MD -MP -MF _satfractunsUDITQ_s.dep -DSHARED -DL_satfractuns -DFROM_UDI -DTO_TQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUDIHA_s.o -MT _satfractunsUDIHA_s.o -MD -MP -MF _satfractunsUDIHA_s.dep -DSHARED -DL_satfractuns -DFROM_UDI -DTO_HA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUDISA_s.o -MT _satfractunsUDISA_s.o -MD -MP -MF _satfractunsUDISA_s.dep -DSHARED -DL_satfractuns -DFROM_UDI -DTO_SA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUDIDA_s.o -MT _satfractunsUDIDA_s.o -MD -MP -MF _satfractunsUDIDA_s.dep -DSHARED -DL_satfractuns -DFROM_UDI -DTO_DA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUDITA_s.o -MT _satfractunsUDITA_s.o -MD -MP -MF _satfractunsUDITA_s.dep -DSHARED -DL_satfractuns -DFROM_UDI -DTO_TA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUDIUQQ_s.o -MT _satfractunsUDIUQQ_s.o -MD -MP -MF _satfractunsUDIUQQ_s.dep -DSHARED -DL_satfractuns -DFROM_UDI -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUDIUHQ_s.o -MT _satfractunsUDIUHQ_s.o -MD -MP -MF _satfractunsUDIUHQ_s.dep -DSHARED -DL_satfractuns -DFROM_UDI -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUDIUSQ_s.o -MT _satfractunsUDIUSQ_s.o -MD -MP -MF _satfractunsUDIUSQ_s.dep -DSHARED -DL_satfractuns -DFROM_UDI -DTO_USQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUDIUDQ_s.o -MT _satfractunsUDIUDQ_s.o -MD -MP -MF _satfractunsUDIUDQ_s.dep -DSHARED -DL_satfractuns -DFROM_UDI -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUDIUTQ_s.o -MT _satfractunsUDIUTQ_s.o -MD -MP -MF _satfractunsUDIUTQ_s.dep -DSHARED -DL_satfractuns -DFROM_UDI -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUDIUHA_s.o -MT _satfractunsUDIUHA_s.o -MD -MP -MF _satfractunsUDIUHA_s.dep -DSHARED -DL_satfractuns -DFROM_UDI -DTO_UHA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUDIUSA_s.o -MT _satfractunsUDIUSA_s.o -MD -MP -MF _satfractunsUDIUSA_s.dep -DSHARED -DL_satfractuns -DFROM_UDI -DTO_USA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUDIUDA_s.o -MT _satfractunsUDIUDA_s.o -MD -MP -MF _satfractunsUDIUDA_s.dep -DSHARED -DL_satfractuns -DFROM_UDI -DTO_UDA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUDIUTA_s.o -MT _satfractunsUDIUTA_s.o -MD -MP -MF _satfractunsUDIUTA_s.dep -DSHARED -DL_satfractuns -DFROM_UDI -DTO_UTA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUTIQQ_s.o -MT _satfractunsUTIQQ_s.o -MD -MP -MF _satfractunsUTIQQ_s.dep -DSHARED -DL_satfractuns -DFROM_UTI -DTO_QQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUTIHQ_s.o -MT _satfractunsUTIHQ_s.o -MD -MP -MF _satfractunsUTIHQ_s.dep -DSHARED -DL_satfractuns -DFROM_UTI -DTO_HQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUTISQ_s.o -MT _satfractunsUTISQ_s.o -MD -MP -MF _satfractunsUTISQ_s.dep -DSHARED -DL_satfractuns -DFROM_UTI -DTO_SQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUTIDQ_s.o -MT _satfractunsUTIDQ_s.o -MD -MP -MF _satfractunsUTIDQ_s.dep -DSHARED -DL_satfractuns -DFROM_UTI -DTO_DQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUTITQ_s.o -MT _satfractunsUTITQ_s.o -MD -MP -MF _satfractunsUTITQ_s.dep -DSHARED -DL_satfractuns -DFROM_UTI -DTO_TQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUTIHA_s.o -MT _satfractunsUTIHA_s.o -MD -MP -MF _satfractunsUTIHA_s.dep -DSHARED -DL_satfractuns -DFROM_UTI -DTO_HA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUTISA_s.o -MT _satfractunsUTISA_s.o -MD -MP -MF _satfractunsUTISA_s.dep -DSHARED -DL_satfractuns -DFROM_UTI -DTO_SA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUTIDA_s.o -MT _satfractunsUTIDA_s.o -MD -MP -MF _satfractunsUTIDA_s.dep -DSHARED -DL_satfractuns -DFROM_UTI -DTO_DA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUTITA_s.o -MT _satfractunsUTITA_s.o -MD -MP -MF _satfractunsUTITA_s.dep -DSHARED -DL_satfractuns -DFROM_UTI -DTO_TA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUTIUQQ_s.o -MT _satfractunsUTIUQQ_s.o -MD -MP -MF _satfractunsUTIUQQ_s.dep -DSHARED -DL_satfractuns -DFROM_UTI -DTO_UQQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUTIUHQ_s.o -MT _satfractunsUTIUHQ_s.o -MD -MP -MF _satfractunsUTIUHQ_s.dep -DSHARED -DL_satfractuns -DFROM_UTI -DTO_UHQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUTIUSQ_s.o -MT _satfractunsUTIUSQ_s.o -MD -MP -MF _satfractunsUTIUSQ_s.dep -DSHARED -DL_satfractuns -DFROM_UTI -DTO_USQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUTIUDQ_s.o -MT _satfractunsUTIUDQ_s.o -MD -MP -MF _satfractunsUTIUDQ_s.dep -DSHARED -DL_satfractuns -DFROM_UTI -DTO_UDQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUTIUTQ_s.o -MT _satfractunsUTIUTQ_s.o -MD -MP -MF _satfractunsUTIUTQ_s.dep -DSHARED -DL_satfractuns -DFROM_UTI -DTO_UTQ -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUTIUHA_s.o -MT _satfractunsUTIUHA_s.o -MD -MP -MF _satfractunsUTIUHA_s.dep -DSHARED -DL_satfractuns -DFROM_UTI -DTO_UHA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUTIUSA_s.o -MT _satfractunsUTIUSA_s.o -MD -MP -MF _satfractunsUTIUSA_s.dep -DSHARED -DL_satfractuns -DFROM_UTI -DTO_USA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUTIUDA_s.o -MT _satfractunsUTIUDA_s.o -MD -MP -MF _satfractunsUTIUDA_s.dep -DSHARED -DL_satfractuns -DFROM_UTI -DTO_UDA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _satfractunsUTIUTA_s.o -MT _satfractunsUTIUTA_s.o -MD -MP -MF _satfractunsUTIUTA_s.dep -DSHARED -DL_satfractuns -DFROM_UTI -DTO_UTA -c ../../../src/libgcc/fixed-bit.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o bpabi_s.o -MT bpabi_s.o -MD -MP -MF bpabi_s.dep -DSHARED  -c ../../../src/libgcc/config/arm/bpabi.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o unaligned-funcs_s.o -MT unaligned-funcs_s.o -MD -MP -MF unaligned-funcs_s.dep -DSHARED  -c ../../../src/libgcc/config/arm/unaligned-funcs.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS  -Wno-missing-prototypes -Wno-type-limits  -o addsf3_s.o -MT addsf3_s.o -MD -MP -MF addsf3_s.dep -DSHARED  -c addsf3.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS  -Wno-missing-prototypes -Wno-type-limits  -o divsf3_s.o -MT divsf3_s.o -MD -MP -MF divsf3_s.dep -DSHARED  -c divsf3.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS  -Wno-missing-prototypes -Wno-type-limits  -o eqsf2_s.o -MT eqsf2_s.o -MD -MP -MF eqsf2_s.dep -DSHARED  -c eqsf2.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS  -Wno-missing-prototypes -Wno-type-limits  -o gesf2_s.o -MT gesf2_s.o -MD -MP -MF gesf2_s.dep -DSHARED  -c gesf2.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS  -Wno-missing-prototypes -Wno-type-limits  -o lesf2_s.o -MT lesf2_s.o -MD -MP -MF lesf2_s.dep -DSHARED  -c lesf2.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS  -Wno-missing-prototypes -Wno-type-limits  -o mulsf3_s.o -MT mulsf3_s.o -MD -MP -MF mulsf3_s.dep -DSHARED  -c mulsf3.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS  -Wno-missing-prototypes -Wno-type-limits  -o negsf2_s.o -MT negsf2_s.o -MD -MP -MF negsf2_s.dep -DSHARED  -c negsf2.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS  -Wno-missing-prototypes -Wno-type-limits  -o subsf3_s.o -MT subsf3_s.o -MD -MP -MF subsf3_s.dep -DSHARED  -c subsf3.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS  -Wno-missing-prototypes -Wno-type-limits  -o unordsf2_s.o -MT unordsf2_s.o -MD -MP -MF unordsf2_s.dep -DSHARED  -c unordsf2.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS  -Wno-missing-prototypes -Wno-type-limits  -o fixsfsi_s.o -MT fixsfsi_s.o -MD -MP -MF fixsfsi_s.dep -DSHARED  -c fixsfsi.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS  -Wno-missing-prototypes -Wno-type-limits  -o floatsisf_s.o -MT floatsisf_s.o -MD -MP -MF floatsisf_s.dep -DSHARED  -c floatsisf.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS  -Wno-missing-prototypes -Wno-type-limits  -o floatunsisf_s.o -MT floatunsisf_s.o -MD -MP -MF floatunsisf_s.dep -DSHARED  -c floatunsisf.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS  -Wno-missing-prototypes -Wno-type-limits  -o adddf3_s.o -MT adddf3_s.o -MD -MP -MF adddf3_s.dep -DSHARED  -c adddf3.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS  -Wno-missing-prototypes -Wno-type-limits  -o divdf3_s.o -MT divdf3_s.o -MD -MP -MF divdf3_s.dep -DSHARED  -c divdf3.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS  -Wno-missing-prototypes -Wno-type-limits  -o eqdf2_s.o -MT eqdf2_s.o -MD -MP -MF eqdf2_s.dep -DSHARED  -c eqdf2.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS  -Wno-missing-prototypes -Wno-type-limits  -o gedf2_s.o -MT gedf2_s.o -MD -MP -MF gedf2_s.dep -DSHARED  -c gedf2.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS  -Wno-missing-prototypes -Wno-type-limits  -o ledf2_s.o -MT ledf2_s.o -MD -MP -MF ledf2_s.dep -DSHARED  -c ledf2.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS  -Wno-missing-prototypes -Wno-type-limits  -o muldf3_s.o -MT muldf3_s.o -MD -MP -MF muldf3_s.dep -DSHARED  -c muldf3.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS  -Wno-missing-prototypes -Wno-type-limits  -o negdf2_s.o -MT negdf2_s.o -MD -MP -MF negdf2_s.dep -DSHARED  -c negdf2.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS  -Wno-missing-prototypes -Wno-type-limits  -o subdf3_s.o -MT subdf3_s.o -MD -MP -MF subdf3_s.dep -DSHARED  -c subdf3.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS  -Wno-missing-prototypes -Wno-type-limits  -o unorddf2_s.o -MT unorddf2_s.o -MD -MP -MF unorddf2_s.dep -DSHARED  -c unorddf2.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS  -Wno-missing-prototypes -Wno-type-limits  -o fixdfsi_s.o -MT fixdfsi_s.o -MD -MP -MF fixdfsi_s.dep -DSHARED  -c fixdfsi.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS  -Wno-missing-prototypes -Wno-type-limits  -o floatsidf_s.o -MT floatsidf_s.o -MD -MP -MF floatsidf_s.dep -DSHARED  -c floatsidf.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS  -Wno-missing-prototypes -Wno-type-limits  -o floatunsidf_s.o -MT floatunsidf_s.o -MD -MP -MF floatunsidf_s.dep -DSHARED  -c floatunsidf.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS  -Wno-missing-prototypes -Wno-type-limits  -o extendsfdf2_s.o -MT extendsfdf2_s.o -MD -MP -MF extendsfdf2_s.dep -DSHARED  -c extendsfdf2.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS  -Wno-missing-prototypes -Wno-type-limits  -o truncdfsf2_s.o -MT truncdfsf2_s.o -MD -MP -MF truncdfsf2_s.dep -DSHARED  -c truncdfsf2.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o enable-execute-stack_s.o -MT enable-execute-stack_s.o -MD -MP -MF enable-execute-stack_s.dep -DSHARED  -c enable-execute-stack.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o unwind-arm_s.o -MT unwind-arm_s.o -MD -MP -MF unwind-arm_s.dep -DSHARED -fexceptions -c ../../../src/libgcc/config/arm/unwind-arm.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o pr-support_s.o -MT pr-support_s.o -MD -MP -MF pr-support_s.dep -DSHARED -fexceptions -c ../../../src/libgcc/config/arm/pr-support.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o unwind-c_s.o -MT unwind-c_s.o -MD -MP -MF unwind-c_s.dep -DSHARED -fexceptions -c ../../../src/libgcc/unwind-c.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o emutls_s.o -MT emutls_s.o -MD -MP -MF emutls_s.dep -DSHARED -fexceptions -c ../../../src/libgcc/emutls.c
In file included from ../../../src/libgcc/config/arm/unwind-arm.c:144:
../../../src/libgcc/unwind-arm-common.inc: In function 'get_eit_entry':
../../../src/libgcc/unwind-arm-common.inc:374:29: warning: cast discards 'const' qualifier from pointer target type [-Wcast-qual]
  374 |       ucbp->pr_cache.ehtp = (_Unwind_EHT_Header *)&eitp->content;
      |                             ^
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2 -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include   -g0  -finhibit-size-directive -fno-inline -fno-exceptions -fno-zero-initialized-in-bss -fno-toplevel-reorder -fno-tree-vectorize -fbuilding-libgcc -fno-stack-protector    -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -o crtbegin.o -MT crtbegin.o -MD -MP -MF crtbegin.dep  -c ../../../src/libgcc/crtstuff.c -DCRT_BEGIN
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2 -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include   -g0  -finhibit-size-directive -fno-inline -fno-exceptions -fno-zero-initialized-in-bss -fno-toplevel-reorder -fno-tree-vectorize -fbuilding-libgcc -fno-stack-protector    -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -o crtbeginS.o -MT crtbeginS.o -MD -MP -MF crtbeginS.dep  -fPIC -c ../../../src/libgcc/crtstuff.c -DCRT_BEGIN -DCRTSTUFFS_O
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2 -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include   -g0  -finhibit-size-directive -fno-inline -fno-exceptions -fno-zero-initialized-in-bss -fno-toplevel-reorder -fno-tree-vectorize -fbuilding-libgcc -fno-stack-protector    -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -o crtbeginT.o -MT crtbeginT.o -MD -MP -MF crtbeginT.dep  -c ../../../src/libgcc/crtstuff.c -DCRT_BEGIN -DCRTSTUFFT_O
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2 -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include   -g0  -finhibit-size-directive -fno-inline -fno-exceptions -fno-zero-initialized-in-bss -fno-toplevel-reorder -fno-tree-vectorize -fbuilding-libgcc -fno-stack-protector    -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -o crtend.o -MT crtend.o -MD -MP -MF crtend.dep  -c ../../../src/libgcc/crtstuff.c -DCRT_END
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2 -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include   -g0  -finhibit-size-directive -fno-inline -fno-exceptions -fno-zero-initialized-in-bss -fno-toplevel-reorder -fno-tree-vectorize -fbuilding-libgcc -fno-stack-protector    -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -o crtendS.o -MT crtendS.o -MD -MP -MF crtendS.dep  -fPIC -c ../../../src/libgcc/crtstuff.c -DCRT_END -DCRTSTUFFS_O
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o crtfastmath.o -MT crtfastmath.o -MD -MP -MF crtfastmath.dep -c ../../../src/libgcc/config/arm/crtfastmath.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/nm -pg _thumb1_case_sqi_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > _thumb1_case_sqi.visT
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/nm -pg _thumb1_case_uqi_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > _thumb1_case_uqi.visT
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/nm -pg _thumb1_case_shi_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > _thumb1_case_shi.visT
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/nm -pg _thumb1_case_uhi_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > _thumb1_case_uhi.visT
mv -f _thumb1_case_uqi.visT _thumb1_case_uqi.vis
mv -f _thumb1_case_uhi.visT _thumb1_case_uhi.vis
mv -f _thumb1_case_sqi.visT _thumb1_case_sqi.vis
mv -f _thumb1_case_shi.visT _thumb1_case_shi.vis
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/nm -pg _thumb1_case_si_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > _thumb1_case_si.visT
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/nm -pg _speculation_barrier_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > _speculation_barrier.visT
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/nm -pg _arm_muldf3_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > _arm_muldf3.visT
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/nm -pg _arm_mulsf3_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > _arm_mulsf3.visT
mv -f _thumb1_case_si.visT _thumb1_case_si.vis
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/nm -pg _udivsi3_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > _udivsi3.visT
mv -f _arm_muldf3.visT _arm_muldf3.vis
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/nm -pg _divsi3_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > _divsi3.visT
mv -f _speculation_barrier.visT _speculation_barrier.vis
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/nm -pg _umodsi3_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > _umodsi3.visT
mv -f _arm_mulsf3.visT _arm_mulsf3.vis
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/nm -pg _modsi3_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > _modsi3.visT
mv -f _udivsi3.visT _udivsi3.vis
mv -f _divsi3.visT _divsi3.vis
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/nm -pg _bb_init_func_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > _bb_init_func.visT
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/nm -pg _call_via_rX_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > _call_via_rX.visT
mv -f _modsi3.visT _modsi3.vis
mv -f _umodsi3.visT _umodsi3.vis
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/nm -pg _interwork_call_via_rX_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > _interwork_call_via_rX.visT
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/nm -pg _lshrdi3_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > _lshrdi3.visT
mv -f _interwork_call_via_rX.visT _interwork_call_via_rX.vis
mv -f _lshrdi3.visT _lshrdi3.vis
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/nm -pg _ashrdi3_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > _ashrdi3.visT
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/nm -pg _ashldi3_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > _ashldi3.visT
mv -f _call_via_rX.visT _call_via_rX.vis
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/nm -pg _arm_negdf2_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > _arm_negdf2.visT
mv -f _bb_init_func.visT _bb_init_func.vis
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/nm -pg _arm_addsubdf3_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > _arm_addsubdf3.visT
mv -f _ashrdi3.visT _ashrdi3.vis
mv -f _ashldi3.visT _ashldi3.vis
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/nm -pg _arm_muldivdf3_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > _arm_muldivdf3.visT
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/nm -pg _arm_cmpdf2_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > _arm_cmpdf2.visT
mv -f _arm_negdf2.visT _arm_negdf2.vis
mv -f _arm_addsubdf3.visT _arm_addsubdf3.vis
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/nm -pg _arm_unorddf2_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > _arm_unorddf2.visT
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/nm -pg _arm_fixdfsi_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > _arm_fixdfsi.visT
mv -f _arm_muldivdf3.visT _arm_muldivdf3.vis
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/nm -pg _arm_fixunsdfsi_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > _arm_fixunsdfsi.visT
mv -f _arm_cmpdf2.visT _arm_cmpdf2.vis
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/nm -pg _arm_truncdfsf2_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > _arm_truncdfsf2.visT
mv -f _arm_unorddf2.visT _arm_unorddf2.vis
mv -f _arm_fixdfsi.visT _arm_fixdfsi.vis
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/nm -pg _arm_negsf2_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > _arm_negsf2.visT
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/nm -pg _arm_addsubsf3_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > _arm_addsubsf3.visT
mv -f _arm_fixunsdfsi.visT _arm_fixunsdfsi.vis
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/nm -pg _arm_muldivsf3_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > _arm_muldivsf3.visT
mv -f _arm_truncdfsf2.visT _arm_truncdfsf2.vis
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/nm -pg _arm_cmpsf2_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > _arm_cmpsf2.visT
mv -f _arm_negsf2.visT _arm_negsf2.vis
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/nm -pg _arm_unordsf2_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > _arm_unordsf2.visT
mv -f _arm_addsubsf3.visT _arm_addsubsf3.vis
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/nm -pg _arm_fixsfsi_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > _arm_fixsfsi.visT
mv -f _arm_muldivsf3.visT _arm_muldivsf3.vis
mv -f _arm_fixsfsi.visT _arm_fixsfsi.vis
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/nm -pg _arm_fixunssfsi_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > _arm_fixunssfsi.visT
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/nm -pg _arm_floatdidf_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > _arm_floatdidf.visT
mv -f _arm_cmpsf2.visT _arm_cmpsf2.vis
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/nm -pg _arm_floatdisf_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > _arm_floatdisf.visT
mv -f _arm_unordsf2.visT _arm_unordsf2.vis
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/nm -pg _arm_floatundidf_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > _arm_floatundidf.visT
mv -f _arm_fixunssfsi.visT _arm_fixunssfsi.vis
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/nm -pg _arm_floatundisf_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > _arm_floatundisf.visT
mv -f _arm_floatdisf.visT _arm_floatdisf.vis
mv -f _arm_floatdidf.visT _arm_floatdidf.vis
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/nm -pg _clzsi2_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > _clzsi2.visT
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/nm -pg _clzdi2_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > _clzdi2.visT
mv -f _arm_floatundidf.visT _arm_floatundidf.vis
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/nm -pg _ctzsi2_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > _ctzsi2.visT
mv -f _arm_floatundisf.visT _arm_floatundisf.vis
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/nm -pg _aeabi_lcmp_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > _aeabi_lcmp.visT
mv -f _clzsi2.visT _clzsi2.vis
mv -f _clzdi2.visT _clzdi2.vis
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/nm -pg _aeabi_ulcmp_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > _aeabi_ulcmp.visT
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/nm -pg _aeabi_ldivmod_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > _aeabi_ldivmod.visT
mv -f _ctzsi2.visT _ctzsi2.vis
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/nm -pg _aeabi_uldivmod_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > _aeabi_uldivmod.visT
mv -f _aeabi_lcmp.visT _aeabi_lcmp.vis
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/nm -pg _dvmd_lnx_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > _dvmd_lnx.visT
mv -f _aeabi_ulcmp.visT _aeabi_ulcmp.vis
mv -f _aeabi_ldivmod.visT _aeabi_ldivmod.vis
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/nm -pg _clear_cache_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > _clear_cache.visT
rm -f libgcov.a
objects="_gcov_merge_add.o _gcov_merge_topn.o _gcov_merge_ior.o _gcov_merge_time_profile.o _gcov_interval_profiler.o _gcov_interval_profiler_atomic.o _gcov_pow2_profiler.o _gcov_pow2_profiler_atomic.o _gcov_topn_values_profiler.o _gcov_topn_values_profiler_atomic.o _gcov_average_profiler.o _gcov_average_profiler_atomic.o _gcov_ior_profiler.o _gcov_ior_profiler_atomic.o _gcov_indirect_call_profiler_v4.o _gcov_time_profiler.o _gcov_dump.o _gcov_fork.o _gcov_execl.o _gcov_execlp.o _gcov_execle.o _gcov_execv.o _gcov_execvp.o _gcov_execve.o _gcov_reset.o _gcov_lock_unlock.o _gcov.o _gcov_info_to_gcda.o";					\
if test -z "$objects"; then				\
  echo 'int __libgcc_eh_dummy;' > eh_dummy.c;		\
  /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -fvisibility=hidden -DHIDE_EXPORTS -c eh_dummy.c		\
     -o eh_dummy.o;				\
  objects=eh_dummy.o;				\
fi;							\
arm-linux-gnueabihf-ar  rc libgcov.a $objects
mv -f _aeabi_uldivmod.visT _aeabi_uldivmod.vis
mv -f _dvmd_lnx.visT _dvmd_lnx.vis
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/nm -pg libunwind_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > libunwind.visT
mv -f _clear_cache.visT _clear_cache.vis
# Early copyback; see "all" above for the rationale.  The
# early copy is necessary so that the gcc -B options find
{ /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/nm -pg _thumb1_case_sqi_s.o _thumb1_case_uqi_s.o _thumb1_case_shi_s.o _thumb1_case_uhi_s.o _thumb1_case_si_s.o _speculation_barrier_s.o _arm_muldf3_s.o _arm_mulsf3_s.o _udivsi3_s.o _divsi3_s.o _umodsi3_s.o _modsi3_s.o _bb_init_func_s.o _call_via_rX_s.o _interwork_call_via_rX_s.o _lshrdi3_s.o _ashrdi3_s.o _ashldi3_s.o _arm_negdf2_s.o _arm_addsubdf3_s.o _arm_muldivdf3_s.o _arm_cmpdf2_s.o _arm_unorddf2_s.o _arm_fixdfsi_s.o _arm_fixunsdfsi_s.o _arm_truncdfsf2_s.o _arm_negsf2_s.o _arm_addsubsf3_s.o _arm_muldivsf3_s.o _arm_cmpsf2_s.o _arm_unordsf2_s.o _arm_fixsfsi_s.o _arm_fixunssfsi_s.o _arm_floatdidf_s.o _arm_floatdisf_s.o _arm_floatundidf_s.o _arm_floatundisf_s.o _clzsi2_s.o _clzdi2_s.o _ctzsi2_s.o _aeabi_lcmp_s.o _aeabi_ulcmp_s.o _aeabi_ldivmod_s.o _aeabi_uldivmod_s.o _dvmd_lnx_s.o _clear_cache_s.o _muldi3_s.o _negdi2_s.o _cmpdi2_s.o _ucmpdi2_s.o _trampoline_s.o __main_s.o _absvsi2_s.o _absvdi2_s.o _addvsi3_s.o _addvdi3_s.o _subvsi3_s.o _subvdi3_s.o _mulvsi3_s.o _mulvdi3_s.o _negvsi2_s.o _negvdi2_s.o _ctors_s.o _ffssi2_s.o _ffsdi2_s.o _clz_s.o _ctzdi2_s.o _popcount_tab_s.o _popcountsi2_s.o _popcountdi2_s.o _paritysi2_s.o _paritydi2_s.o _powisf2_s.o _powidf2_s.o _powixf2_s.o _powitf2_s.o _mulhc3_s.o _mulsc3_s.o _muldc3_s.o _mulxc3_s.o _multc3_s.o _divhc3_s.o _divsc3_s.o _divdc3_s.o _divxc3_s.o _divtc3_s.o _bswapsi2_s.o _bswapdi2_s.o _clrsbsi2_s.o _clrsbdi2_s.o _fixunssfsi_s.o _fixunsdfsi_s.o _fixunsxfsi_s.o _fixsfdi_s.o _fixdfdi_s.o _fixxfdi_s.o _fixtfdi_s.o _fixunssfdi_s.o _fixunsdfdi_s.o _fixunsxfdi_s.o _fixunstfdi_s.o _floatdisf_s.o _floatdidf_s.o _floatdixf_s.o _floatditf_s.o _floatundisf_s.o _floatundidf_s.o _floatundixf_s.o _floatunditf_s.o _divdi3_s.o _moddi3_s.o _divmoddi4_s.o _udivdi3_s.o _umoddi3_s.o _udivmoddi4_s.o _udiv_w_sdiv_s.o _addQQ_s.o _addHQ_s.o _addSQ_s.o _addDQ_s.o _addTQ_s.o _addHA_s.o _addSA_s.o _addDA_s.o _addTA_s.o _addUQQ_s.o _addUHQ_s.o _addUSQ_s.o _addUDQ_s.o _addUTQ_s.o _addUHA_s.o _addUSA_s.o _addUDA_s.o _addUTA_s.o _subQQ_s.o _subHQ_s.o _subSQ_s.o _subDQ_s.o _subTQ_s.o _subHA_s.o _subSA_s.o _subDA_s.o _subTA_s.o _subUQQ_s.o _subUHQ_s.o _subUSQ_s.o _subUDQ_s.o _subUTQ_s.o _subUHA_s.o _subUSA_s.o _subUDA_s.o _subUTA_s.o _negQQ_s.o _negHQ_s.o _negSQ_s.o _negDQ_s.o _negTQ_s.o _negHA_s.o _negSA_s.o _negDA_s.o _negTA_s.o _negUQQ_s.o _negUHQ_s.o _negUSQ_s.o _negUDQ_s.o _negUTQ_s.o _negUHA_s.o _negUSA_s.o _negUDA_s.o _negUTA_s.o _mulQQ_s.o _mulHQ_s.o _mulSQ_s.o _mulDQ_s.o _mulTQ_s.o _mulHA_s.o _mulSA_s.o _mulDA_s.o _mulTA_s.o _mulUQQ_s.o _mulUHQ_s.o _mulUSQ_s.o _mulUDQ_s.o _mulUTQ_s.o _mulUHA_s.o _mulUSA_s.o _mulUDA_s.o _mulUTA_s.o _mulhelperQQ_s.o _mulhelperHQ_s.o _mulhelperSQ_s.o _mulhelperDQ_s.o _mulhelperTQ_s.o _mulhelperHA_s.o _mulhelperSA_s.o _mulhelperDA_s.o _mulhelperTA_s.o _mulhelperUQQ_s.o _mulhelperUHQ_s.o _mulhelperUSQ_s.o _mulhelperUDQ_s.o _mulhelperUTQ_s.o _mulhelperUHA_s.o _mulhelperUSA_s.o _mulhelperUDA_s.o _mulhelperUTA_s.o _divhelperQQ_s.o _divhelperHQ_s.o _divhelperSQ_s.o _divhelperDQ_s.o _divhelperTQ_s.o _divhelperHA_s.o _divhelperSA_s.o _divhelperDA_s.o _divhelperTA_s.o _divhelperUQQ_s.o _divhelperUHQ_s.o _divhelperUSQ_s.o _divhelperUDQ_s.o _divhelperUTQ_s.o _divhelperUHA_s.o _divhelperUSA_s.o _divhelperUDA_s.o _divhelperUTA_s.o _ashlQQ_s.o _ashlHQ_s.o _ashlSQ_s.o _ashlDQ_s.o _ashlTQ_s.o _ashlHA_s.o _ashlSA_s.o _ashlDA_s.o _ashlTA_s.o _ashlUQQ_s.o _ashlUHQ_s.o _ashlUSQ_s.o _ashlUDQ_s.o _ashlUTQ_s.o _ashlUHA_s.o _ashlUSA_s.o _ashlUDA_s.o _ashlUTA_s.o _ashlhelperQQ_s.o _ashlhelperHQ_s.o _ashlhelperSQ_s.o _ashlhelperDQ_s.o _ashlhelperTQ_s.o _ashlhelperHA_s.o _ashlhelperSA_s.o _ashlhelperDA_s.o _ashlhelperTA_s.o _ashlhelperUQQ_s.o _ashlhelperUHQ_s.o _ashlhelperUSQ_s.o _ashlhelperUDQ_s.o _ashlhelperUTQ_s.o _ashlhelperUHA_s.o _ashlhelperUSA_s.o _ashlhelperUDA_s.o _ashlhelperUTA_s.o _cmpQQ_s.o _cmpHQ_s.o _cmpSQ_s.o _cmpDQ_s.o _cmpTQ_s.o _cmpHA_s.o _cmpSA_s.o _cmpDA_s.o _cmpTA_s.o _cmpUQQ_s.o _cmpUHQ_s.o _cmpUSQ_s.o _cmpUDQ_s.o _cmpUTQ_s.o _cmpUHA_s.o _cmpUSA_s.o _cmpUDA_s.o _cmpUTA_s.o _saturate1QQ_s.o _saturate1HQ_s.o _saturate1SQ_s.o _saturate1DQ_s.o _saturate1TQ_s.o _saturate1HA_s.o _saturate1SA_s.o _saturate1DA_s.o _saturate1TA_s.o _saturate1UQQ_s.o _saturate1UHQ_s.o _saturate1USQ_s.o _saturate1UDQ_s.o _saturate1UTQ_s.o _saturate1UHA_s.o _saturate1USA_s.o _saturate1UDA_s.o _saturate1UTA_s.o _saturate2QQ_s.o _saturate2HQ_s.o _saturate2SQ_s.o _saturate2DQ_s.o _saturate2TQ_s.o _saturate2HA_s.o _saturate2SA_s.o _saturate2DA_s.o _saturate2TA_s.o _saturate2UQQ_s.o _saturate2UHQ_s.o _saturate2USQ_s.o _saturate2UDQ_s.o _saturate2UTQ_s.o _saturate2UHA_s.o _saturate2USA_s.o _saturate2UDA_s.o _saturate2UTA_s.o _ssaddQQ_s.o _ssaddHQ_s.o _ssaddSQ_s.o _ssaddDQ_s.o _ssaddTQ_s.o _ssaddHA_s.o _ssaddSA_s.o _ssaddDA_s.o _ssaddTA_s.o _sssubQQ_s.o _sssubHQ_s.o _sssubSQ_s.o _sssubDQ_s.o _sssubTQ_s.o _sssubHA_s.o _sssubSA_s.o _sssubDA_s.o _sssubTA_s.o _ssnegQQ_s.o _ssnegHQ_s.o _ssnegSQ_s.o _ssnegDQ_s.o _ssnegTQ_s.o _ssnegHA_s.o _ssnegSA_s.o _ssnegDA_s.o _ssnegTA_s.o _ssmulQQ_s.o _ssmulHQ_s.o _ssmulSQ_s.o _ssmulDQ_s.o _ssmulTQ_s.o _ssmulHA_s.o _ssmulSA_s.o _ssmulDA_s.o _ssmulTA_s.o _ssdivQQ_s.o _ssdivHQ_s.o _ssdivSQ_s.o _ssdivDQ_s.o _ssdivTQ_s.o _ssdivHA_s.o _ssdivSA_s.o _ssdivDA_s.o _ssdivTA_s.o _divQQ_s.o _divHQ_s.o _divSQ_s.o _divDQ_s.o _divTQ_s.o _divHA_s.o _divSA_s.o _divDA_s.o _divTA_s.o _ssashlQQ_s.o _ssashlHQ_s.o _ssashlSQ_s.o _ssashlDQ_s.o _ssashlTQ_s.o _ssashlHA_s.o _ssashlSA_s.o _ssashlDA_s.o _ssashlTA_s.o _ashrQQ_s.o _ashrHQ_s.o _ashrSQ_s.o _ashrDQ_s.o _ashrTQ_s.o _ashrHA_s.o _ashrSA_s.o _ashrDA_s.o _ashrTA_s.o _usaddUQQ_s.o _usaddUHQ_s.o _usaddUSQ_s.o _usaddUDQ_s.o _usaddUTQ_s.o _usaddUHA_s.o _usaddUSA_s.o _usaddUDA_s.o _usaddUTA_s.o _ussubUQQ_s.o _ussubUHQ_s.o _ussubUSQ_s.o _ussubUDQ_s.o _ussubUTQ_s.o _ussubUHA_s.o _ussubUSA_s.o _ussubUDA_s.o _ussubUTA_s.o _usnegUQQ_s.o _usnegUHQ_s.o _usnegUSQ_s.o _usnegUDQ_s.o _usnegUTQ_s.o _usnegUHA_s.o _usnegUSA_s.o _usnegUDA_s.o _usnegUTA_s.o _usmulUQQ_s.o _usmulUHQ_s.o _usmulUSQ_s.o _usmulUDQ_s.o _usmulUTQ_s.o _usmulUHA_s.o _usmulUSA_s.o _usmulUDA_s.o _usmulUTA_s.o _usdivUQQ_s.o _usdivUHQ_s.o _usdivUSQ_s.o _usdivUDQ_s.o _usdivUTQ_s.o _usdivUHA_s.o _usdivUSA_s.o _usdivUDA_s.o _usdivUTA_s.o _udivUQQ_s.o _udivUHQ_s.o _udivUSQ_s.o _udivUDQ_s.o _udivUTQ_s.o _udivUHA_s.o _udivUSA_s.o _udivUDA_s.o _udivUTA_s.o _usashlUQQ_s.o _usashlUHQ_s.o _usashlUSQ_s.o _usashlUDQ_s.o _usashlUTQ_s.o _usashlUHA_s.o _usashlUSA_s.o _usashlUDA_s.o _usashlUTA_s.o _lshrUQQ_s.o _lshrUHQ_s.o _lshrUSQ_s.o _lshrUDQ_s.o _lshrUTQ_s.o _lshrUHA_s.o _lshrUSA_s.o _lshrUDA_s.o _lshrUTA_s.o _fractQQHQ_s.o _fractQQSQ_s.o _fractQQDQ_s.o _fractQQTQ_s.o _fractQQHA_s.o _fractQQSA_s.o _fractQQDA_s.o _fractQQTA_s.o _fractQQUQQ_s.o _fractQQUHQ_s.o _fractQQUSQ_s.o _fractQQUDQ_s.o _fractQQUTQ_s.o _fractQQUHA_s.o _fractQQUSA_s.o _fractQQUDA_s.o _fractQQUTA_s.o _fractQQQI_s.o _fractQQHI_s.o _fractQQSI_s.o _fractQQDI_s.o _fractQQTI_s.o _fractQQSF_s.o _fractQQDF_s.o _fractHQQQ_s.o _fractHQSQ_s.o _fractHQDQ_s.o _fractHQTQ_s.o _fractHQHA_s.o _fractHQSA_s.o _fractHQDA_s.o _fractHQTA_s.o _fractHQUQQ_s.o _fractHQUHQ_s.o _fractHQUSQ_s.o _fractHQUDQ_s.o _fractHQUTQ_s.o _fractHQUHA_s.o _fractHQUSA_s.o _fractHQUDA_s.o _fractHQUTA_s.o _fractHQQI_s.o _fractHQHI_s.o _fractHQSI_s.o _fractHQDI_s.o _fractHQTI_s.o _fractHQSF_s.o _fractHQDF_s.o _fractSQQQ_s.o _fractSQHQ_s.o _fractSQDQ_s.o _fractSQTQ_s.o _fractSQHA_s.o _fractSQSA_s.o _fractSQDA_s.o _fractSQTA_s.o _fractSQUQQ_s.o _fractSQUHQ_s.o _fractSQUSQ_s.o _fractSQUDQ_s.o _fractSQUTQ_s.o _fractSQUHA_s.o _fractSQUSA_s.o _fractSQUDA_s.o _fractSQUTA_s.o _fractSQQI_s.o _fractSQHI_s.o _fractSQSI_s.o _fractSQDI_s.o _fractSQTI_s.o _fractSQSF_s.o _fractSQDF_s.o _fractDQQQ_s.o _fractDQHQ_s.o _fractDQSQ_s.o _fractDQTQ_s.o _fractDQHA_s.o _fractDQSA_s.o _fractDQDA_s.o _fractDQTA_s.o _fractDQUQQ_s.o _fractDQUHQ_s.o _fractDQUSQ_s.o _fractDQUDQ_s.o _fractDQUTQ_s.o _fractDQUHA_s.o _fractDQUSA_s.o _fractDQUDA_s.o _fractDQUTA_s.o _fractDQQI_s.o _fractDQHI_s.o _fractDQSI_s.o _fractDQDI_s.o _fractDQTI_s.o _fractDQSF_s.o _fractDQDF_s.o _fractTQQQ_s.o _fractTQHQ_s.o _fractTQSQ_s.o _fractTQDQ_s.o _fractTQHA_s.o _fractTQSA_s.o _fractTQDA_s.o _fractTQTA_s.o _fractTQUQQ_s.o _fractTQUHQ_s.o _fractTQUSQ_s.o _fractTQUDQ_s.o _fractTQUTQ_s.o _fractTQUHA_s.o _fractTQUSA_s.o _fractTQUDA_s.o _fractTQUTA_s.o _fractTQQI_s.o _fractTQHI_s.o _fractTQSI_s.o _fractTQDI_s.o _fractTQTI_s.o _fractTQSF_s.o _fractTQDF_s.o _fractHAQQ_s.o _fractHAHQ_s.o _fractHASQ_s.o _fractHADQ_s.o _fractHATQ_s.o _fractHASA_s.o _fractHADA_s.o _fractHATA_s.o _fractHAUQQ_s.o _fractHAUHQ_s.o _fractHAUSQ_s.o _fractHAUDQ_s.o _fractHAUTQ_s.o _fractHAUHA_s.o _fractHAUSA_s.o _fractHAUDA_s.o _fractHAUTA_s.o _fractHAQI_s.o _fractHAHI_s.o _fractHASI_s.o _fractHADI_s.o _fractHATI_s.o _fractHASF_s.o _fractHADF_s.o _fractSAQQ_s.o _fractSAHQ_s.o _fractSASQ_s.o _fractSADQ_s.o _fractSATQ_s.o _fractSAHA_s.o _fractSADA_s.o _fractSATA_s.o _fractSAUQQ_s.o _fractSAUHQ_s.o _fractSAUSQ_s.o _fractSAUDQ_s.o _fractSAUTQ_s.o _fractSAUHA_s.o _fractSAUSA_s.o _fractSAUDA_s.o _fractSAUTA_s.o _fractSAQI_s.o _fractSAHI_s.o _fractSASI_s.o _fractSADI_s.o _fractSATI_s.o _fractSASF_s.o _fractSADF_s.o _fractDAQQ_s.o _fractDAHQ_s.o _fractDASQ_s.o _fractDADQ_s.o _fractDATQ_s.o _fractDAHA_s.o _fractDASA_s.o _fractDATA_s.o _fractDAUQQ_s.o _fractDAUHQ_s.o _fractDAUSQ_s.o _fractDAUDQ_s.o _fractDAUTQ_s.o _fractDAUHA_s.o _fractDAUSA_s.o _fractDAUDA_s.o _fractDAUTA_s.o _fractDAQI_s.o _fractDAHI_s.o _fractDASI_s.o _fractDADI_s.o _fractDATI_s.o _fractDASF_s.o _fractDADF_s.o _fractTAQQ_s.o _fractTAHQ_s.o _fractTASQ_s.o _fractTADQ_s.o _fractTATQ_s.o _fractTAHA_s.o _fractTASA_s.o _fractTADA_s.o _fractTAUQQ_s.o _fractTAUHQ_s.o _fractTAUSQ_s.o _fractTAUDQ_s.o _fractTAUTQ_s.o _fractTAUHA_s.o _fractTAUSA_s.o _fractTAUDA_s.o _fractTAUTA_s.o _fractTAQI_s.o _fractTAHI_s.o _fractTASI_s.o _fractTADI_s.o _fractTATI_s.o _fractTASF_s.o _fractTADF_s.o _fractUQQQQ_s.o _fractUQQHQ_s.o _fractUQQSQ_s.o _fractUQQDQ_s.o _fractUQQTQ_s.o _fractUQQHA_s.o _fractUQQSA_s.o _fractUQQDA_s.o _fractUQQTA_s.o _fractUQQUHQ_s.o _fractUQQUSQ_s.o _fractUQQUDQ_s.o _fractUQQUTQ_s.o _fractUQQUHA_s.o _fractUQQUSA_s.o _fractUQQUDA_s.o _fractUQQUTA_s.o _fractUQQQI_s.o _fractUQQHI_s.o _fractUQQSI_s.o _fractUQQDI_s.o _fractUQQTI_s.o _fractUQQSF_s.o _fractUQQDF_s.o _fractUHQQQ_s.o _fractUHQHQ_s.o _fractUHQSQ_s.o _fractUHQDQ_s.o _fractUHQTQ_s.o _fractUHQHA_s.o _fractUHQSA_s.o _fractUHQDA_s.o _fractUHQTA_s.o _fractUHQUQQ_s.o _fractUHQUSQ_s.o _fractUHQUDQ_s.o _fractUHQUTQ_s.o _fractUHQUHA_s.o _fractUHQUSA_s.o _fractUHQUDA_s.o _fractUHQUTA_s.o _fractUHQQI_s.o _fractUHQHI_s.o _fractUHQSI_s.o _fractUHQDI_s.o _fractUHQTI_s.o _fractUHQSF_s.o _fractUHQDF_s.o _fractUSQQQ_s.o _fractUSQHQ_s.o _fractUSQSQ_s.o _fractUSQDQ_s.o _fractUSQTQ_s.o _fractUSQHA_s.o _fractUSQSA_s.o _fractUSQDA_s.o _fractUSQTA_s.o _fractUSQUQQ_s.o _fractUSQUHQ_s.o _fractUSQUDQ_s.o _fractUSQUTQ_s.o _fractUSQUHA_s.o _fractUSQUSA_s.o _fractUSQUDA_s.o _fractUSQUTA_s.o _fractUSQQI_s.o _fractUSQHI_s.o _fractUSQSI_s.o _fractUSQDI_s.o _fractUSQTI_s.o _fractUSQSF_s.o _fractUSQDF_s.o _fractUDQQQ_s.o _fractUDQHQ_s.o _fractUDQSQ_s.o _fractUDQDQ_s.o _fractUDQTQ_s.o _fractUDQHA_s.o _fractUDQSA_s.o _fractUDQDA_s.o _fractUDQTA_s.o _fractUDQUQQ_s.o _fractUDQUHQ_s.o _fractUDQUSQ_s.o _fractUDQUTQ_s.o _fractUDQUHA_s.o _fractUDQUSA_s.o _fractUDQUDA_s.o _fractUDQUTA_s.o _fractUDQQI_s.o _fractUDQHI_s.o _fractUDQSI_s.o _fractUDQDI_s.o _fractUDQTI_s.o _fractUDQSF_s.o _fractUDQDF_s.o _fractUTQQQ_s.o _fractUTQHQ_s.o _fractUTQSQ_s.o _fractUTQDQ_s.o _fractUTQTQ_s.o _fractUTQHA_s.o _fractUTQSA_s.o _fractUTQDA_s.o _fractUTQTA_s.o _fractUTQUQQ_s.o _fractUTQUHQ_s.o _fractUTQUSQ_s.o _fractUTQUDQ_s.o _fractUTQUHA_s.o _fractUTQUSA_s.o _fractUTQUDA_s.o _fractUTQUTA_s.o _fractUTQQI_s.o _fractUTQHI_s.o _fractUTQSI_s.o _fractUTQDI_s.o _fractUTQTI_s.o _fractUTQSF_s.o _fractUTQDF_s.o _fractUHAQQ_s.o _fractUHAHQ_s.o _fractUHASQ_s.o _fractUHADQ_s.o _fractUHATQ_s.o _fractUHAHA_s.o _fractUHASA_s.o _fractUHADA_s.o _fractUHATA_s.o _fractUHAUQQ_s.o _fractUHAUHQ_s.o _fractUHAUSQ_s.o _fractUHAUDQ_s.o _fractUHAUTQ_s.o _fractUHAUSA_s.o _fractUHAUDA_s.o _fractUHAUTA_s.o _fractUHAQI_s.o _fractUHAHI_s.o _fractUHASI_s.o _fractUHADI_s.o _fractUHATI_s.o _fractUHASF_s.o _fractUHADF_s.o _fractUSAQQ_s.o _fractUSAHQ_s.o _fractUSASQ_s.o _fractUSADQ_s.o _fractUSATQ_s.o _fractUSAHA_s.o _fractUSASA_s.o _fractUSADA_s.o _fractUSATA_s.o _fractUSAUQQ_s.o _fractUSAUHQ_s.o _fractUSAUSQ_s.o _fractUSAUDQ_s.o _fractUSAUTQ_s.o _fractUSAUHA_s.o _fractUSAUDA_s.o _fractUSAUTA_s.o _fractUSAQI_s.o _fractUSAHI_s.o _fractUSASI_s.o _fractUSADI_s.o _fractUSATI_s.o _fractUSASF_s.o _fractUSADF_s.o _fractUDAQQ_s.o _fractUDAHQ_s.o _fractUDASQ_s.o _fractUDADQ_s.o _fractUDATQ_s.o _fractUDAHA_s.o _fractUDASA_s.o _fractUDADA_s.o _fractUDATA_s.o _fractUDAUQQ_s.o _fractUDAUHQ_s.o _fractUDAUSQ_s.o _fractUDAUDQ_s.o _fractUDAUTQ_s.o _fractUDAUHA_s.o _fractUDAUSA_s.o _fractUDAUTA_s.o _fractUDAQI_s.o _fractUDAHI_s.o _fractUDASI_s.o _fractUDADI_s.o _fractUDATI_s.o _fractUDASF_s.o _fractUDADF_s.o _fractUTAQQ_s.o _fractUTAHQ_s.o _fractUTASQ_s.o _fractUTADQ_s.o _fractUTATQ_s.o _fractUTAHA_s.o _fractUTASA_s.o _fractUTADA_s.o _fractUTATA_s.o _fractUTAUQQ_s.o _fractUTAUHQ_s.o _fractUTAUSQ_s.o _fractUTAUDQ_s.o _fractUTAUTQ_s.o _fractUTAUHA_s.o _fractUTAUSA_s.o _fractUTAUDA_s.o _fractUTAQI_s.o _fractUTAHI_s.o _fractUTASI_s.o _fractUTADI_s.o _fractUTATI_s.o _fractUTASF_s.o _fractUTADF_s.o _fractQIQQ_s.o _fractQIHQ_s.o _fractQISQ_s.o _fractQIDQ_s.o _fractQITQ_s.o _fractQIHA_s.o _fractQISA_s.o _fractQIDA_s.o _fractQITA_s.o _fractQIUQQ_s.o _fractQIUHQ_s.o _fractQIUSQ_s.o _fractQIUDQ_s.o _fractQIUTQ_s.o _fractQIUHA_s.o _fractQIUSA_s.o _fractQIUDA_s.o _fractQIUTA_s.o _fractHIQQ_s.o _fractHIHQ_s.o _fractHISQ_s.o _fractHIDQ_s.o _fractHITQ_s.o _fractHIHA_s.o _fractHISA_s.o _fractHIDA_s.o _fractHITA_s.o _fractHIUQQ_s.o _fractHIUHQ_s.o _fractHIUSQ_s.o _fractHIUDQ_s.o _fractHIUTQ_s.o _fractHIUHA_s.o _fractHIUSA_s.o _fractHIUDA_s.o _fractHIUTA_s.o _fractSIQQ_s.o _fractSIHQ_s.o _fractSISQ_s.o _fractSIDQ_s.o _fractSITQ_s.o _fractSIHA_s.o _fractSISA_s.o _fractSIDA_s.o _fractSITA_s.o _fractSIUQQ_s.o _fractSIUHQ_s.o _fractSIUSQ_s.o _fractSIUDQ_s.o _fractSIUTQ_s.o _fractSIUHA_s.o _fractSIUSA_s.o _fractSIUDA_s.o _fractSIUTA_s.o _fractDIQQ_s.o _fractDIHQ_s.o _fractDISQ_s.o _fractDIDQ_s.o _fractDITQ_s.o _fractDIHA_s.o _fractDISA_s.o _fractDIDA_s.o _fractDITA_s.o _fractDIUQQ_s.o _fractDIUHQ_s.o _fractDIUSQ_s.o _fractDIUDQ_s.o _fractDIUTQ_s.o _fractDIUHA_s.o _fractDIUSA_s.o _fractDIUDA_s.o _fractDIUTA_s.o _fractTIQQ_s.o _fractTIHQ_s.o _fractTISQ_s.o _fractTIDQ_s.o _fractTITQ_s.o _fractTIHA_s.o _fractTISA_s.o _fractTIDA_s.o _fractTITA_s.o _fractTIUQQ_s.o _fractTIUHQ_s.o _fractTIUSQ_s.o _fractTIUDQ_s.o _fractTIUTQ_s.o _fractTIUHA_s.o _fractTIUSA_s.o _fractTIUDA_s.o _fractTIUTA_s.o _fractSFQQ_s.o _fractSFHQ_s.o _fractSFSQ_s.o _fractSFDQ_s.o _fractSFTQ_s.o _fractSFHA_s.o _fractSFSA_s.o _fractSFDA_s.o _fractSFTA_s.o _fractSFUQQ_s.o _fractSFUHQ_s.o _fractSFUSQ_s.o _fractSFUDQ_s.o _fractSFUTQ_s.o _fractSFUHA_s.o _fractSFUSA_s.o _fractSFUDA_s.o _fractSFUTA_s.o _fractDFQQ_s.o _fractDFHQ_s.o _fractDFSQ_s.o _fractDFDQ_s.o _fractDFTQ_s.o _fractDFHA_s.o _fractDFSA_s.o _fractDFDA_s.o _fractDFTA_s.o _fractDFUQQ_s.o _fractDFUHQ_s.o _fractDFUSQ_s.o _fractDFUDQ_s.o _fractDFUTQ_s.o _fractDFUHA_s.o _fractDFUSA_s.o _fractDFUDA_s.o _fractDFUTA_s.o _satfractQQHQ_s.o _satfractQQSQ_s.o _satfractQQDQ_s.o _satfractQQTQ_s.o _satfractQQHA_s.o _satfractQQSA_s.o _satfractQQDA_s.o _satfractQQTA_s.o _satfractQQUQQ_s.o _satfractQQUHQ_s.o _satfractQQUSQ_s.o _satfractQQUDQ_s.o _satfractQQUTQ_s.o _satfractQQUHA_s.o _satfractQQUSA_s.o _satfractQQUDA_s.o _satfractQQUTA_s.o _satfractHQQQ_s.o _satfractHQSQ_s.o _satfractHQDQ_s.o _satfractHQTQ_s.o _satfractHQHA_s.o _satfractHQSA_s.o _satfractHQDA_s.o _satfractHQTA_s.o _satfractHQUQQ_s.o _satfractHQUHQ_s.o _satfractHQUSQ_s.o _satfractHQUDQ_s.o _satfractHQUTQ_s.o _satfractHQUHA_s.o _satfractHQUSA_s.o _satfractHQUDA_s.o _satfractHQUTA_s.o _satfractSQQQ_s.o _satfractSQHQ_s.o _satfractSQDQ_s.o _satfractSQTQ_s.o _satfractSQHA_s.o _satfractSQSA_s.o _satfractSQDA_s.o _satfractSQTA_s.o _satfractSQUQQ_s.o _satfractSQUHQ_s.o _satfractSQUSQ_s.o _satfractSQUDQ_s.o _satfractSQUTQ_s.o _satfractSQUHA_s.o _satfractSQUSA_s.o _satfractSQUDA_s.o _satfractSQUTA_s.o _satfractDQQQ_s.o _satfractDQHQ_s.o _satfractDQSQ_s.o _satfractDQTQ_s.o _satfractDQHA_s.o _satfractDQSA_s.o _satfractDQDA_s.o _satfractDQTA_s.o _satfractDQUQQ_s.o _satfractDQUHQ_s.o _satfractDQUSQ_s.o _satfractDQUDQ_s.o _satfractDQUTQ_s.o _satfractDQUHA_s.o _satfractDQUSA_s.o _satfractDQUDA_s.o _satfractDQUTA_s.o _satfractTQQQ_s.o _satfractTQHQ_s.o _satfractTQSQ_s.o _satfractTQDQ_s.o _satfractTQHA_s.o _satfractTQSA_s.o _satfractTQDA_s.o _satfractTQTA_s.o _satfractTQUQQ_s.o _satfractTQUHQ_s.o _satfractTQUSQ_s.o _satfractTQUDQ_s.o _satfractTQUTQ_s.o _satfractTQUHA_s.o _satfractTQUSA_s.o _satfractTQUDA_s.o _satfractTQUTA_s.o _satfractHAQQ_s.o _satfractHAHQ_s.o _satfractHASQ_s.o _satfractHADQ_s.o _satfractHATQ_s.o _satfractHASA_s.o _satfractHADA_s.o _satfractHATA_s.o _satfractHAUQQ_s.o _satfractHAUHQ_s.o _satfractHAUSQ_s.o _satfractHAUDQ_s.o _satfractHAUTQ_s.o _satfractHAUHA_s.o _satfractHAUSA_s.o _satfractHAUDA_s.o _satfractHAUTA_s.o _satfractSAQQ_s.o _satfractSAHQ_s.o _satfractSASQ_s.o _satfractSADQ_s.o _satfractSATQ_s.o _satfractSAHA_s.o _satfractSADA_s.o _satfractSATA_s.o _satfractSAUQQ_s.o _satfractSAUHQ_s.o _satfractSAUSQ_s.o _satfractSAUDQ_s.o _satfractSAUTQ_s.o _satfractSAUHA_s.o _satfractSAUSA_s.o _satfractSAUDA_s.o _satfractSAUTA_s.o _satfractDAQQ_s.o _satfractDAHQ_s.o _satfractDASQ_s.o _satfractDADQ_s.o _satfractDATQ_s.o _satfractDAHA_s.o _satfractDASA_s.o _satfractDATA_s.o _satfractDAUQQ_s.o _satfractDAUHQ_s.o _satfractDAUSQ_s.o _satfractDAUDQ_s.o _satfractDAUTQ_s.o _satfractDAUHA_s.o _satfractDAUSA_s.o _satfractDAUDA_s.o _satfractDAUTA_s.o _satfractTAQQ_s.o _satfractTAHQ_s.o _satfractTASQ_s.o _satfractTADQ_s.o _satfractTATQ_s.o _satfractTAHA_s.o _satfractTASA_s.o _satfractTADA_s.o _satfractTAUQQ_s.o _satfractTAUHQ_s.o _satfractTAUSQ_s.o _satfractTAUDQ_s.o _satfractTAUTQ_s.o _satfractTAUHA_s.o _satfractTAUSA_s.o _satfractTAUDA_s.o _satfractTAUTA_s.o _satfractUQQQQ_s.o _satfractUQQHQ_s.o _satfractUQQSQ_s.o _satfractUQQDQ_s.o _satfractUQQTQ_s.o _satfractUQQHA_s.o _satfractUQQSA_s.o _satfractUQQDA_s.o _satfractUQQTA_s.o _satfractUQQUHQ_s.o _satfractUQQUSQ_s.o _satfractUQQUDQ_s.o _satfractUQQUTQ_s.o _satfractUQQUHA_s.o _satfractUQQUSA_s.o _satfractUQQUDA_s.o _satfractUQQUTA_s.o _satfractUHQQQ_s.o _satfractUHQHQ_s.o _satfractUHQSQ_s.o _satfractUHQDQ_s.o _satfractUHQTQ_s.o _satfractUHQHA_s.o _satfractUHQSA_s.o _satfractUHQDA_s.o _satfractUHQTA_s.o _satfractUHQUQQ_s.o _satfractUHQUSQ_s.o _satfractUHQUDQ_s.o _satfractUHQUTQ_s.o _satfractUHQUHA_s.o _satfractUHQUSA_s.o _satfractUHQUDA_s.o _satfractUHQUTA_s.o _satfractUSQQQ_s.o _satfractUSQHQ_s.o _satfractUSQSQ_s.o _satfractUSQDQ_s.o _satfractUSQTQ_s.o _satfractUSQHA_s.o _satfractUSQSA_s.o _satfractUSQDA_s.o _satfractUSQTA_s.o _satfractUSQUQQ_s.o _satfractUSQUHQ_s.o _satfractUSQUDQ_s.o _satfractUSQUTQ_s.o _satfractUSQUHA_s.o _satfractUSQUSA_s.o _satfractUSQUDA_s.o _satfractUSQUTA_s.o _satfractUDQQQ_s.o _satfractUDQHQ_s.o _satfractUDQSQ_s.o _satfractUDQDQ_s.o _satfractUDQTQ_s.o _satfractUDQHA_s.o _satfractUDQSA_s.o _satfractUDQDA_s.o _satfractUDQTA_s.o _satfractUDQUQQ_s.o _satfractUDQUHQ_s.o _satfractUDQUSQ_s.o _satfractUDQUTQ_s.o _satfractUDQUHA_s.o _satfractUDQUSA_s.o _satfractUDQUDA_s.o _satfractUDQUTA_s.o _satfractUTQQQ_s.o _satfractUTQHQ_s.o _satfractUTQSQ_s.o _satfractUTQDQ_s.o _satfractUTQTQ_s.o _satfractUTQHA_s.o _satfractUTQSA_s.o _satfractUTQDA_s.o _satfractUTQTA_s.o _satfractUTQUQQ_s.o _satfractUTQUHQ_s.o _satfractUTQUSQ_s.o _satfractUTQUDQ_s.o _satfractUTQUHA_s.o _satfractUTQUSA_s.o _satfractUTQUDA_s.o _satfractUTQUTA_s.o _satfractUHAQQ_s.o _satfractUHAHQ_s.o _satfractUHASQ_s.o _satfractUHADQ_s.o _satfractUHATQ_s.o _satfractUHAHA_s.o _satfractUHASA_s.o _satfractUHADA_s.o _satfractUHATA_s.o _satfractUHAUQQ_s.o _satfractUHAUHQ_s.o _satfractUHAUSQ_s.o _satfractUHAUDQ_s.o _satfractUHAUTQ_s.o _satfractUHAUSA_s.o _satfractUHAUDA_s.o _satfractUHAUTA_s.o _satfractUSAQQ_s.o _satfractUSAHQ_s.o _satfractUSASQ_s.o _satfractUSADQ_s.o _satfractUSATQ_s.o _satfractUSAHA_s.o _satfractUSASA_s.o _satfractUSADA_s.o _satfractUSATA_s.o _satfractUSAUQQ_s.o _satfractUSAUHQ_s.o _satfractUSAUSQ_s.o _satfractUSAUDQ_s.o _satfractUSAUTQ_s.o _satfractUSAUHA_s.o _satfractUSAUDA_s.o _satfractUSAUTA_s.o _satfractUDAQQ_s.o _satfractUDAHQ_s.o _satfractUDASQ_s.o _satfractUDADQ_s.o _satfractUDATQ_s.o _satfractUDAHA_s.o _satfractUDASA_s.o _satfractUDADA_s.o _satfractUDATA_s.o _satfractUDAUQQ_s.o _satfractUDAUHQ_s.o _satfractUDAUSQ_s.o _satfractUDAUDQ_s.o _satfractUDAUTQ_s.o _satfractUDAUHA_s.o _satfractUDAUSA_s.o _satfractUDAUTA_s.o _satfractUTAQQ_s.o _satfractUTAHQ_s.o _satfractUTASQ_s.o _satfractUTADQ_s.o _satfractUTATQ_s.o _satfractUTAHA_s.o _satfractUTASA_s.o _satfractUTADA_s.o _satfractUTATA_s.o _satfractUTAUQQ_s.o _satfractUTAUHQ_s.o _satfractUTAUSQ_s.o _satfractUTAUDQ_s.o _satfractUTAUTQ_s.o _satfractUTAUHA_s.o _satfractUTAUSA_s.o _satfractUTAUDA_s.o _satfractQIQQ_s.o _satfractQIHQ_s.o _satfractQISQ_s.o _satfractQIDQ_s.o _satfractQITQ_s.o _satfractQIHA_s.o _satfractQISA_s.o _satfractQIDA_s.o _satfractQITA_s.o _satfractQIUQQ_s.o _satfractQIUHQ_s.o _satfractQIUSQ_s.o _satfractQIUDQ_s.o _satfractQIUTQ_s.o _satfractQIUHA_s.o _satfractQIUSA_s.o _satfractQIUDA_s.o _satfractQIUTA_s.o _satfractHIQQ_s.o _satfractHIHQ_s.o _satfractHISQ_s.o _satfractHIDQ_s.o _satfractHITQ_s.o _satfractHIHA_s.o _satfractHISA_s.o _satfractHIDA_s.o _satfractHITA_s.o _satfractHIUQQ_s.o _satfractHIUHQ_s.o _satfractHIUSQ_s.o _satfractHIUDQ_s.o _satfractHIUTQ_s.o _satfractHIUHA_s.o _satfractHIUSA_s.o _satfractHIUDA_s.o _satfractHIUTA_s.o _satfractSIQQ_s.o _satfractSIHQ_s.o _satfractSISQ_s.o _satfractSIDQ_s.o _satfractSITQ_s.o _satfractSIHA_s.o _satfractSISA_s.o _satfractSIDA_s.o _satfractSITA_s.o _satfractSIUQQ_s.o _satfractSIUHQ_s.o _satfractSIUSQ_s.o _satfractSIUDQ_s.o _satfractSIUTQ_s.o _satfractSIUHA_s.o _satfractSIUSA_s.o _satfractSIUDA_s.o _satfractSIUTA_s.o _satfractDIQQ_s.o _satfractDIHQ_s.o _satfractDISQ_s.o _satfractDIDQ_s.o _satfractDITQ_s.o _satfractDIHA_s.o _satfractDISA_s.o _satfractDIDA_s.o _satfractDITA_s.o _satfractDIUQQ_s.o _satfractDIUHQ_s.o _satfractDIUSQ_s.o _satfractDIUDQ_s.o _satfractDIUTQ_s.o _satfractDIUHA_s.o _satfractDIUSA_s.o _satfractDIUDA_s.o _satfractDIUTA_s.o _satfractTIQQ_s.o _satfractTIHQ_s.o _satfractTISQ_s.o _satfractTIDQ_s.o _satfractTITQ_s.o _satfractTIHA_s.o _satfractTISA_s.o _satfractTIDA_s.o _satfractTITA_s.o _satfractTIUQQ_s.o _satfractTIUHQ_s.o _satfractTIUSQ_s.o _satfractTIUDQ_s.o _satfractTIUTQ_s.o _satfractTIUHA_s.o _satfractTIUSA_s.o _satfractTIUDA_s.o _satfractTIUTA_s.o _satfractSFQQ_s.o _satfractSFHQ_s.o _satfractSFSQ_s.o _satfractSFDQ_s.o _satfractSFTQ_s.o _satfractSFHA_s.o _satfractSFSA_s.o _satfractSFDA_s.o _satfractSFTA_s.o _satfractSFUQQ_s.o _satfractSFUHQ_s.o _satfractSFUSQ_s.o _satfractSFUDQ_s.o _satfractSFUTQ_s.o _satfractSFUHA_s.o _satfractSFUSA_s.o _satfractSFUDA_s.o _satfractSFUTA_s.o _satfractDFQQ_s.o _satfractDFHQ_s.o _satfractDFSQ_s.o _satfractDFDQ_s.o _satfractDFTQ_s.o _satfractDFHA_s.o _satfractDFSA_s.o _satfractDFDA_s.o _satfractDFTA_s.o _satfractDFUQQ_s.o _satfractDFUHQ_s.o _satfractDFUSQ_s.o _satfractDFUDQ_s.o _satfractDFUTQ_s.o _satfractDFUHA_s.o _satfractDFUSA_s.o _satfractDFUDA_s.o _satfractDFUTA_s.o _fractunsQQUQI_s.o _fractunsQQUHI_s.o _fractunsQQUSI_s.o _fractunsQQUDI_s.o _fractunsQQUTI_s.o _fractunsHQUQI_s.o _fractunsHQUHI_s.o _fractunsHQUSI_s.o _fractunsHQUDI_s.o _fractunsHQUTI_s.o _fractunsSQUQI_s.o _fractunsSQUHI_s.o _fractunsSQUSI_s.o _fractunsSQUDI_s.o _fractunsSQUTI_s.o _fractunsDQUQI_s.o _fractunsDQUHI_s.o _fractunsDQUSI_s.o _fractunsDQUDI_s.o _fractunsDQUTI_s.o _fractunsTQUQI_s.o _fractunsTQUHI_s.o _fractunsTQUSI_s.o _fractunsTQUDI_s.o _fractunsTQUTI_s.o _fractunsHAUQI_s.o _fractunsHAUHI_s.o _fractunsHAUSI_s.o _fractunsHAUDI_s.o _fractunsHAUTI_s.o _fractunsSAUQI_s.o _fractunsSAUHI_s.o _fractunsSAUSI_s.o _fractunsSAUDI_s.o _fractunsSAUTI_s.o _fractunsDAUQI_s.o _fractunsDAUHI_s.o _fractunsDAUSI_s.o _fractunsDAUDI_s.o _fractunsDAUTI_s.o _fractunsTAUQI_s.o _fractunsTAUHI_s.o _fractunsTAUSI_s.o _fractunsTAUDI_s.o _fractunsTAUTI_s.o _fractunsUQQUQI_s.o _fractunsUQQUHI_s.o _fractunsUQQUSI_s.o _fractunsUQQUDI_s.o _fractunsUQQUTI_s.o _fractunsUHQUQI_s.o _fractunsUHQUHI_s.o _fractunsUHQUSI_s.o _fractunsUHQUDI_s.o _fractunsUHQUTI_s.o _fractunsUSQUQI_s.o _fractunsUSQUHI_s.o _fractunsUSQUSI_s.o _fractunsUSQUDI_s.o _fractunsUSQUTI_s.o _fractunsUDQUQI_s.o _fractunsUDQUHI_s.o _fractunsUDQUSI_s.o _fractunsUDQUDI_s.o _fractunsUDQUTI_s.o _fractunsUTQUQI_s.o _fractunsUTQUHI_s.o _fractunsUTQUSI_s.o _fractunsUTQUDI_s.o _fractunsUTQUTI_s.o _fractunsUHAUQI_s.o _fractunsUHAUHI_s.o _fractunsUHAUSI_s.o _fractunsUHAUDI_s.o _fractunsUHAUTI_s.o _fractunsUSAUQI_s.o _fractunsUSAUHI_s.o _fractunsUSAUSI_s.o _fractunsUSAUDI_s.o _fractunsUSAUTI_s.o _fractunsUDAUQI_s.o _fractunsUDAUHI_s.o _fractunsUDAUSI_s.o _fractunsUDAUDI_s.o _fractunsUDAUTI_s.o _fractunsUTAUQI_s.o _fractunsUTAUHI_s.o _fractunsUTAUSI_s.o _fractunsUTAUDI_s.o _fractunsUTAUTI_s.o _fractunsUQIQQ_s.o _fractunsUQIHQ_s.o _fractunsUQISQ_s.o _fractunsUQIDQ_s.o _fractunsUQITQ_s.o _fractunsUQIHA_s.o _fractunsUQISA_s.o _fractunsUQIDA_s.o _fractunsUQITA_s.o _fractunsUQIUQQ_s.o _fractunsUQIUHQ_s.o _fractunsUQIUSQ_s.o _fractunsUQIUDQ_s.o _fractunsUQIUTQ_s.o _fractunsUQIUHA_s.o _fractunsUQIUSA_s.o _fractunsUQIUDA_s.o _fractunsUQIUTA_s.o _fractunsUHIQQ_s.o _fractunsUHIHQ_s.o _fractunsUHISQ_s.o _fractunsUHIDQ_s.o _fractunsUHITQ_s.o _fractunsUHIHA_s.o _fractunsUHISA_s.o _fractunsUHIDA_s.o _fractunsUHITA_s.o _fractunsUHIUQQ_s.o _fractunsUHIUHQ_s.o _fractunsUHIUSQ_s.o _fractunsUHIUDQ_s.o _fractunsUHIUTQ_s.o _fractunsUHIUHA_s.o _fractunsUHIUSA_s.o _fractunsUHIUDA_s.o _fractunsUHIUTA_s.o _fractunsUSIQQ_s.o _fractunsUSIHQ_s.o _fractunsUSISQ_s.o _fractunsUSIDQ_s.o _fractunsUSITQ_s.o _fractunsUSIHA_s.o _fractunsUSISA_s.o _fractunsUSIDA_s.o _fractunsUSITA_s.o _fractunsUSIUQQ_s.o _fractunsUSIUHQ_s.o _fractunsUSIUSQ_s.o _fractunsUSIUDQ_s.o _fractunsUSIUTQ_s.o _fractunsUSIUHA_s.o _fractunsUSIUSA_s.o _fractunsUSIUDA_s.o _fractunsUSIUTA_s.o _fractunsUDIQQ_s.o _fractunsUDIHQ_s.o _fractunsUDISQ_s.o _fractunsUDIDQ_s.o _fractunsUDITQ_s.o _fractunsUDIHA_s.o _fractunsUDISA_s.o _fractunsUDIDA_s.o _fractunsUDITA_s.o _fractunsUDIUQQ_s.o _fractunsUDIUHQ_s.o _fractunsUDIUSQ_s.o _fractunsUDIUDQ_s.o _fractunsUDIUTQ_s.o _fractunsUDIUHA_s.o _fractunsUDIUSA_s.o _fractunsUDIUDA_s.o _fractunsUDIUTA_s.o _fractunsUTIQQ_s.o _fractunsUTIHQ_s.o _fractunsUTISQ_s.o _fractunsUTIDQ_s.o _fractunsUTITQ_s.o _fractunsUTIHA_s.o _fractunsUTISA_s.o _fractunsUTIDA_s.o _fractunsUTITA_s.o _fractunsUTIUQQ_s.o _fractunsUTIUHQ_s.o _fractunsUTIUSQ_s.o _fractunsUTIUDQ_s.o _fractunsUTIUTQ_s.o _fractunsUTIUHA_s.o _fractunsUTIUSA_s.o _fractunsUTIUDA_s.o _fractunsUTIUTA_s.o _satfractunsUQIQQ_s.o _satfractunsUQIHQ_s.o _satfractunsUQISQ_s.o _satfractunsUQIDQ_s.o _satfractunsUQITQ_s.o _satfractunsUQIHA_s.o _satfractunsUQISA_s.o _satfractunsUQIDA_s.o _satfractunsUQITA_s.o _satfractunsUQIUQQ_s.o _satfractunsUQIUHQ_s.o _satfractunsUQIUSQ_s.o _satfractunsUQIUDQ_s.o _satfractunsUQIUTQ_s.o _satfractunsUQIUHA_s.o _satfractunsUQIUSA_s.o _satfractunsUQIUDA_s.o _satfractunsUQIUTA_s.o _satfractunsUHIQQ_s.o _satfractunsUHIHQ_s.o _satfractunsUHISQ_s.o _satfractunsUHIDQ_s.o _satfractunsUHITQ_s.o _satfractunsUHIHA_s.o _satfractunsUHISA_s.o _satfractunsUHIDA_s.o _satfractunsUHITA_s.o _satfractunsUHIUQQ_s.o _satfractunsUHIUHQ_s.o _satfractunsUHIUSQ_s.o _satfractunsUHIUDQ_s.o _satfractunsUHIUTQ_s.o _satfractunsUHIUHA_s.o _satfractunsUHIUSA_s.o _satfractunsUHIUDA_s.o _satfractunsUHIUTA_s.o _satfractunsUSIQQ_s.o _satfractunsUSIHQ_s.o _satfractunsUSISQ_s.o _satfractunsUSIDQ_s.o _satfractunsUSITQ_s.o _satfractunsUSIHA_s.o _satfractunsUSISA_s.o _satfractunsUSIDA_s.o _satfractunsUSITA_s.o _satfractunsUSIUQQ_s.o _satfractunsUSIUHQ_s.o _satfractunsUSIUSQ_s.o _satfractunsUSIUDQ_s.o _satfractunsUSIUTQ_s.o _satfractunsUSIUHA_s.o _satfractunsUSIUSA_s.o _satfractunsUSIUDA_s.o _satfractunsUSIUTA_s.o _satfractunsUDIQQ_s.o _satfractunsUDIHQ_s.o _satfractunsUDISQ_s.o _satfractunsUDIDQ_s.o _satfractunsUDITQ_s.o _satfractunsUDIHA_s.o _satfractunsUDISA_s.o _satfractunsUDIDA_s.o _satfractunsUDITA_s.o _satfractunsUDIUQQ_s.o _satfractunsUDIUHQ_s.o _satfractunsUDIUSQ_s.o _satfractunsUDIUDQ_s.o _satfractunsUDIUTQ_s.o _satfractunsUDIUHA_s.o _satfractunsUDIUSA_s.o _satfractunsUDIUDA_s.o _satfractunsUDIUTA_s.o _satfractunsUTIQQ_s.o _satfractunsUTIHQ_s.o _satfractunsUTISQ_s.o _satfractunsUTIDQ_s.o _satfractunsUTITQ_s.o _satfractunsUTIHA_s.o _satfractunsUTISA_s.o _satfractunsUTIDA_s.o _satfractunsUTITA_s.o _satfractunsUTIUQQ_s.o _satfractunsUTIUHQ_s.o _satfractunsUTIUSQ_s.o _satfractunsUTIUDQ_s.o _satfractunsUTIUTQ_s.o _satfractunsUTIUHA_s.o _satfractunsUTIUSA_s.o _satfractunsUTIUDA_s.o _satfractunsUTIUTA_s.o bpabi_s.o unaligned-funcs_s.o addsf3_s.o divsf3_s.o eqsf2_s.o gesf2_s.o lesf2_s.o mulsf3_s.o negsf2_s.o subsf3_s.o unordsf2_s.o fixsfsi_s.o floatsisf_s.o floatunsisf_s.o adddf3_s.o divdf3_s.o eqdf2_s.o gedf2_s.o ledf2_s.o muldf3_s.o negdf2_s.o subdf3_s.o unorddf2_s.o fixdfsi_s.o floatsidf_s.o floatunsidf_s.o extendsfdf2_s.o truncdfsf2_s.o enable-execute-stack_s.o unwind-arm_s.o libunwind_s.o pr-support_s.o unwind-c_s.o emutls_s.o emutls_s.o; echo %%; \
  cat libgcc.map.in; \
} | gawk -f ../../../src/libgcc/mkmap-symver.awk  > tmp-libgcc.map
# the right startup files when linking shared libgcc.
/bin/bash ../../../src/libgcc/../mkinstalldirs ../.././gcc
parts="crtbegin.o crtbeginS.o crtbeginT.o crtend.o crtendS.o crtfastmath.o";					\
for file in $parts; do					\
  rm -f ../.././gcc/$file;		\
  /usr/bin/install -c -m 644 $file ../.././gcc/;	\
  case $file in 					\
    *.a)						\
      arm-linux-gnueabihf-ranlib ../.././gcc/$file ;;	\
  esac;							\
done
arm-linux-gnueabihf-ranlib libgcov.a
mv -f libunwind.visT libunwind.vis
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _thumb1_case_sqi.o -MT _thumb1_case_sqi.o -MD -MP -MF _thumb1_case_sqi.dep -DL_thumb1_case_sqi -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S -include _thumb1_case_sqi.vis
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _thumb1_case_uqi.o -MT _thumb1_case_uqi.o -MD -MP -MF _thumb1_case_uqi.dep -DL_thumb1_case_uqi -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S -include _thumb1_case_uqi.vis
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _thumb1_case_shi.o -MT _thumb1_case_shi.o -MD -MP -MF _thumb1_case_shi.dep -DL_thumb1_case_shi -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S -include _thumb1_case_shi.vis
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _thumb1_case_uhi.o -MT _thumb1_case_uhi.o -MD -MP -MF _thumb1_case_uhi.dep -DL_thumb1_case_uhi -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S -include _thumb1_case_uhi.vis
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _thumb1_case_si.o -MT _thumb1_case_si.o -MD -MP -MF _thumb1_case_si.dep -DL_thumb1_case_si -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S -include _thumb1_case_si.vis
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _speculation_barrier.o -MT _speculation_barrier.o -MD -MP -MF _speculation_barrier.dep -DL_speculation_barrier -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S -include _speculation_barrier.vis
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _arm_muldf3.o -MT _arm_muldf3.o -MD -MP -MF _arm_muldf3.dep -DL_arm_muldf3 -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S -include _arm_muldf3.vis
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _arm_mulsf3.o -MT _arm_mulsf3.o -MD -MP -MF _arm_mulsf3.dep -DL_arm_mulsf3 -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S -include _arm_mulsf3.vis
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _udivsi3.o -MT _udivsi3.o -MD -MP -MF _udivsi3.dep -DL_udivsi3 -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S -include _udivsi3.vis
../../../src/libgcc/config/arm/lib1funcs.S: Assembler messages:
../../../src/libgcc/config/arm/lib1funcs.S:211: conditional infixes are deprecated in unified syntax
../../../src/libgcc/config/arm/ieee754-df.S:727:  Info: macro invoked from here
../../../src/libgcc/config/arm/lib1funcs.S:211: conditional infixes are deprecated in unified syntax
../../../src/libgcc/config/arm/ieee754-df.S:742:  Info: macro invoked from here
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _divsi3.o -MT _divsi3.o -MD -MP -MF _divsi3.dep -DL_divsi3 -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S -include _divsi3.vis
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _umodsi3.o -MT _umodsi3.o -MD -MP -MF _umodsi3.dep -DL_umodsi3 -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S -include _umodsi3.vis
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _modsi3.o -MT _modsi3.o -MD -MP -MF _modsi3.dep -DL_modsi3 -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S -include _modsi3.vis
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _bb_init_func.o -MT _bb_init_func.o -MD -MP -MF _bb_init_func.dep -DL_bb_init_func -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S -include _bb_init_func.vis
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _call_via_rX.o -MT _call_via_rX.o -MD -MP -MF _call_via_rX.dep -DL_call_via_rX -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S -include _call_via_rX.vis
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _interwork_call_via_rX.o -MT _interwork_call_via_rX.o -MD -MP -MF _interwork_call_via_rX.dep -DL_interwork_call_via_rX -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S -include _interwork_call_via_rX.vis
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _lshrdi3.o -MT _lshrdi3.o -MD -MP -MF _lshrdi3.dep -DL_lshrdi3 -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S -include _lshrdi3.vis
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ashrdi3.o -MT _ashrdi3.o -MD -MP -MF _ashrdi3.dep -DL_ashrdi3 -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S -include _ashrdi3.vis
../../../src/libgcc/config/arm/lib1funcs.S: Assembler messages:
../../../src/libgcc/config/arm/lib1funcs.S:2057: conditional infixes are deprecated in unified syntax
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ashldi3.o -MT _ashldi3.o -MD -MP -MF _ashldi3.dep -DL_ashldi3 -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S -include _ashldi3.vis
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _arm_negdf2.o -MT _arm_negdf2.o -MD -MP -MF _arm_negdf2.dep -DL_arm_negdf2 -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S -include _arm_negdf2.vis
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _arm_addsubdf3.o -MT _arm_addsubdf3.o -MD -MP -MF _arm_addsubdf3.dep -DL_arm_addsubdf3 -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S -include _arm_addsubdf3.vis
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _arm_muldivdf3.o -MT _arm_muldivdf3.o -MD -MP -MF _arm_muldivdf3.dep -DL_arm_muldivdf3 -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S -include _arm_muldivdf3.vis
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _arm_cmpdf2.o -MT _arm_cmpdf2.o -MD -MP -MF _arm_cmpdf2.dep -DL_arm_cmpdf2 -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S -include _arm_cmpdf2.vis
../../../src/libgcc/config/arm/lib1funcs.S: Assembler messages:
../../../src/libgcc/config/arm/lib1funcs.S:211: conditional infixes are deprecated in unified syntax
../../../src/libgcc/config/arm/ieee754-df.S:130:  Info: macro invoked from here
../../../src/libgcc/config/arm/lib1funcs.S:211: conditional infixes are deprecated in unified syntax
../../../src/libgcc/config/arm/ieee754-df.S:319:  Info: macro invoked from here
../../../src/libgcc/config/arm/lib1funcs.S:211: conditional infixes are deprecated in unified syntax
../../../src/libgcc/config/arm/ieee754-df.S:388:  Info: macro invoked from here
../../../src/libgcc/config/arm/lib1funcs.S:211: conditional infixes are deprecated in unified syntax
../../../src/libgcc/config/arm/ieee754-df.S:401:  Info: macro invoked from here
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _arm_unorddf2.o -MT _arm_unorddf2.o -MD -MP -MF _arm_unorddf2.dep -DL_arm_unorddf2 -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S -include _arm_unorddf2.vis
../../../src/libgcc/config/arm/lib1funcs.S: Assembler messages:
../../../src/libgcc/config/arm/lib1funcs.S:211: conditional infixes are deprecated in unified syntax
../../../src/libgcc/config/arm/ieee754-df.S:727:  Info: macro invoked from here
../../../src/libgcc/config/arm/lib1funcs.S:211: conditional infixes are deprecated in unified syntax
../../../src/libgcc/config/arm/ieee754-df.S:742:  Info: macro invoked from here
../../../src/libgcc/config/arm/lib1funcs.S:211: conditional infixes are deprecated in unified syntax
../../../src/libgcc/config/arm/ieee754-df.S:1030:  Info: macro invoked from here
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _arm_fixdfsi.o -MT _arm_fixdfsi.o -MD -MP -MF _arm_fixdfsi.dep -DL_arm_fixdfsi -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S -include _arm_fixdfsi.vis
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _arm_fixunsdfsi.o -MT _arm_fixunsdfsi.o -MD -MP -MF _arm_fixunsdfsi.dep -DL_arm_fixunsdfsi -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S -include _arm_fixunsdfsi.vis
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _arm_truncdfsf2.o -MT _arm_truncdfsf2.o -MD -MP -MF _arm_truncdfsf2.dep -DL_arm_truncdfsf2 -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S -include _arm_truncdfsf2.vis
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _arm_negsf2.o -MT _arm_negsf2.o -MD -MP -MF _arm_negsf2.dep -DL_arm_negsf2 -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S -include _arm_negsf2.vis
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _arm_addsubsf3.o -MT _arm_addsubsf3.o -MD -MP -MF _arm_addsubsf3.dep -DL_arm_addsubsf3 -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S -include _arm_addsubsf3.vis
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _arm_muldivsf3.o -MT _arm_muldivsf3.o -MD -MP -MF _arm_muldivsf3.dep -DL_arm_muldivsf3 -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S -include _arm_muldivsf3.vis
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _arm_cmpsf2.o -MT _arm_cmpsf2.o -MD -MP -MF _arm_cmpsf2.dep -DL_arm_cmpsf2 -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S -include _arm_cmpsf2.vis
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _arm_unordsf2.o -MT _arm_unordsf2.o -MD -MP -MF _arm_unordsf2.dep -DL_arm_unordsf2 -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S -include _arm_unordsf2.vis
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _arm_fixsfsi.o -MT _arm_fixsfsi.o -MD -MP -MF _arm_fixsfsi.dep -DL_arm_fixsfsi -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S -include _arm_fixsfsi.vis
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _arm_fixunssfsi.o -MT _arm_fixunssfsi.o -MD -MP -MF _arm_fixunssfsi.dep -DL_arm_fixunssfsi -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S -include _arm_fixunssfsi.vis
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _arm_floatdidf.o -MT _arm_floatdidf.o -MD -MP -MF _arm_floatdidf.dep -DL_arm_floatdidf -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S -include _arm_floatdidf.vis
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _arm_floatdisf.o -MT _arm_floatdisf.o -MD -MP -MF _arm_floatdisf.dep -DL_arm_floatdisf -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S -include _arm_floatdisf.vis
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _arm_floatundidf.o -MT _arm_floatundidf.o -MD -MP -MF _arm_floatundidf.dep -DL_arm_floatundidf -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S -include _arm_floatundidf.vis
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _arm_floatundisf.o -MT _arm_floatundisf.o -MD -MP -MF _arm_floatundisf.dep -DL_arm_floatundisf -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S -include _arm_floatundisf.vis
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _clzsi2.o -MT _clzsi2.o -MD -MP -MF _clzsi2.dep -DL_clzsi2 -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S -include _clzsi2.vis
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _clzdi2.o -MT _clzdi2.o -MD -MP -MF _clzdi2.dep -DL_clzdi2 -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S -include _clzdi2.vis
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _ctzsi2.o -MT _ctzsi2.o -MD -MP -MF _ctzsi2.dep -DL_ctzsi2 -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S -include _ctzsi2.vis
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _aeabi_lcmp.o -MT _aeabi_lcmp.o -MD -MP -MF _aeabi_lcmp.dep -DL_aeabi_lcmp -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S -include _aeabi_lcmp.vis
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _aeabi_ulcmp.o -MT _aeabi_ulcmp.o -MD -MP -MF _aeabi_ulcmp.dep -DL_aeabi_ulcmp -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S -include _aeabi_ulcmp.vis
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _aeabi_ldivmod.o -MT _aeabi_ldivmod.o -MD -MP -MF _aeabi_ldivmod.dep -DL_aeabi_ldivmod -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S -include _aeabi_ldivmod.vis
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _aeabi_uldivmod.o -MT _aeabi_uldivmod.o -MD -MP -MF _aeabi_uldivmod.dep -DL_aeabi_uldivmod -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S -include _aeabi_uldivmod.vis
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _dvmd_lnx.o -MT _dvmd_lnx.o -MD -MP -MF _dvmd_lnx.dep -DL_dvmd_lnx -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S -include _dvmd_lnx.vis
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o _clear_cache.o -MT _clear_cache.o -MD -MP -MF _clear_cache.dep -DL_clear_cache -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S -include _clear_cache.vis
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -o libunwind.o -MT libunwind.o -MD -MP -MF libunwind.dep -c -xassembler-with-cpp -include libunwind.vis ../../../src/libgcc/config/arm/libunwind.S
rm -f libgcc.a
objects="_thumb1_case_sqi.o _thumb1_case_uqi.o _thumb1_case_shi.o _thumb1_case_uhi.o _thumb1_case_si.o _speculation_barrier.o _arm_muldf3.o _arm_mulsf3.o _udivsi3.o _divsi3.o _umodsi3.o _modsi3.o _bb_init_func.o _call_via_rX.o _interwork_call_via_rX.o _lshrdi3.o _ashrdi3.o _ashldi3.o _arm_negdf2.o _arm_addsubdf3.o _arm_muldivdf3.o _arm_cmpdf2.o _arm_unorddf2.o _arm_fixdfsi.o _arm_fixunsdfsi.o _arm_truncdfsf2.o _arm_negsf2.o _arm_addsubsf3.o _arm_muldivsf3.o _arm_cmpsf2.o _arm_unordsf2.o _arm_fixsfsi.o _arm_fixunssfsi.o _arm_floatdidf.o _arm_floatdisf.o _arm_floatundidf.o _arm_floatundisf.o _clzsi2.o _clzdi2.o _ctzsi2.o _aeabi_lcmp.o _aeabi_ulcmp.o _aeabi_ldivmod.o _aeabi_uldivmod.o _dvmd_lnx.o _clear_cache.o _muldi3.o _negdi2.o _cmpdi2.o _ucmpdi2.o _trampoline.o __main.o _absvsi2.o _absvdi2.o _addvsi3.o _addvdi3.o _subvsi3.o _subvdi3.o _mulvsi3.o _mulvdi3.o _negvsi2.o _negvdi2.o _ctors.o _ffssi2.o _ffsdi2.o _clz.o _ctzdi2.o _popcount_tab.o _popcountsi2.o _popcountdi2.o _paritysi2.o _paritydi2.o _powisf2.o _powidf2.o _powixf2.o _powitf2.o _mulhc3.o _mulsc3.o _muldc3.o _mulxc3.o _multc3.o _divhc3.o _divsc3.o _divdc3.o _divxc3.o _divtc3.o _bswapsi2.o _bswapdi2.o _clrsbsi2.o _clrsbdi2.o _fixunssfsi.o _fixunsdfsi.o _fixunsxfsi.o _fixsfdi.o _fixdfdi.o _fixxfdi.o _fixtfdi.o _fixunssfdi.o _fixunsdfdi.o _fixunsxfdi.o _fixunstfdi.o _floatdisf.o _floatdidf.o _floatdixf.o _floatditf.o _floatundisf.o _floatundidf.o _floatundixf.o _floatunditf.o _eprintf.o __gcc_bcmp.o _divdi3.o _moddi3.o _divmoddi4.o _udivdi3.o _umoddi3.o _udivmoddi4.o _udiv_w_sdiv.o _addQQ.o _addHQ.o _addSQ.o _addDQ.o _addTQ.o _addHA.o _addSA.o _addDA.o _addTA.o _addUQQ.o _addUHQ.o _addUSQ.o _addUDQ.o _addUTQ.o _addUHA.o _addUSA.o _addUDA.o _addUTA.o _subQQ.o _subHQ.o _subSQ.o _subDQ.o _subTQ.o _subHA.o _subSA.o _subDA.o _subTA.o _subUQQ.o _subUHQ.o _subUSQ.o _subUDQ.o _subUTQ.o _subUHA.o _subUSA.o _subUDA.o _subUTA.o _negQQ.o _negHQ.o _negSQ.o _negDQ.o _negTQ.o _negHA.o _negSA.o _negDA.o _negTA.o _negUQQ.o _negUHQ.o _negUSQ.o _negUDQ.o _negUTQ.o _negUHA.o _negUSA.o _negUDA.o _negUTA.o _mulQQ.o _mulHQ.o _mulSQ.o _mulDQ.o _mulTQ.o _mulHA.o _mulSA.o _mulDA.o _mulTA.o _mulUQQ.o _mulUHQ.o _mulUSQ.o _mulUDQ.o _mulUTQ.o _mulUHA.o _mulUSA.o _mulUDA.o _mulUTA.o _mulhelperQQ.o _mulhelperHQ.o _mulhelperSQ.o _mulhelperDQ.o _mulhelperTQ.o _mulhelperHA.o _mulhelperSA.o _mulhelperDA.o _mulhelperTA.o _mulhelperUQQ.o _mulhelperUHQ.o _mulhelperUSQ.o _mulhelperUDQ.o _mulhelperUTQ.o _mulhelperUHA.o _mulhelperUSA.o _mulhelperUDA.o _mulhelperUTA.o _divhelperQQ.o _divhelperHQ.o _divhelperSQ.o _divhelperDQ.o _divhelperTQ.o _divhelperHA.o _divhelperSA.o _divhelperDA.o _divhelperTA.o _divhelperUQQ.o _divhelperUHQ.o _divhelperUSQ.o _divhelperUDQ.o _divhelperUTQ.o _divhelperUHA.o _divhelperUSA.o _divhelperUDA.o _divhelperUTA.o _ashlQQ.o _ashlHQ.o _ashlSQ.o _ashlDQ.o _ashlTQ.o _ashlHA.o _ashlSA.o _ashlDA.o _ashlTA.o _ashlUQQ.o _ashlUHQ.o _ashlUSQ.o _ashlUDQ.o _ashlUTQ.o _ashlUHA.o _ashlUSA.o _ashlUDA.o _ashlUTA.o _ashlhelperQQ.o _ashlhelperHQ.o _ashlhelperSQ.o _ashlhelperDQ.o _ashlhelperTQ.o _ashlhelperHA.o _ashlhelperSA.o _ashlhelperDA.o _ashlhelperTA.o _ashlhelperUQQ.o _ashlhelperUHQ.o _ashlhelperUSQ.o _ashlhelperUDQ.o _ashlhelperUTQ.o _ashlhelperUHA.o _ashlhelperUSA.o _ashlhelperUDA.o _ashlhelperUTA.o _cmpQQ.o _cmpHQ.o _cmpSQ.o _cmpDQ.o _cmpTQ.o _cmpHA.o _cmpSA.o _cmpDA.o _cmpTA.o _cmpUQQ.o _cmpUHQ.o _cmpUSQ.o _cmpUDQ.o _cmpUTQ.o _cmpUHA.o _cmpUSA.o _cmpUDA.o _cmpUTA.o _saturate1QQ.o _saturate1HQ.o _saturate1SQ.o _saturate1DQ.o _saturate1TQ.o _saturate1HA.o _saturate1SA.o _saturate1DA.o _saturate1TA.o _saturate1UQQ.o _saturate1UHQ.o _saturate1USQ.o _saturate1UDQ.o _saturate1UTQ.o _saturate1UHA.o _saturate1USA.o _saturate1UDA.o _saturate1UTA.o _saturate2QQ.o _saturate2HQ.o _saturate2SQ.o _saturate2DQ.o _saturate2TQ.o _saturate2HA.o _saturate2SA.o _saturate2DA.o _saturate2TA.o _saturate2UQQ.o _saturate2UHQ.o _saturate2USQ.o _saturate2UDQ.o _saturate2UTQ.o _saturate2UHA.o _saturate2USA.o _saturate2UDA.o _saturate2UTA.o _ssaddQQ.o _ssaddHQ.o _ssaddSQ.o _ssaddDQ.o _ssaddTQ.o _ssaddHA.o _ssaddSA.o _ssaddDA.o _ssaddTA.o _sssubQQ.o _sssubHQ.o _sssubSQ.o _sssubDQ.o _sssubTQ.o _sssubHA.o _sssubSA.o _sssubDA.o _sssubTA.o _ssnegQQ.o _ssnegHQ.o _ssnegSQ.o _ssnegDQ.o _ssnegTQ.o _ssnegHA.o _ssnegSA.o _ssnegDA.o _ssnegTA.o _ssmulQQ.o _ssmulHQ.o _ssmulSQ.o _ssmulDQ.o _ssmulTQ.o _ssmulHA.o _ssmulSA.o _ssmulDA.o _ssmulTA.o _ssdivQQ.o _ssdivHQ.o _ssdivSQ.o _ssdivDQ.o _ssdivTQ.o _ssdivHA.o _ssdivSA.o _ssdivDA.o _ssdivTA.o _divQQ.o _divHQ.o _divSQ.o _divDQ.o _divTQ.o _divHA.o _divSA.o _divDA.o _divTA.o _ssashlQQ.o _ssashlHQ.o _ssashlSQ.o _ssashlDQ.o _ssashlTQ.o _ssashlHA.o _ssashlSA.o _ssashlDA.o _ssashlTA.o _ashrQQ.o _ashrHQ.o _ashrSQ.o _ashrDQ.o _ashrTQ.o _ashrHA.o _ashrSA.o _ashrDA.o _ashrTA.o _usaddUQQ.o _usaddUHQ.o _usaddUSQ.o _usaddUDQ.o _usaddUTQ.o _usaddUHA.o _usaddUSA.o _usaddUDA.o _usaddUTA.o _ussubUQQ.o _ussubUHQ.o _ussubUSQ.o _ussubUDQ.o _ussubUTQ.o _ussubUHA.o _ussubUSA.o _ussubUDA.o _ussubUTA.o _usnegUQQ.o _usnegUHQ.o _usnegUSQ.o _usnegUDQ.o _usnegUTQ.o _usnegUHA.o _usnegUSA.o _usnegUDA.o _usnegUTA.o _usmulUQQ.o _usmulUHQ.o _usmulUSQ.o _usmulUDQ.o _usmulUTQ.o _usmulUHA.o _usmulUSA.o _usmulUDA.o _usmulUTA.o _usdivUQQ.o _usdivUHQ.o _usdivUSQ.o _usdivUDQ.o _usdivUTQ.o _usdivUHA.o _usdivUSA.o _usdivUDA.o _usdivUTA.o _udivUQQ.o _udivUHQ.o _udivUSQ.o _udivUDQ.o _udivUTQ.o _udivUHA.o _udivUSA.o _udivUDA.o _udivUTA.o _usashlUQQ.o _usashlUHQ.o _usashlUSQ.o _usashlUDQ.o _usashlUTQ.o _usashlUHA.o _usashlUSA.o _usashlUDA.o _usashlUTA.o _lshrUQQ.o _lshrUHQ.o _lshrUSQ.o _lshrUDQ.o _lshrUTQ.o _lshrUHA.o _lshrUSA.o _lshrUDA.o _lshrUTA.o _fractQQHQ.o _fractQQSQ.o _fractQQDQ.o _fractQQTQ.o _fractQQHA.o _fractQQSA.o _fractQQDA.o _fractQQTA.o _fractQQUQQ.o _fractQQUHQ.o _fractQQUSQ.o _fractQQUDQ.o _fractQQUTQ.o _fractQQUHA.o _fractQQUSA.o _fractQQUDA.o _fractQQUTA.o _fractQQQI.o _fractQQHI.o _fractQQSI.o _fractQQDI.o _fractQQTI.o _fractQQSF.o _fractQQDF.o _fractHQQQ.o _fractHQSQ.o _fractHQDQ.o _fractHQTQ.o _fractHQHA.o _fractHQSA.o _fractHQDA.o _fractHQTA.o _fractHQUQQ.o _fractHQUHQ.o _fractHQUSQ.o _fractHQUDQ.o _fractHQUTQ.o _fractHQUHA.o _fractHQUSA.o _fractHQUDA.o _fractHQUTA.o _fractHQQI.o _fractHQHI.o _fractHQSI.o _fractHQDI.o _fractHQTI.o _fractHQSF.o _fractHQDF.o _fractSQQQ.o _fractSQHQ.o _fractSQDQ.o _fractSQTQ.o _fractSQHA.o _fractSQSA.o _fractSQDA.o _fractSQTA.o _fractSQUQQ.o _fractSQUHQ.o _fractSQUSQ.o _fractSQUDQ.o _fractSQUTQ.o _fractSQUHA.o _fractSQUSA.o _fractSQUDA.o _fractSQUTA.o _fractSQQI.o _fractSQHI.o _fractSQSI.o _fractSQDI.o _fractSQTI.o _fractSQSF.o _fractSQDF.o _fractDQQQ.o _fractDQHQ.o _fractDQSQ.o _fractDQTQ.o _fractDQHA.o _fractDQSA.o _fractDQDA.o _fractDQTA.o _fractDQUQQ.o _fractDQUHQ.o _fractDQUSQ.o _fractDQUDQ.o _fractDQUTQ.o _fractDQUHA.o _fractDQUSA.o _fractDQUDA.o _fractDQUTA.o _fractDQQI.o _fractDQHI.o _fractDQSI.o _fractDQDI.o _fractDQTI.o _fractDQSF.o _fractDQDF.o _fractTQQQ.o _fractTQHQ.o _fractTQSQ.o _fractTQDQ.o _fractTQHA.o _fractTQSA.o _fractTQDA.o _fractTQTA.o _fractTQUQQ.o _fractTQUHQ.o _fractTQUSQ.o _fractTQUDQ.o _fractTQUTQ.o _fractTQUHA.o _fractTQUSA.o _fractTQUDA.o _fractTQUTA.o _fractTQQI.o _fractTQHI.o _fractTQSI.o _fractTQDI.o _fractTQTI.o _fractTQSF.o _fractTQDF.o _fractHAQQ.o _fractHAHQ.o _fractHASQ.o _fractHADQ.o _fractHATQ.o _fractHASA.o _fractHADA.o _fractHATA.o _fractHAUQQ.o _fractHAUHQ.o _fractHAUSQ.o _fractHAUDQ.o _fractHAUTQ.o _fractHAUHA.o _fractHAUSA.o _fractHAUDA.o _fractHAUTA.o _fractHAQI.o _fractHAHI.o _fractHASI.o _fractHADI.o _fractHATI.o _fractHASF.o _fractHADF.o _fractSAQQ.o _fractSAHQ.o _fractSASQ.o _fractSADQ.o _fractSATQ.o _fractSAHA.o _fractSADA.o _fractSATA.o _fractSAUQQ.o _fractSAUHQ.o _fractSAUSQ.o _fractSAUDQ.o _fractSAUTQ.o _fractSAUHA.o _fractSAUSA.o _fractSAUDA.o _fractSAUTA.o _fractSAQI.o _fractSAHI.o _fractSASI.o _fractSADI.o _fractSATI.o _fractSASF.o _fractSADF.o _fractDAQQ.o _fractDAHQ.o _fractDASQ.o _fractDADQ.o _fractDATQ.o _fractDAHA.o _fractDASA.o _fractDATA.o _fractDAUQQ.o _fractDAUHQ.o _fractDAUSQ.o _fractDAUDQ.o _fractDAUTQ.o _fractDAUHA.o _fractDAUSA.o _fractDAUDA.o _fractDAUTA.o _fractDAQI.o _fractDAHI.o _fractDASI.o _fractDADI.o _fractDATI.o _fractDASF.o _fractDADF.o _fractTAQQ.o _fractTAHQ.o _fractTASQ.o _fractTADQ.o _fractTATQ.o _fractTAHA.o _fractTASA.o _fractTADA.o _fractTAUQQ.o _fractTAUHQ.o _fractTAUSQ.o _fractTAUDQ.o _fractTAUTQ.o _fractTAUHA.o _fractTAUSA.o _fractTAUDA.o _fractTAUTA.o _fractTAQI.o _fractTAHI.o _fractTASI.o _fractTADI.o _fractTATI.o _fractTASF.o _fractTADF.o _fractUQQQQ.o _fractUQQHQ.o _fractUQQSQ.o _fractUQQDQ.o _fractUQQTQ.o _fractUQQHA.o _fractUQQSA.o _fractUQQDA.o _fractUQQTA.o _fractUQQUHQ.o _fractUQQUSQ.o _fractUQQUDQ.o _fractUQQUTQ.o _fractUQQUHA.o _fractUQQUSA.o _fractUQQUDA.o _fractUQQUTA.o _fractUQQQI.o _fractUQQHI.o _fractUQQSI.o _fractUQQDI.o _fractUQQTI.o _fractUQQSF.o _fractUQQDF.o _fractUHQQQ.o _fractUHQHQ.o _fractUHQSQ.o _fractUHQDQ.o _fractUHQTQ.o _fractUHQHA.o _fractUHQSA.o _fractUHQDA.o _fractUHQTA.o _fractUHQUQQ.o _fractUHQUSQ.o _fractUHQUDQ.o _fractUHQUTQ.o _fractUHQUHA.o _fractUHQUSA.o _fractUHQUDA.o _fractUHQUTA.o _fractUHQQI.o _fractUHQHI.o _fractUHQSI.o _fractUHQDI.o _fractUHQTI.o _fractUHQSF.o _fractUHQDF.o _fractUSQQQ.o _fractUSQHQ.o _fractUSQSQ.o _fractUSQDQ.o _fractUSQTQ.o _fractUSQHA.o _fractUSQSA.o _fractUSQDA.o _fractUSQTA.o _fractUSQUQQ.o _fractUSQUHQ.o _fractUSQUDQ.o _fractUSQUTQ.o _fractUSQUHA.o _fractUSQUSA.o _fractUSQUDA.o _fractUSQUTA.o _fractUSQQI.o _fractUSQHI.o _fractUSQSI.o _fractUSQDI.o _fractUSQTI.o _fractUSQSF.o _fractUSQDF.o _fractUDQQQ.o _fractUDQHQ.o _fractUDQSQ.o _fractUDQDQ.o _fractUDQTQ.o _fractUDQHA.o _fractUDQSA.o _fractUDQDA.o _fractUDQTA.o _fractUDQUQQ.o _fractUDQUHQ.o _fractUDQUSQ.o _fractUDQUTQ.o _fractUDQUHA.o _fractUDQUSA.o _fractUDQUDA.o _fractUDQUTA.o _fractUDQQI.o _fractUDQHI.o _fractUDQSI.o _fractUDQDI.o _fractUDQTI.o _fractUDQSF.o _fractUDQDF.o _fractUTQQQ.o _fractUTQHQ.o _fractUTQSQ.o _fractUTQDQ.o _fractUTQTQ.o _fractUTQHA.o _fractUTQSA.o _fractUTQDA.o _fractUTQTA.o _fractUTQUQQ.o _fractUTQUHQ.o _fractUTQUSQ.o _fractUTQUDQ.o _fractUTQUHA.o _fractUTQUSA.o _fractUTQUDA.o _fractUTQUTA.o _fractUTQQI.o _fractUTQHI.o _fractUTQSI.o _fractUTQDI.o _fractUTQTI.o _fractUTQSF.o _fractUTQDF.o _fractUHAQQ.o _fractUHAHQ.o _fractUHASQ.o _fractUHADQ.o _fractUHATQ.o _fractUHAHA.o _fractUHASA.o _fractUHADA.o _fractUHATA.o _fractUHAUQQ.o _fractUHAUHQ.o _fractUHAUSQ.o _fractUHAUDQ.o _fractUHAUTQ.o _fractUHAUSA.o _fractUHAUDA.o _fractUHAUTA.o _fractUHAQI.o _fractUHAHI.o _fractUHASI.o _fractUHADI.o _fractUHATI.o _fractUHASF.o _fractUHADF.o _fractUSAQQ.o _fractUSAHQ.o _fractUSASQ.o _fractUSADQ.o _fractUSATQ.o _fractUSAHA.o _fractUSASA.o _fractUSADA.o _fractUSATA.o _fractUSAUQQ.o _fractUSAUHQ.o _fractUSAUSQ.o _fractUSAUDQ.o _fractUSAUTQ.o _fractUSAUHA.o _fractUSAUDA.o _fractUSAUTA.o _fractUSAQI.o _fractUSAHI.o _fractUSASI.o _fractUSADI.o _fractUSATI.o _fractUSASF.o _fractUSADF.o _fractUDAQQ.o _fractUDAHQ.o _fractUDASQ.o _fractUDADQ.o _fractUDATQ.o _fractUDAHA.o _fractUDASA.o _fractUDADA.o _fractUDATA.o _fractUDAUQQ.o _fractUDAUHQ.o _fractUDAUSQ.o _fractUDAUDQ.o _fractUDAUTQ.o _fractUDAUHA.o _fractUDAUSA.o _fractUDAUTA.o _fractUDAQI.o _fractUDAHI.o _fractUDASI.o _fractUDADI.o _fractUDATI.o _fractUDASF.o _fractUDADF.o _fractUTAQQ.o _fractUTAHQ.o _fractUTASQ.o _fractUTADQ.o _fractUTATQ.o _fractUTAHA.o _fractUTASA.o _fractUTADA.o _fractUTATA.o _fractUTAUQQ.o _fractUTAUHQ.o _fractUTAUSQ.o _fractUTAUDQ.o _fractUTAUTQ.o _fractUTAUHA.o _fractUTAUSA.o _fractUTAUDA.o _fractUTAQI.o _fractUTAHI.o _fractUTASI.o _fractUTADI.o _fractUTATI.o _fractUTASF.o _fractUTADF.o _fractQIQQ.o _fractQIHQ.o _fractQISQ.o _fractQIDQ.o _fractQITQ.o _fractQIHA.o _fractQISA.o _fractQIDA.o _fractQITA.o _fractQIUQQ.o _fractQIUHQ.o _fractQIUSQ.o _fractQIUDQ.o _fractQIUTQ.o _fractQIUHA.o _fractQIUSA.o _fractQIUDA.o _fractQIUTA.o _fractHIQQ.o _fractHIHQ.o _fractHISQ.o _fractHIDQ.o _fractHITQ.o _fractHIHA.o _fractHISA.o _fractHIDA.o _fractHITA.o _fractHIUQQ.o _fractHIUHQ.o _fractHIUSQ.o _fractHIUDQ.o _fractHIUTQ.o _fractHIUHA.o _fractHIUSA.o _fractHIUDA.o _fractHIUTA.o _fractSIQQ.o _fractSIHQ.o _fractSISQ.o _fractSIDQ.o _fractSITQ.o _fractSIHA.o _fractSISA.o _fractSIDA.o _fractSITA.o _fractSIUQQ.o _fractSIUHQ.o _fractSIUSQ.o _fractSIUDQ.o _fractSIUTQ.o _fractSIUHA.o _fractSIUSA.o _fractSIUDA.o _fractSIUTA.o _fractDIQQ.o _fractDIHQ.o _fractDISQ.o _fractDIDQ.o _fractDITQ.o _fractDIHA.o _fractDISA.o _fractDIDA.o _fractDITA.o _fractDIUQQ.o _fractDIUHQ.o _fractDIUSQ.o _fractDIUDQ.o _fractDIUTQ.o _fractDIUHA.o _fractDIUSA.o _fractDIUDA.o _fractDIUTA.o _fractTIQQ.o _fractTIHQ.o _fractTISQ.o _fractTIDQ.o _fractTITQ.o _fractTIHA.o _fractTISA.o _fractTIDA.o _fractTITA.o _fractTIUQQ.o _fractTIUHQ.o _fractTIUSQ.o _fractTIUDQ.o _fractTIUTQ.o _fractTIUHA.o _fractTIUSA.o _fractTIUDA.o _fractTIUTA.o _fractSFQQ.o _fractSFHQ.o _fractSFSQ.o _fractSFDQ.o _fractSFTQ.o _fractSFHA.o _fractSFSA.o _fractSFDA.o _fractSFTA.o _fractSFUQQ.o _fractSFUHQ.o _fractSFUSQ.o _fractSFUDQ.o _fractSFUTQ.o _fractSFUHA.o _fractSFUSA.o _fractSFUDA.o _fractSFUTA.o _fractDFQQ.o _fractDFHQ.o _fractDFSQ.o _fractDFDQ.o _fractDFTQ.o _fractDFHA.o _fractDFSA.o _fractDFDA.o _fractDFTA.o _fractDFUQQ.o _fractDFUHQ.o _fractDFUSQ.o _fractDFUDQ.o _fractDFUTQ.o _fractDFUHA.o _fractDFUSA.o _fractDFUDA.o _fractDFUTA.o _satfractQQHQ.o _satfractQQSQ.o _satfractQQDQ.o _satfractQQTQ.o _satfractQQHA.o _satfractQQSA.o _satfractQQDA.o _satfractQQTA.o _satfractQQUQQ.o _satfractQQUHQ.o _satfractQQUSQ.o _satfractQQUDQ.o _satfractQQUTQ.o _satfractQQUHA.o _satfractQQUSA.o _satfractQQUDA.o _satfractQQUTA.o _satfractHQQQ.o _satfractHQSQ.o _satfractHQDQ.o _satfractHQTQ.o _satfractHQHA.o _satfractHQSA.o _satfractHQDA.o _satfractHQTA.o _satfractHQUQQ.o _satfractHQUHQ.o _satfractHQUSQ.o _satfractHQUDQ.o _satfractHQUTQ.o _satfractHQUHA.o _satfractHQUSA.o _satfractHQUDA.o _satfractHQUTA.o _satfractSQQQ.o _satfractSQHQ.o _satfractSQDQ.o _satfractSQTQ.o _satfractSQHA.o _satfractSQSA.o _satfractSQDA.o _satfractSQTA.o _satfractSQUQQ.o _satfractSQUHQ.o _satfractSQUSQ.o _satfractSQUDQ.o _satfractSQUTQ.o _satfractSQUHA.o _satfractSQUSA.o _satfractSQUDA.o _satfractSQUTA.o _satfractDQQQ.o _satfractDQHQ.o _satfractDQSQ.o _satfractDQTQ.o _satfractDQHA.o _satfractDQSA.o _satfractDQDA.o _satfractDQTA.o _satfractDQUQQ.o _satfractDQUHQ.o _satfractDQUSQ.o _satfractDQUDQ.o _satfractDQUTQ.o _satfractDQUHA.o _satfractDQUSA.o _satfractDQUDA.o _satfractDQUTA.o _satfractTQQQ.o _satfractTQHQ.o _satfractTQSQ.o _satfractTQDQ.o _satfractTQHA.o _satfractTQSA.o _satfractTQDA.o _satfractTQTA.o _satfractTQUQQ.o _satfractTQUHQ.o _satfractTQUSQ.o _satfractTQUDQ.o _satfractTQUTQ.o _satfractTQUHA.o _satfractTQUSA.o _satfractTQUDA.o _satfractTQUTA.o _satfractHAQQ.o _satfractHAHQ.o _satfractHASQ.o _satfractHADQ.o _satfractHATQ.o _satfractHASA.o _satfractHADA.o _satfractHATA.o _satfractHAUQQ.o _satfractHAUHQ.o _satfractHAUSQ.o _satfractHAUDQ.o _satfractHAUTQ.o _satfractHAUHA.o _satfractHAUSA.o _satfractHAUDA.o _satfractHAUTA.o _satfractSAQQ.o _satfractSAHQ.o _satfractSASQ.o _satfractSADQ.o _satfractSATQ.o _satfractSAHA.o _satfractSADA.o _satfractSATA.o _satfractSAUQQ.o _satfractSAUHQ.o _satfractSAUSQ.o _satfractSAUDQ.o _satfractSAUTQ.o _satfractSAUHA.o _satfractSAUSA.o _satfractSAUDA.o _satfractSAUTA.o _satfractDAQQ.o _satfractDAHQ.o _satfractDASQ.o _satfractDADQ.o _satfractDATQ.o _satfractDAHA.o _satfractDASA.o _satfractDATA.o _satfractDAUQQ.o _satfractDAUHQ.o _satfractDAUSQ.o _satfractDAUDQ.o _satfractDAUTQ.o _satfractDAUHA.o _satfractDAUSA.o _satfractDAUDA.o _satfractDAUTA.o _satfractTAQQ.o _satfractTAHQ.o _satfractTASQ.o _satfractTADQ.o _satfractTATQ.o _satfractTAHA.o _satfractTASA.o _satfractTADA.o _satfractTAUQQ.o _satfractTAUHQ.o _satfractTAUSQ.o _satfractTAUDQ.o _satfractTAUTQ.o _satfractTAUHA.o _satfractTAUSA.o _satfractTAUDA.o _satfractTAUTA.o _satfractUQQQQ.o _satfractUQQHQ.o _satfractUQQSQ.o _satfractUQQDQ.o _satfractUQQTQ.o _satfractUQQHA.o _satfractUQQSA.o _satfractUQQDA.o _satfractUQQTA.o _satfractUQQUHQ.o _satfractUQQUSQ.o _satfractUQQUDQ.o _satfractUQQUTQ.o _satfractUQQUHA.o _satfractUQQUSA.o _satfractUQQUDA.o _satfractUQQUTA.o _satfractUHQQQ.o _satfractUHQHQ.o _satfractUHQSQ.o _satfractUHQDQ.o _satfractUHQTQ.o _satfractUHQHA.o _satfractUHQSA.o _satfractUHQDA.o _satfractUHQTA.o _satfractUHQUQQ.o _satfractUHQUSQ.o _satfractUHQUDQ.o _satfractUHQUTQ.o _satfractUHQUHA.o _satfractUHQUSA.o _satfractUHQUDA.o _satfractUHQUTA.o _satfractUSQQQ.o _satfractUSQHQ.o _satfractUSQSQ.o _satfractUSQDQ.o _satfractUSQTQ.o _satfractUSQHA.o _satfractUSQSA.o _satfractUSQDA.o _satfractUSQTA.o _satfractUSQUQQ.o _satfractUSQUHQ.o _satfractUSQUDQ.o _satfractUSQUTQ.o _satfractUSQUHA.o _satfractUSQUSA.o _satfractUSQUDA.o _satfractUSQUTA.o _satfractUDQQQ.o _satfractUDQHQ.o _satfractUDQSQ.o _satfractUDQDQ.o _satfractUDQTQ.o _satfractUDQHA.o _satfractUDQSA.o _satfractUDQDA.o _satfractUDQTA.o _satfractUDQUQQ.o _satfractUDQUHQ.o _satfractUDQUSQ.o _satfractUDQUTQ.o _satfractUDQUHA.o _satfractUDQUSA.o _satfractUDQUDA.o _satfractUDQUTA.o _satfractUTQQQ.o _satfractUTQHQ.o _satfractUTQSQ.o _satfractUTQDQ.o _satfractUTQTQ.o _satfractUTQHA.o _satfractUTQSA.o _satfractUTQDA.o _satfractUTQTA.o _satfractUTQUQQ.o _satfractUTQUHQ.o _satfractUTQUSQ.o _satfractUTQUDQ.o _satfractUTQUHA.o _satfractUTQUSA.o _satfractUTQUDA.o _satfractUTQUTA.o _satfractUHAQQ.o _satfractUHAHQ.o _satfractUHASQ.o _satfractUHADQ.o _satfractUHATQ.o _satfractUHAHA.o _satfractUHASA.o _satfractUHADA.o _satfractUHATA.o _satfractUHAUQQ.o _satfractUHAUHQ.o _satfractUHAUSQ.o _satfractUHAUDQ.o _satfractUHAUTQ.o _satfractUHAUSA.o _satfractUHAUDA.o _satfractUHAUTA.o _satfractUSAQQ.o _satfractUSAHQ.o _satfractUSASQ.o _satfractUSADQ.o _satfractUSATQ.o _satfractUSAHA.o _satfractUSASA.o _satfractUSADA.o _satfractUSATA.o _satfractUSAUQQ.o _satfractUSAUHQ.o _satfractUSAUSQ.o _satfractUSAUDQ.o _satfractUSAUTQ.o _satfractUSAUHA.o _satfractUSAUDA.o _satfractUSAUTA.o _satfractUDAQQ.o _satfractUDAHQ.o _satfractUDASQ.o _satfractUDADQ.o _satfractUDATQ.o _satfractUDAHA.o _satfractUDASA.o _satfractUDADA.o _satfractUDATA.o _satfractUDAUQQ.o _satfractUDAUHQ.o _satfractUDAUSQ.o _satfractUDAUDQ.o _satfractUDAUTQ.o _satfractUDAUHA.o _satfractUDAUSA.o _satfractUDAUTA.o _satfractUTAQQ.o _satfractUTAHQ.o _satfractUTASQ.o _satfractUTADQ.o _satfractUTATQ.o _satfractUTAHA.o _satfractUTASA.o _satfractUTADA.o _satfractUTATA.o _satfractUTAUQQ.o _satfractUTAUHQ.o _satfractUTAUSQ.o _satfractUTAUDQ.o _satfractUTAUTQ.o _satfractUTAUHA.o _satfractUTAUSA.o _satfractUTAUDA.o _satfractQIQQ.o _satfractQIHQ.o _satfractQISQ.o _satfractQIDQ.o _satfractQITQ.o _satfractQIHA.o _satfractQISA.o _satfractQIDA.o _satfractQITA.o _satfractQIUQQ.o _satfractQIUHQ.o _satfractQIUSQ.o _satfractQIUDQ.o _satfractQIUTQ.o _satfractQIUHA.o _satfractQIUSA.o _satfractQIUDA.o _satfractQIUTA.o _satfractHIQQ.o _satfractHIHQ.o _satfractHISQ.o _satfractHIDQ.o _satfractHITQ.o _satfractHIHA.o _satfractHISA.o _satfractHIDA.o _satfractHITA.o _satfractHIUQQ.o _satfractHIUHQ.o _satfractHIUSQ.o _satfractHIUDQ.o _satfractHIUTQ.o _satfractHIUHA.o _satfractHIUSA.o _satfractHIUDA.o _satfractHIUTA.o _satfractSIQQ.o _satfractSIHQ.o _satfractSISQ.o _satfractSIDQ.o _satfractSITQ.o _satfractSIHA.o _satfractSISA.o _satfractSIDA.o _satfractSITA.o _satfractSIUQQ.o _satfractSIUHQ.o _satfractSIUSQ.o _satfractSIUDQ.o _satfractSIUTQ.o _satfractSIUHA.o _satfractSIUSA.o _satfractSIUDA.o _satfractSIUTA.o _satfractDIQQ.o _satfractDIHQ.o _satfractDISQ.o _satfractDIDQ.o _satfractDITQ.o _satfractDIHA.o _satfractDISA.o _satfractDIDA.o _satfractDITA.o _satfractDIUQQ.o _satfractDIUHQ.o _satfractDIUSQ.o _satfractDIUDQ.o _satfractDIUTQ.o _satfractDIUHA.o _satfractDIUSA.o _satfractDIUDA.o _satfractDIUTA.o _satfractTIQQ.o _satfractTIHQ.o _satfractTISQ.o _satfractTIDQ.o _satfractTITQ.o _satfractTIHA.o _satfractTISA.o _satfractTIDA.o _satfractTITA.o _satfractTIUQQ.o _satfractTIUHQ.o _satfractTIUSQ.o _satfractTIUDQ.o _satfractTIUTQ.o _satfractTIUHA.o _satfractTIUSA.o _satfractTIUDA.o _satfractTIUTA.o _satfractSFQQ.o _satfractSFHQ.o _satfractSFSQ.o _satfractSFDQ.o _satfractSFTQ.o _satfractSFHA.o _satfractSFSA.o _satfractSFDA.o _satfractSFTA.o _satfractSFUQQ.o _satfractSFUHQ.o _satfractSFUSQ.o _satfractSFUDQ.o _satfractSFUTQ.o _satfractSFUHA.o _satfractSFUSA.o _satfractSFUDA.o _satfractSFUTA.o _satfractDFQQ.o _satfractDFHQ.o _satfractDFSQ.o _satfractDFDQ.o _satfractDFTQ.o _satfractDFHA.o _satfractDFSA.o _satfractDFDA.o _satfractDFTA.o _satfractDFUQQ.o _satfractDFUHQ.o _satfractDFUSQ.o _satfractDFUDQ.o _satfractDFUTQ.o _satfractDFUHA.o _satfractDFUSA.o _satfractDFUDA.o _satfractDFUTA.o _fractunsQQUQI.o _fractunsQQUHI.o _fractunsQQUSI.o _fractunsQQUDI.o _fractunsQQUTI.o _fractunsHQUQI.o _fractunsHQUHI.o _fractunsHQUSI.o _fractunsHQUDI.o _fractunsHQUTI.o _fractunsSQUQI.o _fractunsSQUHI.o _fractunsSQUSI.o _fractunsSQUDI.o _fractunsSQUTI.o _fractunsDQUQI.o _fractunsDQUHI.o _fractunsDQUSI.o _fractunsDQUDI.o _fractunsDQUTI.o _fractunsTQUQI.o _fractunsTQUHI.o _fractunsTQUSI.o _fractunsTQUDI.o _fractunsTQUTI.o _fractunsHAUQI.o _fractunsHAUHI.o _fractunsHAUSI.o _fractunsHAUDI.o _fractunsHAUTI.o _fractunsSAUQI.o _fractunsSAUHI.o _fractunsSAUSI.o _fractunsSAUDI.o _fractunsSAUTI.o _fractunsDAUQI.o _fractunsDAUHI.o _fractunsDAUSI.o _fractunsDAUDI.o _fractunsDAUTI.o _fractunsTAUQI.o _fractunsTAUHI.o _fractunsTAUSI.o _fractunsTAUDI.o _fractunsTAUTI.o _fractunsUQQUQI.o _fractunsUQQUHI.o _fractunsUQQUSI.o _fractunsUQQUDI.o _fractunsUQQUTI.o _fractunsUHQUQI.o _fractunsUHQUHI.o _fractunsUHQUSI.o _fractunsUHQUDI.o _fractunsUHQUTI.o _fractunsUSQUQI.o _fractunsUSQUHI.o _fractunsUSQUSI.o _fractunsUSQUDI.o _fractunsUSQUTI.o _fractunsUDQUQI.o _fractunsUDQUHI.o _fractunsUDQUSI.o _fractunsUDQUDI.o _fractunsUDQUTI.o _fractunsUTQUQI.o _fractunsUTQUHI.o _fractunsUTQUSI.o _fractunsUTQUDI.o _fractunsUTQUTI.o _fractunsUHAUQI.o _fractunsUHAUHI.o _fractunsUHAUSI.o _fractunsUHAUDI.o _fractunsUHAUTI.o _fractunsUSAUQI.o _fractunsUSAUHI.o _fractunsUSAUSI.o _fractunsUSAUDI.o _fractunsUSAUTI.o _fractunsUDAUQI.o _fractunsUDAUHI.o _fractunsUDAUSI.o _fractunsUDAUDI.o _fractunsUDAUTI.o _fractunsUTAUQI.o _fractunsUTAUHI.o _fractunsUTAUSI.o _fractunsUTAUDI.o _fractunsUTAUTI.o _fractunsUQIQQ.o _fractunsUQIHQ.o _fractunsUQISQ.o _fractunsUQIDQ.o _fractunsUQITQ.o _fractunsUQIHA.o _fractunsUQISA.o _fractunsUQIDA.o _fractunsUQITA.o _fractunsUQIUQQ.o _fractunsUQIUHQ.o _fractunsUQIUSQ.o _fractunsUQIUDQ.o _fractunsUQIUTQ.o _fractunsUQIUHA.o _fractunsUQIUSA.o _fractunsUQIUDA.o _fractunsUQIUTA.o _fractunsUHIQQ.o _fractunsUHIHQ.o _fractunsUHISQ.o _fractunsUHIDQ.o _fractunsUHITQ.o _fractunsUHIHA.o _fractunsUHISA.o _fractunsUHIDA.o _fractunsUHITA.o _fractunsUHIUQQ.o _fractunsUHIUHQ.o _fractunsUHIUSQ.o _fractunsUHIUDQ.o _fractunsUHIUTQ.o _fractunsUHIUHA.o _fractunsUHIUSA.o _fractunsUHIUDA.o _fractunsUHIUTA.o _fractunsUSIQQ.o _fractunsUSIHQ.o _fractunsUSISQ.o _fractunsUSIDQ.o _fractunsUSITQ.o _fractunsUSIHA.o _fractunsUSISA.o _fractunsUSIDA.o _fractunsUSITA.o _fractunsUSIUQQ.o _fractunsUSIUHQ.o _fractunsUSIUSQ.o _fractunsUSIUDQ.o _fractunsUSIUTQ.o _fractunsUSIUHA.o _fractunsUSIUSA.o _fractunsUSIUDA.o _fractunsUSIUTA.o _fractunsUDIQQ.o _fractunsUDIHQ.o _fractunsUDISQ.o _fractunsUDIDQ.o _fractunsUDITQ.o _fractunsUDIHA.o _fractunsUDISA.o _fractunsUDIDA.o _fractunsUDITA.o _fractunsUDIUQQ.o _fractunsUDIUHQ.o _fractunsUDIUSQ.o _fractunsUDIUDQ.o _fractunsUDIUTQ.o _fractunsUDIUHA.o _fractunsUDIUSA.o _fractunsUDIUDA.o _fractunsUDIUTA.o _fractunsUTIQQ.o _fractunsUTIHQ.o _fractunsUTISQ.o _fractunsUTIDQ.o _fractunsUTITQ.o _fractunsUTIHA.o _fractunsUTISA.o _fractunsUTIDA.o _fractunsUTITA.o _fractunsUTIUQQ.o _fractunsUTIUHQ.o _fractunsUTIUSQ.o _fractunsUTIUDQ.o _fractunsUTIUTQ.o _fractunsUTIUHA.o _fractunsUTIUSA.o _fractunsUTIUDA.o _fractunsUTIUTA.o _satfractunsUQIQQ.o _satfractunsUQIHQ.o _satfractunsUQISQ.o _satfractunsUQIDQ.o _satfractunsUQITQ.o _satfractunsUQIHA.o _satfractunsUQISA.o _satfractunsUQIDA.o _satfractunsUQITA.o _satfractunsUQIUQQ.o _satfractunsUQIUHQ.o _satfractunsUQIUSQ.o _satfractunsUQIUDQ.o _satfractunsUQIUTQ.o _satfractunsUQIUHA.o _satfractunsUQIUSA.o _satfractunsUQIUDA.o _satfractunsUQIUTA.o _satfractunsUHIQQ.o _satfractunsUHIHQ.o _satfractunsUHISQ.o _satfractunsUHIDQ.o _satfractunsUHITQ.o _satfractunsUHIHA.o _satfractunsUHISA.o _satfractunsUHIDA.o _satfractunsUHITA.o _satfractunsUHIUQQ.o _satfractunsUHIUHQ.o _satfractunsUHIUSQ.o _satfractunsUHIUDQ.o _satfractunsUHIUTQ.o _satfractunsUHIUHA.o _satfractunsUHIUSA.o _satfractunsUHIUDA.o _satfractunsUHIUTA.o _satfractunsUSIQQ.o _satfractunsUSIHQ.o _satfractunsUSISQ.o _satfractunsUSIDQ.o _satfractunsUSITQ.o _satfractunsUSIHA.o _satfractunsUSISA.o _satfractunsUSIDA.o _satfractunsUSITA.o _satfractunsUSIUQQ.o _satfractunsUSIUHQ.o _satfractunsUSIUSQ.o _satfractunsUSIUDQ.o _satfractunsUSIUTQ.o _satfractunsUSIUHA.o _satfractunsUSIUSA.o _satfractunsUSIUDA.o _satfractunsUSIUTA.o _satfractunsUDIQQ.o _satfractunsUDIHQ.o _satfractunsUDISQ.o _satfractunsUDIDQ.o _satfractunsUDITQ.o _satfractunsUDIHA.o _satfractunsUDISA.o _satfractunsUDIDA.o _satfractunsUDITA.o _satfractunsUDIUQQ.o _satfractunsUDIUHQ.o _satfractunsUDIUSQ.o _satfractunsUDIUDQ.o _satfractunsUDIUTQ.o _satfractunsUDIUHA.o _satfractunsUDIUSA.o _satfractunsUDIUDA.o _satfractunsUDIUTA.o _satfractunsUTIQQ.o _satfractunsUTIHQ.o _satfractunsUTISQ.o _satfractunsUTIDQ.o _satfractunsUTITQ.o _satfractunsUTIHA.o _satfractunsUTISA.o _satfractunsUTIDA.o _satfractunsUTITA.o _satfractunsUTIUQQ.o _satfractunsUTIUHQ.o _satfractunsUTIUSQ.o _satfractunsUTIUDQ.o _satfractunsUTIUTQ.o _satfractunsUTIUHA.o _satfractunsUTIUSA.o _satfractunsUTIUDA.o _satfractunsUTIUTA.o bpabi.o unaligned-funcs.o addsf3.o divsf3.o eqsf2.o gesf2.o lesf2.o mulsf3.o negsf2.o subsf3.o unordsf2.o fixsfsi.o floatsisf.o floatunsisf.o adddf3.o divdf3.o eqdf2.o gedf2.o ledf2.o muldf3.o negdf2.o subdf3.o unorddf2.o fixdfsi.o floatsidf.o floatunsidf.o extendsfdf2.o truncdfsf2.o enable-execute-stack.o fp16.o linux-atomic.o linux-atomic-64bit.o";					\
if test -z "$objects"; then				\
  echo 'int __libgcc_eh_dummy;' > eh_dummy.c;		\
  /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -fvisibility=hidden -DHIDE_EXPORTS -c eh_dummy.c		\
     -o eh_dummy.o;				\
  objects=eh_dummy.o;				\
fi;							\
arm-linux-gnueabihf-ar  rc libgcc.a $objects
rm -f libgcc_eh.a
objects="unwind-arm.o libunwind.o pr-support.o unwind-c.o emutls.o";					\
if test -z "$objects"; then				\
  echo 'int __libgcc_eh_dummy;' > eh_dummy.c;		\
  /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -g -O2 -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS   -fvisibility=hidden -DHIDE_EXPORTS -c eh_dummy.c		\
     -o eh_dummy.o;				\
  objects=eh_dummy.o;				\
fi;							\
arm-linux-gnueabihf-ar  rc libgcc_eh.a $objects
arm-linux-gnueabihf-ranlib libgcc_eh.a
mv tmp-libgcc.map libgcc.map
arm-linux-gnueabihf-ranlib libgcc.a
# @multilib_flags@ is still needed because this may use
# /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    and -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  directly.
# @multilib_dir@ is not really necessary, but sometimes it has
# more uses than just a directory name.
/bin/bash ../../../src/libgcc/../mkinstalldirs .
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -shared -nodefaultlibs -Wl,--soname=libgcc_s.so.1 -Wl,--version-script=libgcc.map -Wl,-z,relro -o ./libgcc_s.so.1.tmp -g -O2 -B./ _thumb1_case_sqi_s.o _thumb1_case_uqi_s.o _thumb1_case_shi_s.o _thumb1_case_uhi_s.o _thumb1_case_si_s.o _speculation_barrier_s.o _arm_muldf3_s.o _arm_mulsf3_s.o _udivsi3_s.o _divsi3_s.o _umodsi3_s.o _modsi3_s.o _bb_init_func_s.o _call_via_rX_s.o _interwork_call_via_rX_s.o _lshrdi3_s.o _ashrdi3_s.o _ashldi3_s.o _arm_negdf2_s.o _arm_addsubdf3_s.o _arm_muldivdf3_s.o _arm_cmpdf2_s.o _arm_unorddf2_s.o _arm_fixdfsi_s.o _arm_fixunsdfsi_s.o _arm_truncdfsf2_s.o _arm_negsf2_s.o _arm_addsubsf3_s.o _arm_muldivsf3_s.o _arm_cmpsf2_s.o _arm_unordsf2_s.o _arm_fixsfsi_s.o _arm_fixunssfsi_s.o _arm_floatdidf_s.o _arm_floatdisf_s.o _arm_floatundidf_s.o _arm_floatundisf_s.o _clzsi2_s.o _clzdi2_s.o _ctzsi2_s.o _aeabi_lcmp_s.o _aeabi_ulcmp_s.o _aeabi_ldivmod_s.o _aeabi_uldivmod_s.o _dvmd_lnx_s.o _clear_cache_s.o _muldi3_s.o _negdi2_s.o _cmpdi2_s.o _ucmpdi2_s.o _trampoline_s.o __main_s.o _absvsi2_s.o _absvdi2_s.o _addvsi3_s.o _addvdi3_s.o _subvsi3_s.o _subvdi3_s.o _mulvsi3_s.o _mulvdi3_s.o _negvsi2_s.o _negvdi2_s.o _ctors_s.o _ffssi2_s.o _ffsdi2_s.o _clz_s.o _ctzdi2_s.o _popcount_tab_s.o _popcountsi2_s.o _popcountdi2_s.o _paritysi2_s.o _paritydi2_s.o _powisf2_s.o _powidf2_s.o _powixf2_s.o _powitf2_s.o _mulhc3_s.o _mulsc3_s.o _muldc3_s.o _mulxc3_s.o _multc3_s.o _divhc3_s.o _divsc3_s.o _divdc3_s.o _divxc3_s.o _divtc3_s.o _bswapsi2_s.o _bswapdi2_s.o _clrsbsi2_s.o _clrsbdi2_s.o _fixunssfsi_s.o _fixunsdfsi_s.o _fixunsxfsi_s.o _fixsfdi_s.o _fixdfdi_s.o _fixxfdi_s.o _fixtfdi_s.o _fixunssfdi_s.o _fixunsdfdi_s.o _fixunsxfdi_s.o _fixunstfdi_s.o _floatdisf_s.o _floatdidf_s.o _floatdixf_s.o _floatditf_s.o _floatundisf_s.o _floatundidf_s.o _floatundixf_s.o _floatunditf_s.o _divdi3_s.o _moddi3_s.o _divmoddi4_s.o _udivdi3_s.o _umoddi3_s.o _udivmoddi4_s.o _udiv_w_sdiv_s.o _addQQ_s.o _addHQ_s.o _addSQ_s.o _addDQ_s.o _addTQ_s.o _addHA_s.o _addSA_s.o _addDA_s.o _addTA_s.o _addUQQ_s.o _addUHQ_s.o _addUSQ_s.o _addUDQ_s.o _addUTQ_s.o _addUHA_s.o _addUSA_s.o _addUDA_s.o _addUTA_s.o _subQQ_s.o _subHQ_s.o _subSQ_s.o _subDQ_s.o _subTQ_s.o _subHA_s.o _subSA_s.o _subDA_s.o _subTA_s.o _subUQQ_s.o _subUHQ_s.o _subUSQ_s.o _subUDQ_s.o _subUTQ_s.o _subUHA_s.o _subUSA_s.o _subUDA_s.o _subUTA_s.o _negQQ_s.o _negHQ_s.o _negSQ_s.o _negDQ_s.o _negTQ_s.o _negHA_s.o _negSA_s.o _negDA_s.o _negTA_s.o _negUQQ_s.o _negUHQ_s.o _negUSQ_s.o _negUDQ_s.o _negUTQ_s.o _negUHA_s.o _negUSA_s.o _negUDA_s.o _negUTA_s.o _mulQQ_s.o _mulHQ_s.o _mulSQ_s.o _mulDQ_s.o _mulTQ_s.o _mulHA_s.o _mulSA_s.o _mulDA_s.o _mulTA_s.o _mulUQQ_s.o _mulUHQ_s.o _mulUSQ_s.o _mulUDQ_s.o _mulUTQ_s.o _mulUHA_s.o _mulUSA_s.o _mulUDA_s.o _mulUTA_s.o _mulhelperQQ_s.o _mulhelperHQ_s.o _mulhelperSQ_s.o _mulhelperDQ_s.o _mulhelperTQ_s.o _mulhelperHA_s.o _mulhelperSA_s.o _mulhelperDA_s.o _mulhelperTA_s.o _mulhelperUQQ_s.o _mulhelperUHQ_s.o _mulhelperUSQ_s.o _mulhelperUDQ_s.o _mulhelperUTQ_s.o _mulhelperUHA_s.o _mulhelperUSA_s.o _mulhelperUDA_s.o _mulhelperUTA_s.o _divhelperQQ_s.o _divhelperHQ_s.o _divhelperSQ_s.o _divhelperDQ_s.o _divhelperTQ_s.o _divhelperHA_s.o _divhelperSA_s.o _divhelperDA_s.o _divhelperTA_s.o _divhelperUQQ_s.o _divhelperUHQ_s.o _divhelperUSQ_s.o _divhelperUDQ_s.o _divhelperUTQ_s.o _divhelperUHA_s.o _divhelperUSA_s.o _divhelperUDA_s.o _divhelperUTA_s.o _ashlQQ_s.o _ashlHQ_s.o _ashlSQ_s.o _ashlDQ_s.o _ashlTQ_s.o _ashlHA_s.o _ashlSA_s.o _ashlDA_s.o _ashlTA_s.o _ashlUQQ_s.o _ashlUHQ_s.o _ashlUSQ_s.o _ashlUDQ_s.o _ashlUTQ_s.o _ashlUHA_s.o _ashlUSA_s.o _ashlUDA_s.o _ashlUTA_s.o _ashlhelperQQ_s.o _ashlhelperHQ_s.o _ashlhelperSQ_s.o _ashlhelperDQ_s.o _ashlhelperTQ_s.o _ashlhelperHA_s.o _ashlhelperSA_s.o _ashlhelperDA_s.o _ashlhelperTA_s.o _ashlhelperUQQ_s.o _ashlhelperUHQ_s.o _ashlhelperUSQ_s.o _ashlhelperUDQ_s.o _ashlhelperUTQ_s.o _ashlhelperUHA_s.o _ashlhelperUSA_s.o _ashlhelperUDA_s.o _ashlhelperUTA_s.o _cmpQQ_s.o _cmpHQ_s.o _cmpSQ_s.o _cmpDQ_s.o _cmpTQ_s.o _cmpHA_s.o _cmpSA_s.o _cmpDA_s.o _cmpTA_s.o _cmpUQQ_s.o _cmpUHQ_s.o _cmpUSQ_s.o _cmpUDQ_s.o _cmpUTQ_s.o _cmpUHA_s.o _cmpUSA_s.o _cmpUDA_s.o _cmpUTA_s.o _saturate1QQ_s.o _saturate1HQ_s.o _saturate1SQ_s.o _saturate1DQ_s.o _saturate1TQ_s.o _saturate1HA_s.o _saturate1SA_s.o _saturate1DA_s.o _saturate1TA_s.o _saturate1UQQ_s.o _saturate1UHQ_s.o _saturate1USQ_s.o _saturate1UDQ_s.o _saturate1UTQ_s.o _saturate1UHA_s.o _saturate1USA_s.o _saturate1UDA_s.o _saturate1UTA_s.o _saturate2QQ_s.o _saturate2HQ_s.o _saturate2SQ_s.o _saturate2DQ_s.o _saturate2TQ_s.o _saturate2HA_s.o _saturate2SA_s.o _saturate2DA_s.o _saturate2TA_s.o _saturate2UQQ_s.o _saturate2UHQ_s.o _saturate2USQ_s.o _saturate2UDQ_s.o _saturate2UTQ_s.o _saturate2UHA_s.o _saturate2USA_s.o _saturate2UDA_s.o _saturate2UTA_s.o _ssaddQQ_s.o _ssaddHQ_s.o _ssaddSQ_s.o _ssaddDQ_s.o _ssaddTQ_s.o _ssaddHA_s.o _ssaddSA_s.o _ssaddDA_s.o _ssaddTA_s.o _sssubQQ_s.o _sssubHQ_s.o _sssubSQ_s.o _sssubDQ_s.o _sssubTQ_s.o _sssubHA_s.o _sssubSA_s.o _sssubDA_s.o _sssubTA_s.o _ssnegQQ_s.o _ssnegHQ_s.o _ssnegSQ_s.o _ssnegDQ_s.o _ssnegTQ_s.o _ssnegHA_s.o _ssnegSA_s.o _ssnegDA_s.o _ssnegTA_s.o _ssmulQQ_s.o _ssmulHQ_s.o _ssmulSQ_s.o _ssmulDQ_s.o _ssmulTQ_s.o _ssmulHA_s.o _ssmulSA_s.o _ssmulDA_s.o _ssmulTA_s.o _ssdivQQ_s.o _ssdivHQ_s.o _ssdivSQ_s.o _ssdivDQ_s.o _ssdivTQ_s.o _ssdivHA_s.o _ssdivSA_s.o _ssdivDA_s.o _ssdivTA_s.o _divQQ_s.o _divHQ_s.o _divSQ_s.o _divDQ_s.o _divTQ_s.o _divHA_s.o _divSA_s.o _divDA_s.o _divTA_s.o _ssashlQQ_s.o _ssashlHQ_s.o _ssashlSQ_s.o _ssashlDQ_s.o _ssashlTQ_s.o _ssashlHA_s.o _ssashlSA_s.o _ssashlDA_s.o _ssashlTA_s.o _ashrQQ_s.o _ashrHQ_s.o _ashrSQ_s.o _ashrDQ_s.o _ashrTQ_s.o _ashrHA_s.o _ashrSA_s.o _ashrDA_s.o _ashrTA_s.o _usaddUQQ_s.o _usaddUHQ_s.o _usaddUSQ_s.o _usaddUDQ_s.o _usaddUTQ_s.o _usaddUHA_s.o _usaddUSA_s.o _usaddUDA_s.o _usaddUTA_s.o _ussubUQQ_s.o _ussubUHQ_s.o _ussubUSQ_s.o _ussubUDQ_s.o _ussubUTQ_s.o _ussubUHA_s.o _ussubUSA_s.o _ussubUDA_s.o _ussubUTA_s.o _usnegUQQ_s.o _usnegUHQ_s.o _usnegUSQ_s.o _usnegUDQ_s.o _usnegUTQ_s.o _usnegUHA_s.o _usnegUSA_s.o _usnegUDA_s.o _usnegUTA_s.o _usmulUQQ_s.o _usmulUHQ_s.o _usmulUSQ_s.o _usmulUDQ_s.o _usmulUTQ_s.o _usmulUHA_s.o _usmulUSA_s.o _usmulUDA_s.o _usmulUTA_s.o _usdivUQQ_s.o _usdivUHQ_s.o _usdivUSQ_s.o _usdivUDQ_s.o _usdivUTQ_s.o _usdivUHA_s.o _usdivUSA_s.o _usdivUDA_s.o _usdivUTA_s.o _udivUQQ_s.o _udivUHQ_s.o _udivUSQ_s.o _udivUDQ_s.o _udivUTQ_s.o _udivUHA_s.o _udivUSA_s.o _udivUDA_s.o _udivUTA_s.o _usashlUQQ_s.o _usashlUHQ_s.o _usashlUSQ_s.o _usashlUDQ_s.o _usashlUTQ_s.o _usashlUHA_s.o _usashlUSA_s.o _usashlUDA_s.o _usashlUTA_s.o _lshrUQQ_s.o _lshrUHQ_s.o _lshrUSQ_s.o _lshrUDQ_s.o _lshrUTQ_s.o _lshrUHA_s.o _lshrUSA_s.o _lshrUDA_s.o _lshrUTA_s.o _fractQQHQ_s.o _fractQQSQ_s.o _fractQQDQ_s.o _fractQQTQ_s.o _fractQQHA_s.o _fractQQSA_s.o _fractQQDA_s.o _fractQQTA_s.o _fractQQUQQ_s.o _fractQQUHQ_s.o _fractQQUSQ_s.o _fractQQUDQ_s.o _fractQQUTQ_s.o _fractQQUHA_s.o _fractQQUSA_s.o _fractQQUDA_s.o _fractQQUTA_s.o _fractQQQI_s.o _fractQQHI_s.o _fractQQSI_s.o _fractQQDI_s.o _fractQQTI_s.o _fractQQSF_s.o _fractQQDF_s.o _fractHQQQ_s.o _fractHQSQ_s.o _fractHQDQ_s.o _fractHQTQ_s.o _fractHQHA_s.o _fractHQSA_s.o _fractHQDA_s.o _fractHQTA_s.o _fractHQUQQ_s.o _fractHQUHQ_s.o _fractHQUSQ_s.o _fractHQUDQ_s.o _fractHQUTQ_s.o _fractHQUHA_s.o _fractHQUSA_s.o _fractHQUDA_s.o _fractHQUTA_s.o _fractHQQI_s.o _fractHQHI_s.o _fractHQSI_s.o _fractHQDI_s.o _fractHQTI_s.o _fractHQSF_s.o _fractHQDF_s.o _fractSQQQ_s.o _fractSQHQ_s.o _fractSQDQ_s.o _fractSQTQ_s.o _fractSQHA_s.o _fractSQSA_s.o _fractSQDA_s.o _fractSQTA_s.o _fractSQUQQ_s.o _fractSQUHQ_s.o _fractSQUSQ_s.o _fractSQUDQ_s.o _fractSQUTQ_s.o _fractSQUHA_s.o _fractSQUSA_s.o _fractSQUDA_s.o _fractSQUTA_s.o _fractSQQI_s.o _fractSQHI_s.o _fractSQSI_s.o _fractSQDI_s.o _fractSQTI_s.o _fractSQSF_s.o _fractSQDF_s.o _fractDQQQ_s.o _fractDQHQ_s.o _fractDQSQ_s.o _fractDQTQ_s.o _fractDQHA_s.o _fractDQSA_s.o _fractDQDA_s.o _fractDQTA_s.o _fractDQUQQ_s.o _fractDQUHQ_s.o _fractDQUSQ_s.o _fractDQUDQ_s.o _fractDQUTQ_s.o _fractDQUHA_s.o _fractDQUSA_s.o _fractDQUDA_s.o _fractDQUTA_s.o _fractDQQI_s.o _fractDQHI_s.o _fractDQSI_s.o _fractDQDI_s.o _fractDQTI_s.o _fractDQSF_s.o _fractDQDF_s.o _fractTQQQ_s.o _fractTQHQ_s.o _fractTQSQ_s.o _fractTQDQ_s.o _fractTQHA_s.o _fractTQSA_s.o _fractTQDA_s.o _fractTQTA_s.o _fractTQUQQ_s.o _fractTQUHQ_s.o _fractTQUSQ_s.o _fractTQUDQ_s.o _fractTQUTQ_s.o _fractTQUHA_s.o _fractTQUSA_s.o _fractTQUDA_s.o _fractTQUTA_s.o _fractTQQI_s.o _fractTQHI_s.o _fractTQSI_s.o _fractTQDI_s.o _fractTQTI_s.o _fractTQSF_s.o _fractTQDF_s.o _fractHAQQ_s.o _fractHAHQ_s.o _fractHASQ_s.o _fractHADQ_s.o _fractHATQ_s.o _fractHASA_s.o _fractHADA_s.o _fractHATA_s.o _fractHAUQQ_s.o _fractHAUHQ_s.o _fractHAUSQ_s.o _fractHAUDQ_s.o _fractHAUTQ_s.o _fractHAUHA_s.o _fractHAUSA_s.o _fractHAUDA_s.o _fractHAUTA_s.o _fractHAQI_s.o _fractHAHI_s.o _fractHASI_s.o _fractHADI_s.o _fractHATI_s.o _fractHASF_s.o _fractHADF_s.o _fractSAQQ_s.o _fractSAHQ_s.o _fractSASQ_s.o _fractSADQ_s.o _fractSATQ_s.o _fractSAHA_s.o _fractSADA_s.o _fractSATA_s.o _fractSAUQQ_s.o _fractSAUHQ_s.o _fractSAUSQ_s.o _fractSAUDQ_s.o _fractSAUTQ_s.o _fractSAUHA_s.o _fractSAUSA_s.o _fractSAUDA_s.o _fractSAUTA_s.o _fractSAQI_s.o _fractSAHI_s.o _fractSASI_s.o _fractSADI_s.o _fractSATI_s.o _fractSASF_s.o _fractSADF_s.o _fractDAQQ_s.o _fractDAHQ_s.o _fractDASQ_s.o _fractDADQ_s.o _fractDATQ_s.o _fractDAHA_s.o _fractDASA_s.o _fractDATA_s.o _fractDAUQQ_s.o _fractDAUHQ_s.o _fractDAUSQ_s.o _fractDAUDQ_s.o _fractDAUTQ_s.o _fractDAUHA_s.o _fractDAUSA_s.o _fractDAUDA_s.o _fractDAUTA_s.o _fractDAQI_s.o _fractDAHI_s.o _fractDASI_s.o _fractDADI_s.o _fractDATI_s.o _fractDASF_s.o _fractDADF_s.o _fractTAQQ_s.o _fractTAHQ_s.o _fractTASQ_s.o _fractTADQ_s.o _fractTATQ_s.o _fractTAHA_s.o _fractTASA_s.o _fractTADA_s.o _fractTAUQQ_s.o _fractTAUHQ_s.o _fractTAUSQ_s.o _fractTAUDQ_s.o _fractTAUTQ_s.o _fractTAUHA_s.o _fractTAUSA_s.o _fractTAUDA_s.o _fractTAUTA_s.o _fractTAQI_s.o _fractTAHI_s.o _fractTASI_s.o _fractTADI_s.o _fractTATI_s.o _fractTASF_s.o _fractTADF_s.o _fractUQQQQ_s.o _fractUQQHQ_s.o _fractUQQSQ_s.o _fractUQQDQ_s.o _fractUQQTQ_s.o _fractUQQHA_s.o _fractUQQSA_s.o _fractUQQDA_s.o _fractUQQTA_s.o _fractUQQUHQ_s.o _fractUQQUSQ_s.o _fractUQQUDQ_s.o _fractUQQUTQ_s.o _fractUQQUHA_s.o _fractUQQUSA_s.o _fractUQQUDA_s.o _fractUQQUTA_s.o _fractUQQQI_s.o _fractUQQHI_s.o _fractUQQSI_s.o _fractUQQDI_s.o _fractUQQTI_s.o _fractUQQSF_s.o _fractUQQDF_s.o _fractUHQQQ_s.o _fractUHQHQ_s.o _fractUHQSQ_s.o _fractUHQDQ_s.o _fractUHQTQ_s.o _fractUHQHA_s.o _fractUHQSA_s.o _fractUHQDA_s.o _fractUHQTA_s.o _fractUHQUQQ_s.o _fractUHQUSQ_s.o _fractUHQUDQ_s.o _fractUHQUTQ_s.o _fractUHQUHA_s.o _fractUHQUSA_s.o _fractUHQUDA_s.o _fractUHQUTA_s.o _fractUHQQI_s.o _fractUHQHI_s.o _fractUHQSI_s.o _fractUHQDI_s.o _fractUHQTI_s.o _fractUHQSF_s.o _fractUHQDF_s.o _fractUSQQQ_s.o _fractUSQHQ_s.o _fractUSQSQ_s.o _fractUSQDQ_s.o _fractUSQTQ_s.o _fractUSQHA_s.o _fractUSQSA_s.o _fractUSQDA_s.o _fractUSQTA_s.o _fractUSQUQQ_s.o _fractUSQUHQ_s.o _fractUSQUDQ_s.o _fractUSQUTQ_s.o _fractUSQUHA_s.o _fractUSQUSA_s.o _fractUSQUDA_s.o _fractUSQUTA_s.o _fractUSQQI_s.o _fractUSQHI_s.o _fractUSQSI_s.o _fractUSQDI_s.o _fractUSQTI_s.o _fractUSQSF_s.o _fractUSQDF_s.o _fractUDQQQ_s.o _fractUDQHQ_s.o _fractUDQSQ_s.o _fractUDQDQ_s.o _fractUDQTQ_s.o _fractUDQHA_s.o _fractUDQSA_s.o _fractUDQDA_s.o _fractUDQTA_s.o _fractUDQUQQ_s.o _fractUDQUHQ_s.o _fractUDQUSQ_s.o _fractUDQUTQ_s.o _fractUDQUHA_s.o _fractUDQUSA_s.o _fractUDQUDA_s.o _fractUDQUTA_s.o _fractUDQQI_s.o _fractUDQHI_s.o _fractUDQSI_s.o _fractUDQDI_s.o _fractUDQTI_s.o _fractUDQSF_s.o _fractUDQDF_s.o _fractUTQQQ_s.o _fractUTQHQ_s.o _fractUTQSQ_s.o _fractUTQDQ_s.o _fractUTQTQ_s.o _fractUTQHA_s.o _fractUTQSA_s.o _fractUTQDA_s.o _fractUTQTA_s.o _fractUTQUQQ_s.o _fractUTQUHQ_s.o _fractUTQUSQ_s.o _fractUTQUDQ_s.o _fractUTQUHA_s.o _fractUTQUSA_s.o _fractUTQUDA_s.o _fractUTQUTA_s.o _fractUTQQI_s.o _fractUTQHI_s.o _fractUTQSI_s.o _fractUTQDI_s.o _fractUTQTI_s.o _fractUTQSF_s.o _fractUTQDF_s.o _fractUHAQQ_s.o _fractUHAHQ_s.o _fractUHASQ_s.o _fractUHADQ_s.o _fractUHATQ_s.o _fractUHAHA_s.o _fractUHASA_s.o _fractUHADA_s.o _fractUHATA_s.o _fractUHAUQQ_s.o _fractUHAUHQ_s.o _fractUHAUSQ_s.o _fractUHAUDQ_s.o _fractUHAUTQ_s.o _fractUHAUSA_s.o _fractUHAUDA_s.o _fractUHAUTA_s.o _fractUHAQI_s.o _fractUHAHI_s.o _fractUHASI_s.o _fractUHADI_s.o _fractUHATI_s.o _fractUHASF_s.o _fractUHADF_s.o _fractUSAQQ_s.o _fractUSAHQ_s.o _fractUSASQ_s.o _fractUSADQ_s.o _fractUSATQ_s.o _fractUSAHA_s.o _fractUSASA_s.o _fractUSADA_s.o _fractUSATA_s.o _fractUSAUQQ_s.o _fractUSAUHQ_s.o _fractUSAUSQ_s.o _fractUSAUDQ_s.o _fractUSAUTQ_s.o _fractUSAUHA_s.o _fractUSAUDA_s.o _fractUSAUTA_s.o _fractUSAQI_s.o _fractUSAHI_s.o _fractUSASI_s.o _fractUSADI_s.o _fractUSATI_s.o _fractUSASF_s.o _fractUSADF_s.o _fractUDAQQ_s.o _fractUDAHQ_s.o _fractUDASQ_s.o _fractUDADQ_s.o _fractUDATQ_s.o _fractUDAHA_s.o _fractUDASA_s.o _fractUDADA_s.o _fractUDATA_s.o _fractUDAUQQ_s.o _fractUDAUHQ_s.o _fractUDAUSQ_s.o _fractUDAUDQ_s.o _fractUDAUTQ_s.o _fractUDAUHA_s.o _fractUDAUSA_s.o _fractUDAUTA_s.o _fractUDAQI_s.o _fractUDAHI_s.o _fractUDASI_s.o _fractUDADI_s.o _fractUDATI_s.o _fractUDASF_s.o _fractUDADF_s.o _fractUTAQQ_s.o _fractUTAHQ_s.o _fractUTASQ_s.o _fractUTADQ_s.o _fractUTATQ_s.o _fractUTAHA_s.o _fractUTASA_s.o _fractUTADA_s.o _fractUTATA_s.o _fractUTAUQQ_s.o _fractUTAUHQ_s.o _fractUTAUSQ_s.o _fractUTAUDQ_s.o _fractUTAUTQ_s.o _fractUTAUHA_s.o _fractUTAUSA_s.o _fractUTAUDA_s.o _fractUTAQI_s.o _fractUTAHI_s.o _fractUTASI_s.o _fractUTADI_s.o _fractUTATI_s.o _fractUTASF_s.o _fractUTADF_s.o _fractQIQQ_s.o _fractQIHQ_s.o _fractQISQ_s.o _fractQIDQ_s.o _fractQITQ_s.o _fractQIHA_s.o _fractQISA_s.o _fractQIDA_s.o _fractQITA_s.o _fractQIUQQ_s.o _fractQIUHQ_s.o _fractQIUSQ_s.o _fractQIUDQ_s.o _fractQIUTQ_s.o _fractQIUHA_s.o _fractQIUSA_s.o _fractQIUDA_s.o _fractQIUTA_s.o _fractHIQQ_s.o _fractHIHQ_s.o _fractHISQ_s.o _fractHIDQ_s.o _fractHITQ_s.o _fractHIHA_s.o _fractHISA_s.o _fractHIDA_s.o _fractHITA_s.o _fractHIUQQ_s.o _fractHIUHQ_s.o _fractHIUSQ_s.o _fractHIUDQ_s.o _fractHIUTQ_s.o _fractHIUHA_s.o _fractHIUSA_s.o _fractHIUDA_s.o _fractHIUTA_s.o _fractSIQQ_s.o _fractSIHQ_s.o _fractSISQ_s.o _fractSIDQ_s.o _fractSITQ_s.o _fractSIHA_s.o _fractSISA_s.o _fractSIDA_s.o _fractSITA_s.o _fractSIUQQ_s.o _fractSIUHQ_s.o _fractSIUSQ_s.o _fractSIUDQ_s.o _fractSIUTQ_s.o _fractSIUHA_s.o _fractSIUSA_s.o _fractSIUDA_s.o _fractSIUTA_s.o _fractDIQQ_s.o _fractDIHQ_s.o _fractDISQ_s.o _fractDIDQ_s.o _fractDITQ_s.o _fractDIHA_s.o _fractDISA_s.o _fractDIDA_s.o _fractDITA_s.o _fractDIUQQ_s.o _fractDIUHQ_s.o _fractDIUSQ_s.o _fractDIUDQ_s.o _fractDIUTQ_s.o _fractDIUHA_s.o _fractDIUSA_s.o _fractDIUDA_s.o _fractDIUTA_s.o _fractTIQQ_s.o _fractTIHQ_s.o _fractTISQ_s.o _fractTIDQ_s.o _fractTITQ_s.o _fractTIHA_s.o _fractTISA_s.o _fractTIDA_s.o _fractTITA_s.o _fractTIUQQ_s.o _fractTIUHQ_s.o _fractTIUSQ_s.o _fractTIUDQ_s.o _fractTIUTQ_s.o _fractTIUHA_s.o _fractTIUSA_s.o _fractTIUDA_s.o _fractTIUTA_s.o _fractSFQQ_s.o _fractSFHQ_s.o _fractSFSQ_s.o _fractSFDQ_s.o _fractSFTQ_s.o _fractSFHA_s.o _fractSFSA_s.o _fractSFDA_s.o _fractSFTA_s.o _fractSFUQQ_s.o _fractSFUHQ_s.o _fractSFUSQ_s.o _fractSFUDQ_s.o _fractSFUTQ_s.o _fractSFUHA_s.o _fractSFUSA_s.o _fractSFUDA_s.o _fractSFUTA_s.o _fractDFQQ_s.o _fractDFHQ_s.o _fractDFSQ_s.o _fractDFDQ_s.o _fractDFTQ_s.o _fractDFHA_s.o _fractDFSA_s.o _fractDFDA_s.o _fractDFTA_s.o _fractDFUQQ_s.o _fractDFUHQ_s.o _fractDFUSQ_s.o _fractDFUDQ_s.o _fractDFUTQ_s.o _fractDFUHA_s.o _fractDFUSA_s.o _fractDFUDA_s.o _fractDFUTA_s.o _satfractQQHQ_s.o _satfractQQSQ_s.o _satfractQQDQ_s.o _satfractQQTQ_s.o _satfractQQHA_s.o _satfractQQSA_s.o _satfractQQDA_s.o _satfractQQTA_s.o _satfractQQUQQ_s.o _satfractQQUHQ_s.o _satfractQQUSQ_s.o _satfractQQUDQ_s.o _satfractQQUTQ_s.o _satfractQQUHA_s.o _satfractQQUSA_s.o _satfractQQUDA_s.o _satfractQQUTA_s.o _satfractHQQQ_s.o _satfractHQSQ_s.o _satfractHQDQ_s.o _satfractHQTQ_s.o _satfractHQHA_s.o _satfractHQSA_s.o _satfractHQDA_s.o _satfractHQTA_s.o _satfractHQUQQ_s.o _satfractHQUHQ_s.o _satfractHQUSQ_s.o _satfractHQUDQ_s.o _satfractHQUTQ_s.o _satfractHQUHA_s.o _satfractHQUSA_s.o _satfractHQUDA_s.o _satfractHQUTA_s.o _satfractSQQQ_s.o _satfractSQHQ_s.o _satfractSQDQ_s.o _satfractSQTQ_s.o _satfractSQHA_s.o _satfractSQSA_s.o _satfractSQDA_s.o _satfractSQTA_s.o _satfractSQUQQ_s.o _satfractSQUHQ_s.o _satfractSQUSQ_s.o _satfractSQUDQ_s.o _satfractSQUTQ_s.o _satfractSQUHA_s.o _satfractSQUSA_s.o _satfractSQUDA_s.o _satfractSQUTA_s.o _satfractDQQQ_s.o _satfractDQHQ_s.o _satfractDQSQ_s.o _satfractDQTQ_s.o _satfractDQHA_s.o _satfractDQSA_s.o _satfractDQDA_s.o _satfractDQTA_s.o _satfractDQUQQ_s.o _satfractDQUHQ_s.o _satfractDQUSQ_s.o _satfractDQUDQ_s.o _satfractDQUTQ_s.o _satfractDQUHA_s.o _satfractDQUSA_s.o _satfractDQUDA_s.o _satfractDQUTA_s.o _satfractTQQQ_s.o _satfractTQHQ_s.o _satfractTQSQ_s.o _satfractTQDQ_s.o _satfractTQHA_s.o _satfractTQSA_s.o _satfractTQDA_s.o _satfractTQTA_s.o _satfractTQUQQ_s.o _satfractTQUHQ_s.o _satfractTQUSQ_s.o _satfractTQUDQ_s.o _satfractTQUTQ_s.o _satfractTQUHA_s.o _satfractTQUSA_s.o _satfractTQUDA_s.o _satfractTQUTA_s.o _satfractHAQQ_s.o _satfractHAHQ_s.o _satfractHASQ_s.o _satfractHADQ_s.o _satfractHATQ_s.o _satfractHASA_s.o _satfractHADA_s.o _satfractHATA_s.o _satfractHAUQQ_s.o _satfractHAUHQ_s.o _satfractHAUSQ_s.o _satfractHAUDQ_s.o _satfractHAUTQ_s.o _satfractHAUHA_s.o _satfractHAUSA_s.o _satfractHAUDA_s.o _satfractHAUTA_s.o _satfractSAQQ_s.o _satfractSAHQ_s.o _satfractSASQ_s.o _satfractSADQ_s.o _satfractSATQ_s.o _satfractSAHA_s.o _satfractSADA_s.o _satfractSATA_s.o _satfractSAUQQ_s.o _satfractSAUHQ_s.o _satfractSAUSQ_s.o _satfractSAUDQ_s.o _satfractSAUTQ_s.o _satfractSAUHA_s.o _satfractSAUSA_s.o _satfractSAUDA_s.o _satfractSAUTA_s.o _satfractDAQQ_s.o _satfractDAHQ_s.o _satfractDASQ_s.o _satfractDADQ_s.o _satfractDATQ_s.o _satfractDAHA_s.o _satfractDASA_s.o _satfractDATA_s.o _satfractDAUQQ_s.o _satfractDAUHQ_s.o _satfractDAUSQ_s.o _satfractDAUDQ_s.o _satfractDAUTQ_s.o _satfractDAUHA_s.o _satfractDAUSA_s.o _satfractDAUDA_s.o _satfractDAUTA_s.o _satfractTAQQ_s.o _satfractTAHQ_s.o _satfractTASQ_s.o _satfractTADQ_s.o _satfractTATQ_s.o _satfractTAHA_s.o _satfractTASA_s.o _satfractTADA_s.o _satfractTAUQQ_s.o _satfractTAUHQ_s.o _satfractTAUSQ_s.o _satfractTAUDQ_s.o _satfractTAUTQ_s.o _satfractTAUHA_s.o _satfractTAUSA_s.o _satfractTAUDA_s.o _satfractTAUTA_s.o _satfractUQQQQ_s.o _satfractUQQHQ_s.o _satfractUQQSQ_s.o _satfractUQQDQ_s.o _satfractUQQTQ_s.o _satfractUQQHA_s.o _satfractUQQSA_s.o _satfractUQQDA_s.o _satfractUQQTA_s.o _satfractUQQUHQ_s.o _satfractUQQUSQ_s.o _satfractUQQUDQ_s.o _satfractUQQUTQ_s.o _satfractUQQUHA_s.o _satfractUQQUSA_s.o _satfractUQQUDA_s.o _satfractUQQUTA_s.o _satfractUHQQQ_s.o _satfractUHQHQ_s.o _satfractUHQSQ_s.o _satfractUHQDQ_s.o _satfractUHQTQ_s.o _satfractUHQHA_s.o _satfractUHQSA_s.o _satfractUHQDA_s.o _satfractUHQTA_s.o _satfractUHQUQQ_s.o _satfractUHQUSQ_s.o _satfractUHQUDQ_s.o _satfractUHQUTQ_s.o _satfractUHQUHA_s.o _satfractUHQUSA_s.o _satfractUHQUDA_s.o _satfractUHQUTA_s.o _satfractUSQQQ_s.o _satfractUSQHQ_s.o _satfractUSQSQ_s.o _satfractUSQDQ_s.o _satfractUSQTQ_s.o _satfractUSQHA_s.o _satfractUSQSA_s.o _satfractUSQDA_s.o _satfractUSQTA_s.o _satfractUSQUQQ_s.o _satfractUSQUHQ_s.o _satfractUSQUDQ_s.o _satfractUSQUTQ_s.o _satfractUSQUHA_s.o _satfractUSQUSA_s.o _satfractUSQUDA_s.o _satfractUSQUTA_s.o _satfractUDQQQ_s.o _satfractUDQHQ_s.o _satfractUDQSQ_s.o _satfractUDQDQ_s.o _satfractUDQTQ_s.o _satfractUDQHA_s.o _satfractUDQSA_s.o _satfractUDQDA_s.o _satfractUDQTA_s.o _satfractUDQUQQ_s.o _satfractUDQUHQ_s.o _satfractUDQUSQ_s.o _satfractUDQUTQ_s.o _satfractUDQUHA_s.o _satfractUDQUSA_s.o _satfractUDQUDA_s.o _satfractUDQUTA_s.o _satfractUTQQQ_s.o _satfractUTQHQ_s.o _satfractUTQSQ_s.o _satfractUTQDQ_s.o _satfractUTQTQ_s.o _satfractUTQHA_s.o _satfractUTQSA_s.o _satfractUTQDA_s.o _satfractUTQTA_s.o _satfractUTQUQQ_s.o _satfractUTQUHQ_s.o _satfractUTQUSQ_s.o _satfractUTQUDQ_s.o _satfractUTQUHA_s.o _satfractUTQUSA_s.o _satfractUTQUDA_s.o _satfractUTQUTA_s.o _satfractUHAQQ_s.o _satfractUHAHQ_s.o _satfractUHASQ_s.o _satfractUHADQ_s.o _satfractUHATQ_s.o _satfractUHAHA_s.o _satfractUHASA_s.o _satfractUHADA_s.o _satfractUHATA_s.o _satfractUHAUQQ_s.o _satfractUHAUHQ_s.o _satfractUHAUSQ_s.o _satfractUHAUDQ_s.o _satfractUHAUTQ_s.o _satfractUHAUSA_s.o _satfractUHAUDA_s.o _satfractUHAUTA_s.o _satfractUSAQQ_s.o _satfractUSAHQ_s.o _satfractUSASQ_s.o _satfractUSADQ_s.o _satfractUSATQ_s.o _satfractUSAHA_s.o _satfractUSASA_s.o _satfractUSADA_s.o _satfractUSATA_s.o _satfractUSAUQQ_s.o _satfractUSAUHQ_s.o _satfractUSAUSQ_s.o _satfractUSAUDQ_s.o _satfractUSAUTQ_s.o _satfractUSAUHA_s.o _satfractUSAUDA_s.o _satfractUSAUTA_s.o _satfractUDAQQ_s.o _satfractUDAHQ_s.o _satfractUDASQ_s.o _satfractUDADQ_s.o _satfractUDATQ_s.o _satfractUDAHA_s.o _satfractUDASA_s.o _satfractUDADA_s.o _satfractUDATA_s.o _satfractUDAUQQ_s.o _satfractUDAUHQ_s.o _satfractUDAUSQ_s.o _satfractUDAUDQ_s.o _satfractUDAUTQ_s.o _satfractUDAUHA_s.o _satfractUDAUSA_s.o _satfractUDAUTA_s.o _satfractUTAQQ_s.o _satfractUTAHQ_s.o _satfractUTASQ_s.o _satfractUTADQ_s.o _satfractUTATQ_s.o _satfractUTAHA_s.o _satfractUTASA_s.o _satfractUTADA_s.o _satfractUTATA_s.o _satfractUTAUQQ_s.o _satfractUTAUHQ_s.o _satfractUTAUSQ_s.o _satfractUTAUDQ_s.o _satfractUTAUTQ_s.o _satfractUTAUHA_s.o _satfractUTAUSA_s.o _satfractUTAUDA_s.o _satfractQIQQ_s.o _satfractQIHQ_s.o _satfractQISQ_s.o _satfractQIDQ_s.o _satfractQITQ_s.o _satfractQIHA_s.o _satfractQISA_s.o _satfractQIDA_s.o _satfractQITA_s.o _satfractQIUQQ_s.o _satfractQIUHQ_s.o _satfractQIUSQ_s.o _satfractQIUDQ_s.o _satfractQIUTQ_s.o _satfractQIUHA_s.o _satfractQIUSA_s.o _satfractQIUDA_s.o _satfractQIUTA_s.o _satfractHIQQ_s.o _satfractHIHQ_s.o _satfractHISQ_s.o _satfractHIDQ_s.o _satfractHITQ_s.o _satfractHIHA_s.o _satfractHISA_s.o _satfractHIDA_s.o _satfractHITA_s.o _satfractHIUQQ_s.o _satfractHIUHQ_s.o _satfractHIUSQ_s.o _satfractHIUDQ_s.o _satfractHIUTQ_s.o _satfractHIUHA_s.o _satfractHIUSA_s.o _satfractHIUDA_s.o _satfractHIUTA_s.o _satfractSIQQ_s.o _satfractSIHQ_s.o _satfractSISQ_s.o _satfractSIDQ_s.o _satfractSITQ_s.o _satfractSIHA_s.o _satfractSISA_s.o _satfractSIDA_s.o _satfractSITA_s.o _satfractSIUQQ_s.o _satfractSIUHQ_s.o _satfractSIUSQ_s.o _satfractSIUDQ_s.o _satfractSIUTQ_s.o _satfractSIUHA_s.o _satfractSIUSA_s.o _satfractSIUDA_s.o _satfractSIUTA_s.o _satfractDIQQ_s.o _satfractDIHQ_s.o _satfractDISQ_s.o _satfractDIDQ_s.o _satfractDITQ_s.o _satfractDIHA_s.o _satfractDISA_s.o _satfractDIDA_s.o _satfractDITA_s.o _satfractDIUQQ_s.o _satfractDIUHQ_s.o _satfractDIUSQ_s.o _satfractDIUDQ_s.o _satfractDIUTQ_s.o _satfractDIUHA_s.o _satfractDIUSA_s.o _satfractDIUDA_s.o _satfractDIUTA_s.o _satfractTIQQ_s.o _satfractTIHQ_s.o _satfractTISQ_s.o _satfractTIDQ_s.o _satfractTITQ_s.o _satfractTIHA_s.o _satfractTISA_s.o _satfractTIDA_s.o _satfractTITA_s.o _satfractTIUQQ_s.o _satfractTIUHQ_s.o _satfractTIUSQ_s.o _satfractTIUDQ_s.o _satfractTIUTQ_s.o _satfractTIUHA_s.o _satfractTIUSA_s.o _satfractTIUDA_s.o _satfractTIUTA_s.o _satfractSFQQ_s.o _satfractSFHQ_s.o _satfractSFSQ_s.o _satfractSFDQ_s.o _satfractSFTQ_s.o _satfractSFHA_s.o _satfractSFSA_s.o _satfractSFDA_s.o _satfractSFTA_s.o _satfractSFUQQ_s.o _satfractSFUHQ_s.o _satfractSFUSQ_s.o _satfractSFUDQ_s.o _satfractSFUTQ_s.o _satfractSFUHA_s.o _satfractSFUSA_s.o _satfractSFUDA_s.o _satfractSFUTA_s.o _satfractDFQQ_s.o _satfractDFHQ_s.o _satfractDFSQ_s.o _satfractDFDQ_s.o _satfractDFTQ_s.o _satfractDFHA_s.o _satfractDFSA_s.o _satfractDFDA_s.o _satfractDFTA_s.o _satfractDFUQQ_s.o _satfractDFUHQ_s.o _satfractDFUSQ_s.o _satfractDFUDQ_s.o _satfractDFUTQ_s.o _satfractDFUHA_s.o _satfractDFUSA_s.o _satfractDFUDA_s.o _satfractDFUTA_s.o _fractunsQQUQI_s.o _fractunsQQUHI_s.o _fractunsQQUSI_s.o _fractunsQQUDI_s.o _fractunsQQUTI_s.o _fractunsHQUQI_s.o _fractunsHQUHI_s.o _fractunsHQUSI_s.o _fractunsHQUDI_s.o _fractunsHQUTI_s.o _fractunsSQUQI_s.o _fractunsSQUHI_s.o _fractunsSQUSI_s.o _fractunsSQUDI_s.o _fractunsSQUTI_s.o _fractunsDQUQI_s.o _fractunsDQUHI_s.o _fractunsDQUSI_s.o _fractunsDQUDI_s.o _fractunsDQUTI_s.o _fractunsTQUQI_s.o _fractunsTQUHI_s.o _fractunsTQUSI_s.o _fractunsTQUDI_s.o _fractunsTQUTI_s.o _fractunsHAUQI_s.o _fractunsHAUHI_s.o _fractunsHAUSI_s.o _fractunsHAUDI_s.o _fractunsHAUTI_s.o _fractunsSAUQI_s.o _fractunsSAUHI_s.o _fractunsSAUSI_s.o _fractunsSAUDI_s.o _fractunsSAUTI_s.o _fractunsDAUQI_s.o _fractunsDAUHI_s.o _fractunsDAUSI_s.o _fractunsDAUDI_s.o _fractunsDAUTI_s.o _fractunsTAUQI_s.o _fractunsTAUHI_s.o _fractunsTAUSI_s.o _fractunsTAUDI_s.o _fractunsTAUTI_s.o _fractunsUQQUQI_s.o _fractunsUQQUHI_s.o _fractunsUQQUSI_s.o _fractunsUQQUDI_s.o _fractunsUQQUTI_s.o _fractunsUHQUQI_s.o _fractunsUHQUHI_s.o _fractunsUHQUSI_s.o _fractunsUHQUDI_s.o _fractunsUHQUTI_s.o _fractunsUSQUQI_s.o _fractunsUSQUHI_s.o _fractunsUSQUSI_s.o _fractunsUSQUDI_s.o _fractunsUSQUTI_s.o _fractunsUDQUQI_s.o _fractunsUDQUHI_s.o _fractunsUDQUSI_s.o _fractunsUDQUDI_s.o _fractunsUDQUTI_s.o _fractunsUTQUQI_s.o _fractunsUTQUHI_s.o _fractunsUTQUSI_s.o _fractunsUTQUDI_s.o _fractunsUTQUTI_s.o _fractunsUHAUQI_s.o _fractunsUHAUHI_s.o _fractunsUHAUSI_s.o _fractunsUHAUDI_s.o _fractunsUHAUTI_s.o _fractunsUSAUQI_s.o _fractunsUSAUHI_s.o _fractunsUSAUSI_s.o _fractunsUSAUDI_s.o _fractunsUSAUTI_s.o _fractunsUDAUQI_s.o _fractunsUDAUHI_s.o _fractunsUDAUSI_s.o _fractunsUDAUDI_s.o _fractunsUDAUTI_s.o _fractunsUTAUQI_s.o _fractunsUTAUHI_s.o _fractunsUTAUSI_s.o _fractunsUTAUDI_s.o _fractunsUTAUTI_s.o _fractunsUQIQQ_s.o _fractunsUQIHQ_s.o _fractunsUQISQ_s.o _fractunsUQIDQ_s.o _fractunsUQITQ_s.o _fractunsUQIHA_s.o _fractunsUQISA_s.o _fractunsUQIDA_s.o _fractunsUQITA_s.o _fractunsUQIUQQ_s.o _fractunsUQIUHQ_s.o _fractunsUQIUSQ_s.o _fractunsUQIUDQ_s.o _fractunsUQIUTQ_s.o _fractunsUQIUHA_s.o _fractunsUQIUSA_s.o _fractunsUQIUDA_s.o _fractunsUQIUTA_s.o _fractunsUHIQQ_s.o _fractunsUHIHQ_s.o _fractunsUHISQ_s.o _fractunsUHIDQ_s.o _fractunsUHITQ_s.o _fractunsUHIHA_s.o _fractunsUHISA_s.o _fractunsUHIDA_s.o _fractunsUHITA_s.o _fractunsUHIUQQ_s.o _fractunsUHIUHQ_s.o _fractunsUHIUSQ_s.o _fractunsUHIUDQ_s.o _fractunsUHIUTQ_s.o _fractunsUHIUHA_s.o _fractunsUHIUSA_s.o _fractunsUHIUDA_s.o _fractunsUHIUTA_s.o _fractunsUSIQQ_s.o _fractunsUSIHQ_s.o _fractunsUSISQ_s.o _fractunsUSIDQ_s.o _fractunsUSITQ_s.o _fractunsUSIHA_s.o _fractunsUSISA_s.o _fractunsUSIDA_s.o _fractunsUSITA_s.o _fractunsUSIUQQ_s.o _fractunsUSIUHQ_s.o _fractunsUSIUSQ_s.o _fractunsUSIUDQ_s.o _fractunsUSIUTQ_s.o _fractunsUSIUHA_s.o _fractunsUSIUSA_s.o _fractunsUSIUDA_s.o _fractunsUSIUTA_s.o _fractunsUDIQQ_s.o _fractunsUDIHQ_s.o _fractunsUDISQ_s.o _fractunsUDIDQ_s.o _fractunsUDITQ_s.o _fractunsUDIHA_s.o _fractunsUDISA_s.o _fractunsUDIDA_s.o _fractunsUDITA_s.o _fractunsUDIUQQ_s.o _fractunsUDIUHQ_s.o _fractunsUDIUSQ_s.o _fractunsUDIUDQ_s.o _fractunsUDIUTQ_s.o _fractunsUDIUHA_s.o _fractunsUDIUSA_s.o _fractunsUDIUDA_s.o _fractunsUDIUTA_s.o _fractunsUTIQQ_s.o _fractunsUTIHQ_s.o _fractunsUTISQ_s.o _fractunsUTIDQ_s.o _fractunsUTITQ_s.o _fractunsUTIHA_s.o _fractunsUTISA_s.o _fractunsUTIDA_s.o _fractunsUTITA_s.o _fractunsUTIUQQ_s.o _fractunsUTIUHQ_s.o _fractunsUTIUSQ_s.o _fractunsUTIUDQ_s.o _fractunsUTIUTQ_s.o _fractunsUTIUHA_s.o _fractunsUTIUSA_s.o _fractunsUTIUDA_s.o _fractunsUTIUTA_s.o _satfractunsUQIQQ_s.o _satfractunsUQIHQ_s.o _satfractunsUQISQ_s.o _satfractunsUQIDQ_s.o _satfractunsUQITQ_s.o _satfractunsUQIHA_s.o _satfractunsUQISA_s.o _satfractunsUQIDA_s.o _satfractunsUQITA_s.o _satfractunsUQIUQQ_s.o _satfractunsUQIUHQ_s.o _satfractunsUQIUSQ_s.o _satfractunsUQIUDQ_s.o _satfractunsUQIUTQ_s.o _satfractunsUQIUHA_s.o _satfractunsUQIUSA_s.o _satfractunsUQIUDA_s.o _satfractunsUQIUTA_s.o _satfractunsUHIQQ_s.o _satfractunsUHIHQ_s.o _satfractunsUHISQ_s.o _satfractunsUHIDQ_s.o _satfractunsUHITQ_s.o _satfractunsUHIHA_s.o _satfractunsUHISA_s.o _satfractunsUHIDA_s.o _satfractunsUHITA_s.o _satfractunsUHIUQQ_s.o _satfractunsUHIUHQ_s.o _satfractunsUHIUSQ_s.o _satfractunsUHIUDQ_s.o _satfractunsUHIUTQ_s.o _satfractunsUHIUHA_s.o _satfractunsUHIUSA_s.o _satfractunsUHIUDA_s.o _satfractunsUHIUTA_s.o _satfractunsUSIQQ_s.o _satfractunsUSIHQ_s.o _satfractunsUSISQ_s.o _satfractunsUSIDQ_s.o _satfractunsUSITQ_s.o _satfractunsUSIHA_s.o _satfractunsUSISA_s.o _satfractunsUSIDA_s.o _satfractunsUSITA_s.o _satfractunsUSIUQQ_s.o _satfractunsUSIUHQ_s.o _satfractunsUSIUSQ_s.o _satfractunsUSIUDQ_s.o _satfractunsUSIUTQ_s.o _satfractunsUSIUHA_s.o _satfractunsUSIUSA_s.o _satfractunsUSIUDA_s.o _satfractunsUSIUTA_s.o _satfractunsUDIQQ_s.o _satfractunsUDIHQ_s.o _satfractunsUDISQ_s.o _satfractunsUDIDQ_s.o _satfractunsUDITQ_s.o _satfractunsUDIHA_s.o _satfractunsUDISA_s.o _satfractunsUDIDA_s.o _satfractunsUDITA_s.o _satfractunsUDIUQQ_s.o _satfractunsUDIUHQ_s.o _satfractunsUDIUSQ_s.o _satfractunsUDIUDQ_s.o _satfractunsUDIUTQ_s.o _satfractunsUDIUHA_s.o _satfractunsUDIUSA_s.o _satfractunsUDIUDA_s.o _satfractunsUDIUTA_s.o _satfractunsUTIQQ_s.o _satfractunsUTIHQ_s.o _satfractunsUTISQ_s.o _satfractunsUTIDQ_s.o _satfractunsUTITQ_s.o _satfractunsUTIHA_s.o _satfractunsUTISA_s.o _satfractunsUTIDA_s.o _satfractunsUTITA_s.o _satfractunsUTIUQQ_s.o _satfractunsUTIUHQ_s.o _satfractunsUTIUSQ_s.o _satfractunsUTIUDQ_s.o _satfractunsUTIUTQ_s.o _satfractunsUTIUHA_s.o _satfractunsUTIUSA_s.o _satfractunsUTIUDA_s.o _satfractunsUTIUTA_s.o bpabi_s.o unaligned-funcs_s.o addsf3_s.o divsf3_s.o eqsf2_s.o gesf2_s.o lesf2_s.o mulsf3_s.o negsf2_s.o subsf3_s.o unordsf2_s.o fixsfsi_s.o floatsisf_s.o floatunsisf_s.o adddf3_s.o divdf3_s.o eqdf2_s.o gedf2_s.o ledf2_s.o muldf3_s.o negdf2_s.o subdf3_s.o unorddf2_s.o fixdfsi_s.o floatsidf_s.o floatunsidf_s.o extendsfdf2_s.o truncdfsf2_s.o enable-execute-stack_s.o unwind-arm_s.o libunwind_s.o pr-support_s.o unwind-c_s.o emutls_s.o libgcc.a -lc && rm -f ./libgcc_s.so && if [ -f ./libgcc_s.so.1 ]; then mv -f ./libgcc_s.so.1 ./libgcc_s.so.1.backup; else true; fi && mv ./libgcc_s.so.1.tmp ./libgcc_s.so.1 && (echo "/* GNU ld script"; echo "   Use the shared library, but some functions are only in"; echo "   the static library.  */"; echo "GROUP ( libgcc_s.so.1 -lgcc )" ) > ./libgcc_s.so
# Now that we have built all the objects, we need to copy
# them back to the GCC directory.  Too many things (other
# in-tree libraries, and DejaGNU) know about the layout
# of the build tree, for now.
/usr/bin/make install-leaf DESTDIR=../.././gcc \
  slibdir= libsubdir= MULTIOSDIR=.
make[5]: Entering directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/arm-linux-gnueabihf/libgcc'
/bin/bash ../../../src/libgcc/../mkinstalldirs ../.././gcc
/usr/bin/install -c -m 644 libgcc_eh.a ../.././gcc/
chmod 644 ../.././gcc/libgcc_eh.a
arm-linux-gnueabihf-ranlib ../.././gcc/libgcc_eh.a
/bin/bash ../../../src/libgcc/../mkinstalldirs ../.././gcc; /usr/bin/install -c -m 644 ./libgcc_s.so.1 ../.././gcc/libgcc_s.so.1; rm -f ../.././gcc/libgcc_s.so; /usr/bin/install -c -m 644 ./libgcc_s.so ../.././gcc/libgcc_s.so
/bin/bash ../../../src/libgcc/../mkinstalldirs ../.././gcc
/usr/bin/install -c -m 644 libgcc.a ../.././gcc/
chmod 644 ../.././gcc/libgcc.a
arm-linux-gnueabihf-ranlib ../.././gcc/libgcc.a
/usr/bin/install -c -m 644 libgcov.a ../.././gcc/
chmod 644 ../.././gcc/libgcov.a
arm-linux-gnueabihf-ranlib ../.././gcc/libgcov.a
parts="crtbegin.o crtbeginS.o crtbeginT.o crtend.o crtendS.o crtfastmath.o";				\
for file in $parts; do					\
  rm -f ../.././gcc/$file;		\
  /usr/bin/install -c -m 644 $file ../.././gcc/;	\
  case $file in 					\
    *.a)						\
      arm-linux-gnueabihf-ranlib ../.././gcc/$file ;;	\
  esac;							\
done
make[5]: Leaving directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/arm-linux-gnueabihf/libgcc'
make[4]: Leaving directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/arm-linux-gnueabihf/libgcc'
make[3]: Leaving directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build'
make[2]: Leaving directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build'
# For some reason, on the i386 architecture only, it decides to delete
# one important build result. Just rerun make as a workaround.
/usr/bin/make -j4 -C /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build
make[2]: Entering directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build'
make[3]: Entering directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build'
make[4]: Entering directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/intl'
make[4]: Nothing to be done for 'all'.
make[4]: Leaving directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/intl'
make[4]: Entering directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/libbacktrace'
/usr/bin/make  all-am
make[5]: Entering directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/libbacktrace'
make[4]: Entering directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/libcody'
make[4]: Nothing to be done for 'all'.
make[4]: Leaving directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/libcody'
make[4]: Entering directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/build-arm-linux-gnueabihf/libiberty'
make[4]: Entering directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/libiberty'
true  DO=all multi-do # /usr/bin/make
make[5]: Leaving directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/libbacktrace'
make[4]: Leaving directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/libbacktrace'
make[4]: Entering directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/libdecnumber'
make[4]: Nothing to be done for 'all'.
make[4]: Leaving directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/libdecnumber'
make[5]: Entering directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/libiberty/testsuite'
make[5]: Nothing to be done for 'all'.
make[5]: Leaving directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/libiberty/testsuite'
make[4]: Leaving directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/libiberty'
make[5]: Entering directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/build-arm-linux-gnueabihf/libiberty/testsuite'
make[5]: Nothing to be done for 'all'.
make[5]: Leaving directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/build-arm-linux-gnueabihf/libiberty/testsuite'
make[4]: Leaving directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/build-arm-linux-gnueabihf/libiberty'
make[4]: Entering directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/fixincludes'
make[4]: Entering directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/build-arm-linux-gnueabihf/fixincludes'
make[4]: Entering directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/lto-plugin'
make[4]: Entering directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/libcpp'
test -f config.h || (rm -f stamp-h1 && /usr/bin/make stamp-h1)
make[4]: Nothing to be done for 'all'.
make[4]: Leaving directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/build-arm-linux-gnueabihf/fixincludes'
/usr/bin/make  all-am
make[4]: Nothing to be done for 'all'.
make[4]: Leaving directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/fixincludes'
make[5]: Entering directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/lto-plugin'
make[4]: Leaving directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/libcpp'
make[5]: Leaving directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/lto-plugin'
make[4]: Leaving directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/lto-plugin'
make[4]: Entering directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/build-arm-linux-gnueabihf/libcpp'
test -f config.h || (rm -f stamp-h1 && /usr/bin/make stamp-h1)
make[4]: Leaving directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/build-arm-linux-gnueabihf/libcpp'
make[4]: Entering directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc'
gnatmake -v -j4 -R -eS  -c -aI../../src/gcc/vhdl --subdirs=vhdl ortho_gcc-main \
 -cargs -g -O2     -gnata -gnaty3befhkmr -gnatwa -gnatwC

GNATMAKE 12.2.0
Copyright (C) 1992-2022, Free Software Foundation, Inc.
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/ortho_gcc-main.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/ortho_gcc.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/ortho_gcc_front.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/ortho_ident.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/ortho_front.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/bug.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/dyn_interning.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/dyn_maps.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/dyn_tables.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/errorout.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/errorout-console.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/flags.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/hash.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/interning.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/libraries.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/name_table.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/options.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans_be.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/translation.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/types.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-configuration.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-errors.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_lib.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-std_package.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-utils.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/simple_io.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/version.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/files_map.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/str_table.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/logging.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/std_names.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/tables.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-scanner.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-tokens.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-dump_tree.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nodes.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-back_end.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-disp_tree.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-lists.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-parse.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/ortho_nodes.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap1.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap12.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap2.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap3.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap4.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap7.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-helpers2.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-rtis.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans_decls.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-canon.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_specs.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-evaluation.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_walk.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_scopes.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_meta.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_priv.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-types.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-flists.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-elocations.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_1164.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_utils.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_assocs.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_decls.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_expr.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_inst.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_names.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_psl.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_stmts.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_utils.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-xrefs.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_gc.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-post_sems.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-prints.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-types.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt-fcvt.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-errors.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nodes_meta.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-hash.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/lists.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-parse_psl.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap5.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap6.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap9.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap8.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap14.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-foreach_non_composite.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-build.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nfas.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nfas-utils.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-rewrites.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-canon_psl.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt-to_strings.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt-types.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nodes_priv.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/flists.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-elocations_meta.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_types.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt-algos.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-subsets.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-math_real.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric_std_unsigned.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_arith.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_misc.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_unsigned.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-vital_timing.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-prints.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-priorities.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans_analyzes.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-cse.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-disp_nfas.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-optimize.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-qm.ali" being checked ...
End of compilation
gnatmake: objects up to date.
gnatmake -v -j4 -R -eS  -o ghdl1 -aI../../src/gcc/vhdl -aOvhdl ortho_gcc-main \
         -cargs -g -O2     -gnata -gnaty3befhkmr -gnatwa -gnatwC \
 -bargs -E \
 -largs --LINK=arm-linux-gnueabihf-g++-12 -no-pie   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc  attribs.o vhdl/ortho-lang.o vhdl/grt-cstdio.o \
 libbackend.a libcommon-target.a libcommon.a ../libcpp/libcpp.a ../libdecnumber/libdecnumber.a libcommon.a ../libcpp/libcpp.a   ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a  -lisl -lmpc -lmpfr -lgmp -rdynamic  -lz 

GNATMAKE 12.2.0
Copyright (C) 1992-2022, Free Software Foundation, Inc.
  -> "ghdl1" final executable
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/ortho_gcc-main.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/ortho_gcc.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/ortho_gcc_front.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/ortho_ident.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/ortho_front.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/bug.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/dyn_interning.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/dyn_maps.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/dyn_tables.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/errorout.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/errorout-console.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/flags.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/hash.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/interning.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/libraries.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/name_table.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/options.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans_be.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/translation.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/types.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-configuration.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-errors.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_lib.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-std_package.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-utils.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/simple_io.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/version.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/files_map.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/str_table.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/logging.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/std_names.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/tables.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-scanner.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-tokens.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-dump_tree.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nodes.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-back_end.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-disp_tree.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-lists.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-parse.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/ortho_nodes.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap1.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap12.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap2.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap3.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap4.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap7.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-helpers2.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-rtis.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans_decls.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-canon.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_specs.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-evaluation.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_walk.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_scopes.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_meta.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_priv.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-types.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-flists.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-elocations.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_1164.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_utils.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_assocs.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_decls.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_expr.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_inst.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_names.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_psl.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_stmts.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_utils.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-xrefs.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_gc.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-post_sems.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-prints.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-types.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt-fcvt.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-errors.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nodes_meta.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-hash.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/lists.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-parse_psl.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap5.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap6.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap9.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap8.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap14.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-foreach_non_composite.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-build.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nfas.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nfas-utils.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-rewrites.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-canon_psl.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt-to_strings.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt-types.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nodes_priv.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/flists.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-elocations_meta.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_types.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt-algos.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-subsets.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-math_real.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric_std_unsigned.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_arith.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_misc.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_unsigned.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-vital_timing.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-prints.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-priorities.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans_analyzes.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-cse.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-disp_nfas.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-optimize.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-qm.ali" being checked ...
End of compilation
gnatmake: "ghdl1" up to date.
gnatmake -v -j4 -R -eS  -o ghdl -aI../../src/gcc/vhdl -aI../../src/gcc/vhdl/ghdldrv \
 -aIvhdl -aOvhdl ghdl_gcc \
 -cargs -g -O2     -gnata -gnaty3befhkmr -gnatwa -gnatwC \
 -bargs -E \
         -largs --LINK=arm-linux-gnueabihf-g++-12 -no-pie   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc  \
           vhdl/grt-cstdio.o libcommon.a ../libcpp/libcpp.a   ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a 

GNATMAKE 12.2.0
Copyright (C) 1992-2022, Free Software Foundation, Inc.
  -> "ghdl" final executable
  "ghdl_gcc.ali" being checked ...
  "ghdldrv.ali" being checked ...
  "ghdllocal.ali" being checked ...
  "ghdlmain.ali" being checked ...
  "ghdlprint.ali" being checked ...
  "ghdlsynth_maybe.ali" being checked ...
  "ghdlvpi.ali" being checked ...
  "ghdlxml.ali" being checked ...
  "default_paths.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/dyn_tables.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/errorout.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/files_map.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/flags.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/libraries.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/name_table.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/options.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/simple_io.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/tables.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/types.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-configuration.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-std_package.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/std_names.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/version.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-errors.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-prints.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-scanner.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_lib.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-utils.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/bug.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/errorout-console.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-canon.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-elocations.ali" being checked ...
  "vhdl-formatters.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-parse.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-tokens.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-xrefs.ali" being checked ...
  "ghdlsynth.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-disp_tree.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_meta.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/str_table.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/logging.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-types.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-dump_tree.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nodes.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-back_end.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-lists.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-evaluation.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_walk.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_scopes.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_priv.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-types.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-flists.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_utils.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_utils.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-errors.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nfas.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-prints.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-priorities.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt-fcvt.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_gc.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-post_sems.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-build.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nfas-utils.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-rewrites.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-canon_psl.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_inst.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_specs.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-elocations_meta.ali" being checked ...
  "utils_io.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt-types.ali" being checked ...
  "grt-vstrings.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-parse_psl.ali" being checked ...
  "elab.ali" being checked ...
  "elab-vhdl_context.ali" being checked ...
  "elab-vhdl_insts.ali" being checked ...
  "elab-vhdl_objtypes.ali" being checked ...
  "ghdlcomp.ali" being checked ...
  "netlists.ali" being checked ...
  "netlists-disp_dot.ali" being checked ...
  "netlists-disp_verilog.ali" being checked ...
  "netlists-disp_vhdl.ali" being checked ...
  "netlists-dump.ali" being checked ...
  "netlists-errors.ali" being checked ...
  "netlists-inference.ali" being checked ...
  "synth.ali" being checked ...
  "synth-disp_vhdl.ali" being checked ...
  "synth-flags.ali" being checked ...
  "synth-vhdl_context.ali" being checked ...
  "synthesis.ali" being checked ...
  "vhdl-annotations.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nodes_meta.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-hash.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/lists.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt-to_strings.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_1164.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nodes_priv.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/flists.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-math_real.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric_std_unsigned.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_arith.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_misc.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_unsigned.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-vital_timing.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_assocs.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_decls.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_expr.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_names.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_psl.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_stmts.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-cse.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-disp_nfas.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-optimize.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-qm.ali" being checked ...
  "grt-c.ali" being checked ...
  "elab-vhdl_values.ali" being checked ...
  "elab-vhdl_decls.ali" being checked ...
  "elab-vhdl_errors.ali" being checked ...
  "elab-vhdl_expr.ali" being checked ...
  "elab-vhdl_files.ali" being checked ...
  "elab-vhdl_stmts.ali" being checked ...
  "elab-vhdl_types.ali" being checked ...
  "mutils.ali" being checked ...
  "areapools.ali" being checked ...
  "elab-memtype.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/dyn_maps.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/hash.ali" being checked ...
  "netlists-gates.ali" being checked ...
  "netlists-utils.ali" being checked ...
  "netlists-iterators.ali" being checked ...
  "netlists-locations.ali" being checked ...
  "types_utils.ali" being checked ...
  "netlists-folds.ali" being checked ...
  "netlists-gates_ports.ali" being checked ...
  "netlists-internings.ali" being checked ...
  "netlists-memories.ali" being checked ...
  "synth-errors.ali" being checked ...
  "netlists-builders.ali" being checked ...
  "grt-severity.ali" being checked ...
  "synth-vhdl_expr.ali" being checked ...
  "synth-context.ali" being checked ...
  "synth-vhdl_environment.ali" being checked ...
  "elab-vhdl_values-debug.ali" being checked ...
  "netlists-cleanup.ali" being checked ...
  "netlists-expands.ali" being checked ...
  "synth-vhdl_insts.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_types.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt-algos.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-subsets.ali" being checked ...
  "grt-files_operations.ali" being checked ...
  "elab-debugger.ali" being checked ...
  "elab-vhdl_heap.ali" being checked ...
  "synth-vhdl_aggr.ali" being checked ...
  "synth-vhdl_oper.ali" being checked ...
  "synth-vhdl_stmts.ali" being checked ...
  "grt-stdio.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/dyn_interning.ali" being checked ...
  "netlists-concats.ali" being checked ...
  "synth-source.ali" being checked ...
  "synth-environment.ali" being checked ...
  "synth-environment-debug.ali" being checked ...
  "netlists-butils.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/interning.ali" being checked ...
  "synth-vhdl_decls.ali" being checked ...
  "grt-table.ali" being checked ...
  "synth-static_oper.ali" being checked ...
  "synth-vhdl_static_proc.ali" being checked ...
  "synth-ieee.ali" being checked ...
  "synth-ieee-numeric_std.ali" being checked ...
  "synth-ieee-std_logic_1164.ali" being checked ...
End of compilation
gnatmake: "ghdl" up to date.
make[4]: Leaving directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc'
Checking multilib configuration for libgcc...
make[4]: Entering directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/c++tools'
make[4]: Nothing to be done for 'all'.
make[4]: Leaving directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/c++tools'
make[4]: Entering directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/arm-linux-gnueabihf/libgcc'
make[4]: Entering directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/libcc1'
/usr/bin/make  all-am
make[5]: Entering directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/libcc1'
make[5]: Leaving directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/libcc1'
make[4]: Leaving directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/libcc1'
# If this is the top-level multilib, build all the other
# multilibs.
CONFIG_FILES= CONFIG_HEADERS=auto-target.h:../../../src/libgcc/config.in /bin/bash ./config.status
# Early copyback; see "all" above for the rationale.  The
# early copy is necessary so that the gcc -B options find
# the right startup files when linking shared libgcc.
dest=../.././gcc/include/tmp$$-unwind.h; \
cp unwind.h $dest; \
chmod a+r $dest; \
sh ../../../src/libgcc/../move-if-change $dest ../.././gcc/include/unwind.h
/bin/bash ../../../src/libgcc/../mkinstalldirs ../.././gcc
parts="crtbegin.o crtbeginS.o crtbeginT.o crtend.o crtendS.o crtfastmath.o";					\
for file in $parts; do					\
  rm -f ../.././gcc/$file;		\
  /usr/bin/install -c -m 644 $file ../.././gcc/;	\
  case $file in 					\
    *.a)						\
      arm-linux-gnueabihf-ranlib ../.././gcc/$file ;;	\
  esac;							\
done
make[5]: Entering directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/arm-linux-gnueabihf/libgcc'
config.status: creating auto-target.h
config.status: auto-target.h is unchanged
config.status: executing default commands
# @multilib_flags@ is still needed because this may use
# /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    and -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  directly.
# @multilib_dir@ is not really necessary, but sometimes it has
# more uses than just a directory name.
/bin/bash ../../../src/libgcc/../mkinstalldirs .
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/arm-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/arm-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include    -O2  -g -O2 -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -shared -nodefaultlibs -Wl,--soname=libgcc_s.so.1 -Wl,--version-script=libgcc.map -Wl,-z,relro -o ./libgcc_s.so.1.tmp -g -O2 -B./ _thumb1_case_sqi_s.o _thumb1_case_uqi_s.o _thumb1_case_shi_s.o _thumb1_case_uhi_s.o _thumb1_case_si_s.o _speculation_barrier_s.o _arm_muldf3_s.o _arm_mulsf3_s.o _udivsi3_s.o _divsi3_s.o _umodsi3_s.o _modsi3_s.o _bb_init_func_s.o _call_via_rX_s.o _interwork_call_via_rX_s.o _lshrdi3_s.o _ashrdi3_s.o _ashldi3_s.o _arm_negdf2_s.o _arm_addsubdf3_s.o _arm_muldivdf3_s.o _arm_cmpdf2_s.o _arm_unorddf2_s.o _arm_fixdfsi_s.o _arm_fixunsdfsi_s.o _arm_truncdfsf2_s.o _arm_negsf2_s.o _arm_addsubsf3_s.o _arm_muldivsf3_s.o _arm_cmpsf2_s.o _arm_unordsf2_s.o _arm_fixsfsi_s.o _arm_fixunssfsi_s.o _arm_floatdidf_s.o _arm_floatdisf_s.o _arm_floatundidf_s.o _arm_floatundisf_s.o _clzsi2_s.o _clzdi2_s.o _ctzsi2_s.o _aeabi_lcmp_s.o _aeabi_ulcmp_s.o _aeabi_ldivmod_s.o _aeabi_uldivmod_s.o _dvmd_lnx_s.o _clear_cache_s.o _muldi3_s.o _negdi2_s.o _cmpdi2_s.o _ucmpdi2_s.o _trampoline_s.o __main_s.o _absvsi2_s.o _absvdi2_s.o _addvsi3_s.o _addvdi3_s.o _subvsi3_s.o _subvdi3_s.o _mulvsi3_s.o _mulvdi3_s.o _negvsi2_s.o _negvdi2_s.o _ctors_s.o _ffssi2_s.o _ffsdi2_s.o _clz_s.o _ctzdi2_s.o _popcount_tab_s.o _popcountsi2_s.o _popcountdi2_s.o _paritysi2_s.o _paritydi2_s.o _powisf2_s.o _powidf2_s.o _powixf2_s.o _powitf2_s.o _mulhc3_s.o _mulsc3_s.o _muldc3_s.o _mulxc3_s.o _multc3_s.o _divhc3_s.o _divsc3_s.o _divdc3_s.o _divxc3_s.o _divtc3_s.o _bswapsi2_s.o _bswapdi2_s.o _clrsbsi2_s.o _clrsbdi2_s.o _fixunssfsi_s.o _fixunsdfsi_s.o _fixunsxfsi_s.o _fixsfdi_s.o _fixdfdi_s.o _fixxfdi_s.o _fixtfdi_s.o _fixunssfdi_s.o _fixunsdfdi_s.o _fixunsxfdi_s.o _fixunstfdi_s.o _floatdisf_s.o _floatdidf_s.o _floatdixf_s.o _floatditf_s.o _floatundisf_s.o _floatundidf_s.o _floatundixf_s.o _floatunditf_s.o _divdi3_s.o _moddi3_s.o _divmoddi4_s.o _udivdi3_s.o _umoddi3_s.o _udivmoddi4_s.o _udiv_w_sdiv_s.o _addQQ_s.o _addHQ_s.o _addSQ_s.o _addDQ_s.o _addTQ_s.o _addHA_s.o _addSA_s.o _addDA_s.o _addTA_s.o _addUQQ_s.o _addUHQ_s.o _addUSQ_s.o _addUDQ_s.o _addUTQ_s.o _addUHA_s.o _addUSA_s.o _addUDA_s.o _addUTA_s.o _subQQ_s.o _subHQ_s.o _subSQ_s.o _subDQ_s.o _subTQ_s.o _subHA_s.o _subSA_s.o _subDA_s.o _subTA_s.o _subUQQ_s.o _subUHQ_s.o _subUSQ_s.o _subUDQ_s.o _subUTQ_s.o _subUHA_s.o _subUSA_s.o _subUDA_s.o _subUTA_s.o _negQQ_s.o _negHQ_s.o _negSQ_s.o _negDQ_s.o _negTQ_s.o _negHA_s.o _negSA_s.o _negDA_s.o _negTA_s.o _negUQQ_s.o _negUHQ_s.o _negUSQ_s.o _negUDQ_s.o _negUTQ_s.o _negUHA_s.o _negUSA_s.o _negUDA_s.o _negUTA_s.o _mulQQ_s.o _mulHQ_s.o _mulSQ_s.o _mulDQ_s.o _mulTQ_s.o _mulHA_s.o _mulSA_s.o _mulDA_s.o _mulTA_s.o _mulUQQ_s.o _mulUHQ_s.o _mulUSQ_s.o _mulUDQ_s.o _mulUTQ_s.o _mulUHA_s.o _mulUSA_s.o _mulUDA_s.o _mulUTA_s.o _mulhelperQQ_s.o _mulhelperHQ_s.o _mulhelperSQ_s.o _mulhelperDQ_s.o _mulhelperTQ_s.o _mulhelperHA_s.o _mulhelperSA_s.o _mulhelperDA_s.o _mulhelperTA_s.o _mulhelperUQQ_s.o _mulhelperUHQ_s.o _mulhelperUSQ_s.o _mulhelperUDQ_s.o _mulhelperUTQ_s.o _mulhelperUHA_s.o _mulhelperUSA_s.o _mulhelperUDA_s.o _mulhelperUTA_s.o _divhelperQQ_s.o _divhelperHQ_s.o _divhelperSQ_s.o _divhelperDQ_s.o _divhelperTQ_s.o _divhelperHA_s.o _divhelperSA_s.o _divhelperDA_s.o _divhelperTA_s.o _divhelperUQQ_s.o _divhelperUHQ_s.o _divhelperUSQ_s.o _divhelperUDQ_s.o _divhelperUTQ_s.o _divhelperUHA_s.o _divhelperUSA_s.o _divhelperUDA_s.o _divhelperUTA_s.o _ashlQQ_s.o _ashlHQ_s.o _ashlSQ_s.o _ashlDQ_s.o _ashlTQ_s.o _ashlHA_s.o _ashlSA_s.o _ashlDA_s.o _ashlTA_s.o _ashlUQQ_s.o _ashlUHQ_s.o _ashlUSQ_s.o _ashlUDQ_s.o _ashlUTQ_s.o _ashlUHA_s.o _ashlUSA_s.o _ashlUDA_s.o _ashlUTA_s.o _ashlhelperQQ_s.o _ashlhelperHQ_s.o _ashlhelperSQ_s.o _ashlhelperDQ_s.o _ashlhelperTQ_s.o _ashlhelperHA_s.o _ashlhelperSA_s.o _ashlhelperDA_s.o _ashlhelperTA_s.o _ashlhelperUQQ_s.o _ashlhelperUHQ_s.o _ashlhelperUSQ_s.o _ashlhelperUDQ_s.o _ashlhelperUTQ_s.o _ashlhelperUHA_s.o _ashlhelperUSA_s.o _ashlhelperUDA_s.o _ashlhelperUTA_s.o _cmpQQ_s.o _cmpHQ_s.o _cmpSQ_s.o _cmpDQ_s.o _cmpTQ_s.o _cmpHA_s.o _cmpSA_s.o _cmpDA_s.o _cmpTA_s.o _cmpUQQ_s.o _cmpUHQ_s.o _cmpUSQ_s.o _cmpUDQ_s.o _cmpUTQ_s.o _cmpUHA_s.o _cmpUSA_s.o _cmpUDA_s.o _cmpUTA_s.o _saturate1QQ_s.o _saturate1HQ_s.o _saturate1SQ_s.o _saturate1DQ_s.o _saturate1TQ_s.o _saturate1HA_s.o _saturate1SA_s.o _saturate1DA_s.o _saturate1TA_s.o _saturate1UQQ_s.o _saturate1UHQ_s.o _saturate1USQ_s.o _saturate1UDQ_s.o _saturate1UTQ_s.o _saturate1UHA_s.o _saturate1USA_s.o _saturate1UDA_s.o _saturate1UTA_s.o _saturate2QQ_s.o _saturate2HQ_s.o _saturate2SQ_s.o _saturate2DQ_s.o _saturate2TQ_s.o _saturate2HA_s.o _saturate2SA_s.o _saturate2DA_s.o _saturate2TA_s.o _saturate2UQQ_s.o _saturate2UHQ_s.o _saturate2USQ_s.o _saturate2UDQ_s.o _saturate2UTQ_s.o _saturate2UHA_s.o _saturate2USA_s.o _saturate2UDA_s.o _saturate2UTA_s.o _ssaddQQ_s.o _ssaddHQ_s.o _ssaddSQ_s.o _ssaddDQ_s.o _ssaddTQ_s.o _ssaddHA_s.o _ssaddSA_s.o _ssaddDA_s.o _ssaddTA_s.o _sssubQQ_s.o _sssubHQ_s.o _sssubSQ_s.o _sssubDQ_s.o _sssubTQ_s.o _sssubHA_s.o _sssubSA_s.o _sssubDA_s.o _sssubTA_s.o _ssnegQQ_s.o _ssnegHQ_s.o _ssnegSQ_s.o _ssnegDQ_s.o _ssnegTQ_s.o _ssnegHA_s.o _ssnegSA_s.o _ssnegDA_s.o _ssnegTA_s.o _ssmulQQ_s.o _ssmulHQ_s.o _ssmulSQ_s.o _ssmulDQ_s.o _ssmulTQ_s.o _ssmulHA_s.o _ssmulSA_s.o _ssmulDA_s.o _ssmulTA_s.o _ssdivQQ_s.o _ssdivHQ_s.o _ssdivSQ_s.o _ssdivDQ_s.o _ssdivTQ_s.o _ssdivHA_s.o _ssdivSA_s.o _ssdivDA_s.o _ssdivTA_s.o _divQQ_s.o _divHQ_s.o _divSQ_s.o _divDQ_s.o _divTQ_s.o _divHA_s.o _divSA_s.o _divDA_s.o _divTA_s.o _ssashlQQ_s.o _ssashlHQ_s.o _ssashlSQ_s.o _ssashlDQ_s.o _ssashlTQ_s.o _ssashlHA_s.o _ssashlSA_s.o _ssashlDA_s.o _ssashlTA_s.o _ashrQQ_s.o _ashrHQ_s.o _ashrSQ_s.o _ashrDQ_s.o _ashrTQ_s.o _ashrHA_s.o _ashrSA_s.o _ashrDA_s.o _ashrTA_s.o _usaddUQQ_s.o _usaddUHQ_s.o _usaddUSQ_s.o _usaddUDQ_s.o _usaddUTQ_s.o _usaddUHA_s.o _usaddUSA_s.o _usaddUDA_s.o _usaddUTA_s.o _ussubUQQ_s.o _ussubUHQ_s.o _ussubUSQ_s.o _ussubUDQ_s.o _ussubUTQ_s.o _ussubUHA_s.o _ussubUSA_s.o _ussubUDA_s.o _ussubUTA_s.o _usnegUQQ_s.o _usnegUHQ_s.o _usnegUSQ_s.o _usnegUDQ_s.o _usnegUTQ_s.o _usnegUHA_s.o _usnegUSA_s.o _usnegUDA_s.o _usnegUTA_s.o _usmulUQQ_s.o _usmulUHQ_s.o _usmulUSQ_s.o _usmulUDQ_s.o _usmulUTQ_s.o _usmulUHA_s.o _usmulUSA_s.o _usmulUDA_s.o _usmulUTA_s.o _usdivUQQ_s.o _usdivUHQ_s.o _usdivUSQ_s.o _usdivUDQ_s.o _usdivUTQ_s.o _usdivUHA_s.o _usdivUSA_s.o _usdivUDA_s.o _usdivUTA_s.o _udivUQQ_s.o _udivUHQ_s.o _udivUSQ_s.o _udivUDQ_s.o _udivUTQ_s.o _udivUHA_s.o _udivUSA_s.o _udivUDA_s.o _udivUTA_s.o _usashlUQQ_s.o _usashlUHQ_s.o _usashlUSQ_s.o _usashlUDQ_s.o _usashlUTQ_s.o _usashlUHA_s.o _usashlUSA_s.o _usashlUDA_s.o _usashlUTA_s.o _lshrUQQ_s.o _lshrUHQ_s.o _lshrUSQ_s.o _lshrUDQ_s.o _lshrUTQ_s.o _lshrUHA_s.o _lshrUSA_s.o _lshrUDA_s.o _lshrUTA_s.o _fractQQHQ_s.o _fractQQSQ_s.o _fractQQDQ_s.o _fractQQTQ_s.o _fractQQHA_s.o _fractQQSA_s.o _fractQQDA_s.o _fractQQTA_s.o _fractQQUQQ_s.o _fractQQUHQ_s.o _fractQQUSQ_s.o _fractQQUDQ_s.o _fractQQUTQ_s.o _fractQQUHA_s.o _fractQQUSA_s.o _fractQQUDA_s.o _fractQQUTA_s.o _fractQQQI_s.o _fractQQHI_s.o _fractQQSI_s.o _fractQQDI_s.o _fractQQTI_s.o _fractQQSF_s.o _fractQQDF_s.o _fractHQQQ_s.o _fractHQSQ_s.o _fractHQDQ_s.o _fractHQTQ_s.o _fractHQHA_s.o _fractHQSA_s.o _fractHQDA_s.o _fractHQTA_s.o _fractHQUQQ_s.o _fractHQUHQ_s.o _fractHQUSQ_s.o _fractHQUDQ_s.o _fractHQUTQ_s.o _fractHQUHA_s.o _fractHQUSA_s.o _fractHQUDA_s.o _fractHQUTA_s.o _fractHQQI_s.o _fractHQHI_s.o _fractHQSI_s.o _fractHQDI_s.o _fractHQTI_s.o _fractHQSF_s.o _fractHQDF_s.o _fractSQQQ_s.o _fractSQHQ_s.o _fractSQDQ_s.o _fractSQTQ_s.o _fractSQHA_s.o _fractSQSA_s.o _fractSQDA_s.o _fractSQTA_s.o _fractSQUQQ_s.o _fractSQUHQ_s.o _fractSQUSQ_s.o _fractSQUDQ_s.o _fractSQUTQ_s.o _fractSQUHA_s.o _fractSQUSA_s.o _fractSQUDA_s.o _fractSQUTA_s.o _fractSQQI_s.o _fractSQHI_s.o _fractSQSI_s.o _fractSQDI_s.o _fractSQTI_s.o _fractSQSF_s.o _fractSQDF_s.o _fractDQQQ_s.o _fractDQHQ_s.o _fractDQSQ_s.o _fractDQTQ_s.o _fractDQHA_s.o _fractDQSA_s.o _fractDQDA_s.o _fractDQTA_s.o _fractDQUQQ_s.o _fractDQUHQ_s.o _fractDQUSQ_s.o _fractDQUDQ_s.o _fractDQUTQ_s.o _fractDQUHA_s.o _fractDQUSA_s.o _fractDQUDA_s.o _fractDQUTA_s.o _fractDQQI_s.o _fractDQHI_s.o _fractDQSI_s.o _fractDQDI_s.o _fractDQTI_s.o _fractDQSF_s.o _fractDQDF_s.o _fractTQQQ_s.o _fractTQHQ_s.o _fractTQSQ_s.o _fractTQDQ_s.o _fractTQHA_s.o _fractTQSA_s.o _fractTQDA_s.o _fractTQTA_s.o _fractTQUQQ_s.o _fractTQUHQ_s.o _fractTQUSQ_s.o _fractTQUDQ_s.o _fractTQUTQ_s.o _fractTQUHA_s.o _fractTQUSA_s.o _fractTQUDA_s.o _fractTQUTA_s.o _fractTQQI_s.o _fractTQHI_s.o _fractTQSI_s.o _fractTQDI_s.o _fractTQTI_s.o _fractTQSF_s.o _fractTQDF_s.o _fractHAQQ_s.o _fractHAHQ_s.o _fractHASQ_s.o _fractHADQ_s.o _fractHATQ_s.o _fractHASA_s.o _fractHADA_s.o _fractHATA_s.o _fractHAUQQ_s.o _fractHAUHQ_s.o _fractHAUSQ_s.o _fractHAUDQ_s.o _fractHAUTQ_s.o _fractHAUHA_s.o _fractHAUSA_s.o _fractHAUDA_s.o _fractHAUTA_s.o _fractHAQI_s.o _fractHAHI_s.o _fractHASI_s.o _fractHADI_s.o _fractHATI_s.o _fractHASF_s.o _fractHADF_s.o _fractSAQQ_s.o _fractSAHQ_s.o _fractSASQ_s.o _fractSADQ_s.o _fractSATQ_s.o _fractSAHA_s.o _fractSADA_s.o _fractSATA_s.o _fractSAUQQ_s.o _fractSAUHQ_s.o _fractSAUSQ_s.o _fractSAUDQ_s.o _fractSAUTQ_s.o _fractSAUHA_s.o _fractSAUSA_s.o _fractSAUDA_s.o _fractSAUTA_s.o _fractSAQI_s.o _fractSAHI_s.o _fractSASI_s.o _fractSADI_s.o _fractSATI_s.o _fractSASF_s.o _fractSADF_s.o _fractDAQQ_s.o _fractDAHQ_s.o _fractDASQ_s.o _fractDADQ_s.o _fractDATQ_s.o _fractDAHA_s.o _fractDASA_s.o _fractDATA_s.o _fractDAUQQ_s.o _fractDAUHQ_s.o _fractDAUSQ_s.o _fractDAUDQ_s.o _fractDAUTQ_s.o _fractDAUHA_s.o _fractDAUSA_s.o _fractDAUDA_s.o _fractDAUTA_s.o _fractDAQI_s.o _fractDAHI_s.o _fractDASI_s.o _fractDADI_s.o _fractDATI_s.o _fractDASF_s.o _fractDADF_s.o _fractTAQQ_s.o _fractTAHQ_s.o _fractTASQ_s.o _fractTADQ_s.o _fractTATQ_s.o _fractTAHA_s.o _fractTASA_s.o _fractTADA_s.o _fractTAUQQ_s.o _fractTAUHQ_s.o _fractTAUSQ_s.o _fractTAUDQ_s.o _fractTAUTQ_s.o _fractTAUHA_s.o _fractTAUSA_s.o _fractTAUDA_s.o _fractTAUTA_s.o _fractTAQI_s.o _fractTAHI_s.o _fractTASI_s.o _fractTADI_s.o _fractTATI_s.o _fractTASF_s.o _fractTADF_s.o _fractUQQQQ_s.o _fractUQQHQ_s.o _fractUQQSQ_s.o _fractUQQDQ_s.o _fractUQQTQ_s.o _fractUQQHA_s.o _fractUQQSA_s.o _fractUQQDA_s.o _fractUQQTA_s.o _fractUQQUHQ_s.o _fractUQQUSQ_s.o _fractUQQUDQ_s.o _fractUQQUTQ_s.o _fractUQQUHA_s.o _fractUQQUSA_s.o _fractUQQUDA_s.o _fractUQQUTA_s.o _fractUQQQI_s.o _fractUQQHI_s.o _fractUQQSI_s.o _fractUQQDI_s.o _fractUQQTI_s.o _fractUQQSF_s.o _fractUQQDF_s.o _fractUHQQQ_s.o _fractUHQHQ_s.o _fractUHQSQ_s.o _fractUHQDQ_s.o _fractUHQTQ_s.o _fractUHQHA_s.o _fractUHQSA_s.o _fractUHQDA_s.o _fractUHQTA_s.o _fractUHQUQQ_s.o _fractUHQUSQ_s.o _fractUHQUDQ_s.o _fractUHQUTQ_s.o _fractUHQUHA_s.o _fractUHQUSA_s.o _fractUHQUDA_s.o _fractUHQUTA_s.o _fractUHQQI_s.o _fractUHQHI_s.o _fractUHQSI_s.o _fractUHQDI_s.o _fractUHQTI_s.o _fractUHQSF_s.o _fractUHQDF_s.o _fractUSQQQ_s.o _fractUSQHQ_s.o _fractUSQSQ_s.o _fractUSQDQ_s.o _fractUSQTQ_s.o _fractUSQHA_s.o _fractUSQSA_s.o _fractUSQDA_s.o _fractUSQTA_s.o _fractUSQUQQ_s.o _fractUSQUHQ_s.o _fractUSQUDQ_s.o _fractUSQUTQ_s.o _fractUSQUHA_s.o _fractUSQUSA_s.o _fractUSQUDA_s.o _fractUSQUTA_s.o _fractUSQQI_s.o _fractUSQHI_s.o _fractUSQSI_s.o _fractUSQDI_s.o _fractUSQTI_s.o _fractUSQSF_s.o _fractUSQDF_s.o _fractUDQQQ_s.o _fractUDQHQ_s.o _fractUDQSQ_s.o _fractUDQDQ_s.o _fractUDQTQ_s.o _fractUDQHA_s.o _fractUDQSA_s.o _fractUDQDA_s.o _fractUDQTA_s.o _fractUDQUQQ_s.o _fractUDQUHQ_s.o _fractUDQUSQ_s.o _fractUDQUTQ_s.o _fractUDQUHA_s.o _fractUDQUSA_s.o _fractUDQUDA_s.o _fractUDQUTA_s.o _fractUDQQI_s.o _fractUDQHI_s.o _fractUDQSI_s.o _fractUDQDI_s.o _fractUDQTI_s.o _fractUDQSF_s.o _fractUDQDF_s.o _fractUTQQQ_s.o _fractUTQHQ_s.o _fractUTQSQ_s.o _fractUTQDQ_s.o _fractUTQTQ_s.o _fractUTQHA_s.o _fractUTQSA_s.o _fractUTQDA_s.o _fractUTQTA_s.o _fractUTQUQQ_s.o _fractUTQUHQ_s.o _fractUTQUSQ_s.o _fractUTQUDQ_s.o _fractUTQUHA_s.o _fractUTQUSA_s.o _fractUTQUDA_s.o _fractUTQUTA_s.o _fractUTQQI_s.o _fractUTQHI_s.o _fractUTQSI_s.o _fractUTQDI_s.o _fractUTQTI_s.o _fractUTQSF_s.o _fractUTQDF_s.o _fractUHAQQ_s.o _fractUHAHQ_s.o _fractUHASQ_s.o _fractUHADQ_s.o _fractUHATQ_s.o _fractUHAHA_s.o _fractUHASA_s.o _fractUHADA_s.o _fractUHATA_s.o _fractUHAUQQ_s.o _fractUHAUHQ_s.o _fractUHAUSQ_s.o _fractUHAUDQ_s.o _fractUHAUTQ_s.o _fractUHAUSA_s.o _fractUHAUDA_s.o _fractUHAUTA_s.o _fractUHAQI_s.o _fractUHAHI_s.o _fractUHASI_s.o _fractUHADI_s.o _fractUHATI_s.o _fractUHASF_s.o _fractUHADF_s.o _fractUSAQQ_s.o _fractUSAHQ_s.o _fractUSASQ_s.o _fractUSADQ_s.o _fractUSATQ_s.o _fractUSAHA_s.o _fractUSASA_s.o _fractUSADA_s.o _fractUSATA_s.o _fractUSAUQQ_s.o _fractUSAUHQ_s.o _fractUSAUSQ_s.o _fractUSAUDQ_s.o _fractUSAUTQ_s.o _fractUSAUHA_s.o _fractUSAUDA_s.o _fractUSAUTA_s.o _fractUSAQI_s.o _fractUSAHI_s.o _fractUSASI_s.o _fractUSADI_s.o _fractUSATI_s.o _fractUSASF_s.o _fractUSADF_s.o _fractUDAQQ_s.o _fractUDAHQ_s.o _fractUDASQ_s.o _fractUDADQ_s.o _fractUDATQ_s.o _fractUDAHA_s.o _fractUDASA_s.o _fractUDADA_s.o _fractUDATA_s.o _fractUDAUQQ_s.o _fractUDAUHQ_s.o _fractUDAUSQ_s.o _fractUDAUDQ_s.o _fractUDAUTQ_s.o _fractUDAUHA_s.o _fractUDAUSA_s.o _fractUDAUTA_s.o _fractUDAQI_s.o _fractUDAHI_s.o _fractUDASI_s.o _fractUDADI_s.o _fractUDATI_s.o _fractUDASF_s.o _fractUDADF_s.o _fractUTAQQ_s.o _fractUTAHQ_s.o _fractUTASQ_s.o _fractUTADQ_s.o _fractUTATQ_s.o _fractUTAHA_s.o _fractUTASA_s.o _fractUTADA_s.o _fractUTATA_s.o _fractUTAUQQ_s.o _fractUTAUHQ_s.o _fractUTAUSQ_s.o _fractUTAUDQ_s.o _fractUTAUTQ_s.o _fractUTAUHA_s.o _fractUTAUSA_s.o _fractUTAUDA_s.o _fractUTAQI_s.o _fractUTAHI_s.o _fractUTASI_s.o _fractUTADI_s.o _fractUTATI_s.o _fractUTASF_s.o _fractUTADF_s.o _fractQIQQ_s.o _fractQIHQ_s.o _fractQISQ_s.o _fractQIDQ_s.o _fractQITQ_s.o _fractQIHA_s.o _fractQISA_s.o _fractQIDA_s.o _fractQITA_s.o _fractQIUQQ_s.o _fractQIUHQ_s.o _fractQIUSQ_s.o _fractQIUDQ_s.o _fractQIUTQ_s.o _fractQIUHA_s.o _fractQIUSA_s.o _fractQIUDA_s.o _fractQIUTA_s.o _fractHIQQ_s.o _fractHIHQ_s.o _fractHISQ_s.o _fractHIDQ_s.o _fractHITQ_s.o _fractHIHA_s.o _fractHISA_s.o _fractHIDA_s.o _fractHITA_s.o _fractHIUQQ_s.o _fractHIUHQ_s.o _fractHIUSQ_s.o _fractHIUDQ_s.o _fractHIUTQ_s.o _fractHIUHA_s.o _fractHIUSA_s.o _fractHIUDA_s.o _fractHIUTA_s.o _fractSIQQ_s.o _fractSIHQ_s.o _fractSISQ_s.o _fractSIDQ_s.o _fractSITQ_s.o _fractSIHA_s.o _fractSISA_s.o _fractSIDA_s.o _fractSITA_s.o _fractSIUQQ_s.o _fractSIUHQ_s.o _fractSIUSQ_s.o _fractSIUDQ_s.o _fractSIUTQ_s.o _fractSIUHA_s.o _fractSIUSA_s.o _fractSIUDA_s.o _fractSIUTA_s.o _fractDIQQ_s.o _fractDIHQ_s.o _fractDISQ_s.o _fractDIDQ_s.o _fractDITQ_s.o _fractDIHA_s.o _fractDISA_s.o _fractDIDA_s.o _fractDITA_s.o _fractDIUQQ_s.o _fractDIUHQ_s.o _fractDIUSQ_s.o _fractDIUDQ_s.o _fractDIUTQ_s.o _fractDIUHA_s.o _fractDIUSA_s.o _fractDIUDA_s.o _fractDIUTA_s.o _fractTIQQ_s.o _fractTIHQ_s.o _fractTISQ_s.o _fractTIDQ_s.o _fractTITQ_s.o _fractTIHA_s.o _fractTISA_s.o _fractTIDA_s.o _fractTITA_s.o _fractTIUQQ_s.o _fractTIUHQ_s.o _fractTIUSQ_s.o _fractTIUDQ_s.o _fractTIUTQ_s.o _fractTIUHA_s.o _fractTIUSA_s.o _fractTIUDA_s.o _fractTIUTA_s.o _fractSFQQ_s.o _fractSFHQ_s.o _fractSFSQ_s.o _fractSFDQ_s.o _fractSFTQ_s.o _fractSFHA_s.o _fractSFSA_s.o _fractSFDA_s.o _fractSFTA_s.o _fractSFUQQ_s.o _fractSFUHQ_s.o _fractSFUSQ_s.o _fractSFUDQ_s.o _fractSFUTQ_s.o _fractSFUHA_s.o _fractSFUSA_s.o _fractSFUDA_s.o _fractSFUTA_s.o _fractDFQQ_s.o _fractDFHQ_s.o _fractDFSQ_s.o _fractDFDQ_s.o _fractDFTQ_s.o _fractDFHA_s.o _fractDFSA_s.o _fractDFDA_s.o _fractDFTA_s.o _fractDFUQQ_s.o _fractDFUHQ_s.o _fractDFUSQ_s.o _fractDFUDQ_s.o _fractDFUTQ_s.o _fractDFUHA_s.o _fractDFUSA_s.o _fractDFUDA_s.o _fractDFUTA_s.o _satfractQQHQ_s.o _satfractQQSQ_s.o _satfractQQDQ_s.o _satfractQQTQ_s.o _satfractQQHA_s.o _satfractQQSA_s.o _satfractQQDA_s.o _satfractQQTA_s.o _satfractQQUQQ_s.o _satfractQQUHQ_s.o _satfractQQUSQ_s.o _satfractQQUDQ_s.o _satfractQQUTQ_s.o _satfractQQUHA_s.o _satfractQQUSA_s.o _satfractQQUDA_s.o _satfractQQUTA_s.o _satfractHQQQ_s.o _satfractHQSQ_s.o _satfractHQDQ_s.o _satfractHQTQ_s.o _satfractHQHA_s.o _satfractHQSA_s.o _satfractHQDA_s.o _satfractHQTA_s.o _satfractHQUQQ_s.o _satfractHQUHQ_s.o _satfractHQUSQ_s.o _satfractHQUDQ_s.o _satfractHQUTQ_s.o _satfractHQUHA_s.o _satfractHQUSA_s.o _satfractHQUDA_s.o _satfractHQUTA_s.o _satfractSQQQ_s.o _satfractSQHQ_s.o _satfractSQDQ_s.o _satfractSQTQ_s.o _satfractSQHA_s.o _satfractSQSA_s.o _satfractSQDA_s.o _satfractSQTA_s.o _satfractSQUQQ_s.o _satfractSQUHQ_s.o _satfractSQUSQ_s.o _satfractSQUDQ_s.o _satfractSQUTQ_s.o _satfractSQUHA_s.o _satfractSQUSA_s.o _satfractSQUDA_s.o _satfractSQUTA_s.o _satfractDQQQ_s.o _satfractDQHQ_s.o _satfractDQSQ_s.o _satfractDQTQ_s.o _satfractDQHA_s.o _satfractDQSA_s.o _satfractDQDA_s.o _satfractDQTA_s.o _satfractDQUQQ_s.o _satfractDQUHQ_s.o _satfractDQUSQ_s.o _satfractDQUDQ_s.o _satfractDQUTQ_s.o _satfractDQUHA_s.o _satfractDQUSA_s.o _satfractDQUDA_s.o _satfractDQUTA_s.o _satfractTQQQ_s.o _satfractTQHQ_s.o _satfractTQSQ_s.o _satfractTQDQ_s.o _satfractTQHA_s.o _satfractTQSA_s.o _satfractTQDA_s.o _satfractTQTA_s.o _satfractTQUQQ_s.o _satfractTQUHQ_s.o _satfractTQUSQ_s.o _satfractTQUDQ_s.o _satfractTQUTQ_s.o _satfractTQUHA_s.o _satfractTQUSA_s.o _satfractTQUDA_s.o _satfractTQUTA_s.o _satfractHAQQ_s.o _satfractHAHQ_s.o _satfractHASQ_s.o _satfractHADQ_s.o _satfractHATQ_s.o _satfractHASA_s.o _satfractHADA_s.o _satfractHATA_s.o _satfractHAUQQ_s.o _satfractHAUHQ_s.o _satfractHAUSQ_s.o _satfractHAUDQ_s.o _satfractHAUTQ_s.o _satfractHAUHA_s.o _satfractHAUSA_s.o _satfractHAUDA_s.o _satfractHAUTA_s.o _satfractSAQQ_s.o _satfractSAHQ_s.o _satfractSASQ_s.o _satfractSADQ_s.o _satfractSATQ_s.o _satfractSAHA_s.o _satfractSADA_s.o _satfractSATA_s.o _satfractSAUQQ_s.o _satfractSAUHQ_s.o _satfractSAUSQ_s.o _satfractSAUDQ_s.o _satfractSAUTQ_s.o _satfractSAUHA_s.o _satfractSAUSA_s.o _satfractSAUDA_s.o _satfractSAUTA_s.o _satfractDAQQ_s.o _satfractDAHQ_s.o _satfractDASQ_s.o _satfractDADQ_s.o _satfractDATQ_s.o _satfractDAHA_s.o _satfractDASA_s.o _satfractDATA_s.o _satfractDAUQQ_s.o _satfractDAUHQ_s.o _satfractDAUSQ_s.o _satfractDAUDQ_s.o _satfractDAUTQ_s.o _satfractDAUHA_s.o _satfractDAUSA_s.o _satfractDAUDA_s.o _satfractDAUTA_s.o _satfractTAQQ_s.o _satfractTAHQ_s.o _satfractTASQ_s.o _satfractTADQ_s.o _satfractTATQ_s.o _satfractTAHA_s.o _satfractTASA_s.o _satfractTADA_s.o _satfractTAUQQ_s.o _satfractTAUHQ_s.o _satfractTAUSQ_s.o _satfractTAUDQ_s.o _satfractTAUTQ_s.o _satfractTAUHA_s.o _satfractTAUSA_s.o _satfractTAUDA_s.o _satfractTAUTA_s.o _satfractUQQQQ_s.o _satfractUQQHQ_s.o _satfractUQQSQ_s.o _satfractUQQDQ_s.o _satfractUQQTQ_s.o _satfractUQQHA_s.o _satfractUQQSA_s.o _satfractUQQDA_s.o _satfractUQQTA_s.o _satfractUQQUHQ_s.o _satfractUQQUSQ_s.o _satfractUQQUDQ_s.o _satfractUQQUTQ_s.o _satfractUQQUHA_s.o _satfractUQQUSA_s.o _satfractUQQUDA_s.o _satfractUQQUTA_s.o _satfractUHQQQ_s.o _satfractUHQHQ_s.o _satfractUHQSQ_s.o _satfractUHQDQ_s.o _satfractUHQTQ_s.o _satfractUHQHA_s.o _satfractUHQSA_s.o _satfractUHQDA_s.o _satfractUHQTA_s.o _satfractUHQUQQ_s.o _satfractUHQUSQ_s.o _satfractUHQUDQ_s.o _satfractUHQUTQ_s.o _satfractUHQUHA_s.o _satfractUHQUSA_s.o _satfractUHQUDA_s.o _satfractUHQUTA_s.o _satfractUSQQQ_s.o _satfractUSQHQ_s.o _satfractUSQSQ_s.o _satfractUSQDQ_s.o _satfractUSQTQ_s.o _satfractUSQHA_s.o _satfractUSQSA_s.o _satfractUSQDA_s.o _satfractUSQTA_s.o _satfractUSQUQQ_s.o _satfractUSQUHQ_s.o _satfractUSQUDQ_s.o _satfractUSQUTQ_s.o _satfractUSQUHA_s.o _satfractUSQUSA_s.o _satfractUSQUDA_s.o _satfractUSQUTA_s.o _satfractUDQQQ_s.o _satfractUDQHQ_s.o _satfractUDQSQ_s.o _satfractUDQDQ_s.o _satfractUDQTQ_s.o _satfractUDQHA_s.o _satfractUDQSA_s.o _satfractUDQDA_s.o _satfractUDQTA_s.o _satfractUDQUQQ_s.o _satfractUDQUHQ_s.o _satfractUDQUSQ_s.o _satfractUDQUTQ_s.o _satfractUDQUHA_s.o _satfractUDQUSA_s.o _satfractUDQUDA_s.o _satfractUDQUTA_s.o _satfractUTQQQ_s.o _satfractUTQHQ_s.o _satfractUTQSQ_s.o _satfractUTQDQ_s.o _satfractUTQTQ_s.o _satfractUTQHA_s.o _satfractUTQSA_s.o _satfractUTQDA_s.o _satfractUTQTA_s.o _satfractUTQUQQ_s.o _satfractUTQUHQ_s.o _satfractUTQUSQ_s.o _satfractUTQUDQ_s.o _satfractUTQUHA_s.o _satfractUTQUSA_s.o _satfractUTQUDA_s.o _satfractUTQUTA_s.o _satfractUHAQQ_s.o _satfractUHAHQ_s.o _satfractUHASQ_s.o _satfractUHADQ_s.o _satfractUHATQ_s.o _satfractUHAHA_s.o _satfractUHASA_s.o _satfractUHADA_s.o _satfractUHATA_s.o _satfractUHAUQQ_s.o _satfractUHAUHQ_s.o _satfractUHAUSQ_s.o _satfractUHAUDQ_s.o _satfractUHAUTQ_s.o _satfractUHAUSA_s.o _satfractUHAUDA_s.o _satfractUHAUTA_s.o _satfractUSAQQ_s.o _satfractUSAHQ_s.o _satfractUSASQ_s.o _satfractUSADQ_s.o _satfractUSATQ_s.o _satfractUSAHA_s.o _satfractUSASA_s.o _satfractUSADA_s.o _satfractUSATA_s.o _satfractUSAUQQ_s.o _satfractUSAUHQ_s.o _satfractUSAUSQ_s.o _satfractUSAUDQ_s.o _satfractUSAUTQ_s.o _satfractUSAUHA_s.o _satfractUSAUDA_s.o _satfractUSAUTA_s.o _satfractUDAQQ_s.o _satfractUDAHQ_s.o _satfractUDASQ_s.o _satfractUDADQ_s.o _satfractUDATQ_s.o _satfractUDAHA_s.o _satfractUDASA_s.o _satfractUDADA_s.o _satfractUDATA_s.o _satfractUDAUQQ_s.o _satfractUDAUHQ_s.o _satfractUDAUSQ_s.o _satfractUDAUDQ_s.o _satfractUDAUTQ_s.o _satfractUDAUHA_s.o _satfractUDAUSA_s.o _satfractUDAUTA_s.o _satfractUTAQQ_s.o _satfractUTAHQ_s.o _satfractUTASQ_s.o _satfractUTADQ_s.o _satfractUTATQ_s.o _satfractUTAHA_s.o _satfractUTASA_s.o _satfractUTADA_s.o _satfractUTATA_s.o _satfractUTAUQQ_s.o _satfractUTAUHQ_s.o _satfractUTAUSQ_s.o _satfractUTAUDQ_s.o _satfractUTAUTQ_s.o _satfractUTAUHA_s.o _satfractUTAUSA_s.o _satfractUTAUDA_s.o _satfractQIQQ_s.o _satfractQIHQ_s.o _satfractQISQ_s.o _satfractQIDQ_s.o _satfractQITQ_s.o _satfractQIHA_s.o _satfractQISA_s.o _satfractQIDA_s.o _satfractQITA_s.o _satfractQIUQQ_s.o _satfractQIUHQ_s.o _satfractQIUSQ_s.o _satfractQIUDQ_s.o _satfractQIUTQ_s.o _satfractQIUHA_s.o _satfractQIUSA_s.o _satfractQIUDA_s.o _satfractQIUTA_s.o _satfractHIQQ_s.o _satfractHIHQ_s.o _satfractHISQ_s.o _satfractHIDQ_s.o _satfractHITQ_s.o _satfractHIHA_s.o _satfractHISA_s.o _satfractHIDA_s.o _satfractHITA_s.o _satfractHIUQQ_s.o _satfractHIUHQ_s.o _satfractHIUSQ_s.o _satfractHIUDQ_s.o _satfractHIUTQ_s.o _satfractHIUHA_s.o _satfractHIUSA_s.o _satfractHIUDA_s.o _satfractHIUTA_s.o _satfractSIQQ_s.o _satfractSIHQ_s.o _satfractSISQ_s.o _satfractSIDQ_s.o _satfractSITQ_s.o _satfractSIHA_s.o _satfractSISA_s.o _satfractSIDA_s.o _satfractSITA_s.o _satfractSIUQQ_s.o _satfractSIUHQ_s.o _satfractSIUSQ_s.o _satfractSIUDQ_s.o _satfractSIUTQ_s.o _satfractSIUHA_s.o _satfractSIUSA_s.o _satfractSIUDA_s.o _satfractSIUTA_s.o _satfractDIQQ_s.o _satfractDIHQ_s.o _satfractDISQ_s.o _satfractDIDQ_s.o _satfractDITQ_s.o _satfractDIHA_s.o _satfractDISA_s.o _satfractDIDA_s.o _satfractDITA_s.o _satfractDIUQQ_s.o _satfractDIUHQ_s.o _satfractDIUSQ_s.o _satfractDIUDQ_s.o _satfractDIUTQ_s.o _satfractDIUHA_s.o _satfractDIUSA_s.o _satfractDIUDA_s.o _satfractDIUTA_s.o _satfractTIQQ_s.o _satfractTIHQ_s.o _satfractTISQ_s.o _satfractTIDQ_s.o _satfractTITQ_s.o _satfractTIHA_s.o _satfractTISA_s.o _satfractTIDA_s.o _satfractTITA_s.o _satfractTIUQQ_s.o _satfractTIUHQ_s.o _satfractTIUSQ_s.o _satfractTIUDQ_s.o _satfractTIUTQ_s.o _satfractTIUHA_s.o _satfractTIUSA_s.o _satfractTIUDA_s.o _satfractTIUTA_s.o _satfractSFQQ_s.o _satfractSFHQ_s.o _satfractSFSQ_s.o _satfractSFDQ_s.o _satfractSFTQ_s.o _satfractSFHA_s.o _satfractSFSA_s.o _satfractSFDA_s.o _satfractSFTA_s.o _satfractSFUQQ_s.o _satfractSFUHQ_s.o _satfractSFUSQ_s.o _satfractSFUDQ_s.o _satfractSFUTQ_s.o _satfractSFUHA_s.o _satfractSFUSA_s.o _satfractSFUDA_s.o _satfractSFUTA_s.o _satfractDFQQ_s.o _satfractDFHQ_s.o _satfractDFSQ_s.o _satfractDFDQ_s.o _satfractDFTQ_s.o _satfractDFHA_s.o _satfractDFSA_s.o _satfractDFDA_s.o _satfractDFTA_s.o _satfractDFUQQ_s.o _satfractDFUHQ_s.o _satfractDFUSQ_s.o _satfractDFUDQ_s.o _satfractDFUTQ_s.o _satfractDFUHA_s.o _satfractDFUSA_s.o _satfractDFUDA_s.o _satfractDFUTA_s.o _fractunsQQUQI_s.o _fractunsQQUHI_s.o _fractunsQQUSI_s.o _fractunsQQUDI_s.o _fractunsQQUTI_s.o _fractunsHQUQI_s.o _fractunsHQUHI_s.o _fractunsHQUSI_s.o _fractunsHQUDI_s.o _fractunsHQUTI_s.o _fractunsSQUQI_s.o _fractunsSQUHI_s.o _fractunsSQUSI_s.o _fractunsSQUDI_s.o _fractunsSQUTI_s.o _fractunsDQUQI_s.o _fractunsDQUHI_s.o _fractunsDQUSI_s.o _fractunsDQUDI_s.o _fractunsDQUTI_s.o _fractunsTQUQI_s.o _fractunsTQUHI_s.o _fractunsTQUSI_s.o _fractunsTQUDI_s.o _fractunsTQUTI_s.o _fractunsHAUQI_s.o _fractunsHAUHI_s.o _fractunsHAUSI_s.o _fractunsHAUDI_s.o _fractunsHAUTI_s.o _fractunsSAUQI_s.o _fractunsSAUHI_s.o _fractunsSAUSI_s.o _fractunsSAUDI_s.o _fractunsSAUTI_s.o _fractunsDAUQI_s.o _fractunsDAUHI_s.o _fractunsDAUSI_s.o _fractunsDAUDI_s.o _fractunsDAUTI_s.o _fractunsTAUQI_s.o _fractunsTAUHI_s.o _fractunsTAUSI_s.o _fractunsTAUDI_s.o _fractunsTAUTI_s.o _fractunsUQQUQI_s.o _fractunsUQQUHI_s.o _fractunsUQQUSI_s.o _fractunsUQQUDI_s.o _fractunsUQQUTI_s.o _fractunsUHQUQI_s.o _fractunsUHQUHI_s.o _fractunsUHQUSI_s.o _fractunsUHQUDI_s.o _fractunsUHQUTI_s.o _fractunsUSQUQI_s.o _fractunsUSQUHI_s.o _fractunsUSQUSI_s.o _fractunsUSQUDI_s.o _fractunsUSQUTI_s.o _fractunsUDQUQI_s.o _fractunsUDQUHI_s.o _fractunsUDQUSI_s.o _fractunsUDQUDI_s.o _fractunsUDQUTI_s.o _fractunsUTQUQI_s.o _fractunsUTQUHI_s.o _fractunsUTQUSI_s.o _fractunsUTQUDI_s.o _fractunsUTQUTI_s.o _fractunsUHAUQI_s.o _fractunsUHAUHI_s.o _fractunsUHAUSI_s.o _fractunsUHAUDI_s.o _fractunsUHAUTI_s.o _fractunsUSAUQI_s.o _fractunsUSAUHI_s.o _fractunsUSAUSI_s.o _fractunsUSAUDI_s.o _fractunsUSAUTI_s.o _fractunsUDAUQI_s.o _fractunsUDAUHI_s.o _fractunsUDAUSI_s.o _fractunsUDAUDI_s.o _fractunsUDAUTI_s.o _fractunsUTAUQI_s.o _fractunsUTAUHI_s.o _fractunsUTAUSI_s.o _fractunsUTAUDI_s.o _fractunsUTAUTI_s.o _fractunsUQIQQ_s.o _fractunsUQIHQ_s.o _fractunsUQISQ_s.o _fractunsUQIDQ_s.o _fractunsUQITQ_s.o _fractunsUQIHA_s.o _fractunsUQISA_s.o _fractunsUQIDA_s.o _fractunsUQITA_s.o _fractunsUQIUQQ_s.o _fractunsUQIUHQ_s.o _fractunsUQIUSQ_s.o _fractunsUQIUDQ_s.o _fractunsUQIUTQ_s.o _fractunsUQIUHA_s.o _fractunsUQIUSA_s.o _fractunsUQIUDA_s.o _fractunsUQIUTA_s.o _fractunsUHIQQ_s.o _fractunsUHIHQ_s.o _fractunsUHISQ_s.o _fractunsUHIDQ_s.o _fractunsUHITQ_s.o _fractunsUHIHA_s.o _fractunsUHISA_s.o _fractunsUHIDA_s.o _fractunsUHITA_s.o _fractunsUHIUQQ_s.o _fractunsUHIUHQ_s.o _fractunsUHIUSQ_s.o _fractunsUHIUDQ_s.o _fractunsUHIUTQ_s.o _fractunsUHIUHA_s.o _fractunsUHIUSA_s.o _fractunsUHIUDA_s.o _fractunsUHIUTA_s.o _fractunsUSIQQ_s.o _fractunsUSIHQ_s.o _fractunsUSISQ_s.o _fractunsUSIDQ_s.o _fractunsUSITQ_s.o _fractunsUSIHA_s.o _fractunsUSISA_s.o _fractunsUSIDA_s.o _fractunsUSITA_s.o _fractunsUSIUQQ_s.o _fractunsUSIUHQ_s.o _fractunsUSIUSQ_s.o _fractunsUSIUDQ_s.o _fractunsUSIUTQ_s.o _fractunsUSIUHA_s.o _fractunsUSIUSA_s.o _fractunsUSIUDA_s.o _fractunsUSIUTA_s.o _fractunsUDIQQ_s.o _fractunsUDIHQ_s.o _fractunsUDISQ_s.o _fractunsUDIDQ_s.o _fractunsUDITQ_s.o _fractunsUDIHA_s.o _fractunsUDISA_s.o _fractunsUDIDA_s.o _fractunsUDITA_s.o _fractunsUDIUQQ_s.o _fractunsUDIUHQ_s.o _fractunsUDIUSQ_s.o _fractunsUDIUDQ_s.o _fractunsUDIUTQ_s.o _fractunsUDIUHA_s.o _fractunsUDIUSA_s.o _fractunsUDIUDA_s.o _fractunsUDIUTA_s.o _fractunsUTIQQ_s.o _fractunsUTIHQ_s.o _fractunsUTISQ_s.o _fractunsUTIDQ_s.o _fractunsUTITQ_s.o _fractunsUTIHA_s.o _fractunsUTISA_s.o _fractunsUTIDA_s.o _fractunsUTITA_s.o _fractunsUTIUQQ_s.o _fractunsUTIUHQ_s.o _fractunsUTIUSQ_s.o _fractunsUTIUDQ_s.o _fractunsUTIUTQ_s.o _fractunsUTIUHA_s.o _fractunsUTIUSA_s.o _fractunsUTIUDA_s.o _fractunsUTIUTA_s.o _satfractunsUQIQQ_s.o _satfractunsUQIHQ_s.o _satfractunsUQISQ_s.o _satfractunsUQIDQ_s.o _satfractunsUQITQ_s.o _satfractunsUQIHA_s.o _satfractunsUQISA_s.o _satfractunsUQIDA_s.o _satfractunsUQITA_s.o _satfractunsUQIUQQ_s.o _satfractunsUQIUHQ_s.o _satfractunsUQIUSQ_s.o _satfractunsUQIUDQ_s.o _satfractunsUQIUTQ_s.o _satfractunsUQIUHA_s.o _satfractunsUQIUSA_s.o _satfractunsUQIUDA_s.o _satfractunsUQIUTA_s.o _satfractunsUHIQQ_s.o _satfractunsUHIHQ_s.o _satfractunsUHISQ_s.o _satfractunsUHIDQ_s.o _satfractunsUHITQ_s.o _satfractunsUHIHA_s.o _satfractunsUHISA_s.o _satfractunsUHIDA_s.o _satfractunsUHITA_s.o _satfractunsUHIUQQ_s.o _satfractunsUHIUHQ_s.o _satfractunsUHIUSQ_s.o _satfractunsUHIUDQ_s.o _satfractunsUHIUTQ_s.o _satfractunsUHIUHA_s.o _satfractunsUHIUSA_s.o _satfractunsUHIUDA_s.o _satfractunsUHIUTA_s.o _satfractunsUSIQQ_s.o _satfractunsUSIHQ_s.o _satfractunsUSISQ_s.o _satfractunsUSIDQ_s.o _satfractunsUSITQ_s.o _satfractunsUSIHA_s.o _satfractunsUSISA_s.o _satfractunsUSIDA_s.o _satfractunsUSITA_s.o _satfractunsUSIUQQ_s.o _satfractunsUSIUHQ_s.o _satfractunsUSIUSQ_s.o _satfractunsUSIUDQ_s.o _satfractunsUSIUTQ_s.o _satfractunsUSIUHA_s.o _satfractunsUSIUSA_s.o _satfractunsUSIUDA_s.o _satfractunsUSIUTA_s.o _satfractunsUDIQQ_s.o _satfractunsUDIHQ_s.o _satfractunsUDISQ_s.o _satfractunsUDIDQ_s.o _satfractunsUDITQ_s.o _satfractunsUDIHA_s.o _satfractunsUDISA_s.o _satfractunsUDIDA_s.o _satfractunsUDITA_s.o _satfractunsUDIUQQ_s.o _satfractunsUDIUHQ_s.o _satfractunsUDIUSQ_s.o _satfractunsUDIUDQ_s.o _satfractunsUDIUTQ_s.o _satfractunsUDIUHA_s.o _satfractunsUDIUSA_s.o _satfractunsUDIUDA_s.o _satfractunsUDIUTA_s.o _satfractunsUTIQQ_s.o _satfractunsUTIHQ_s.o _satfractunsUTISQ_s.o _satfractunsUTIDQ_s.o _satfractunsUTITQ_s.o _satfractunsUTIHA_s.o _satfractunsUTISA_s.o _satfractunsUTIDA_s.o _satfractunsUTITA_s.o _satfractunsUTIUQQ_s.o _satfractunsUTIUHQ_s.o _satfractunsUTIUSQ_s.o _satfractunsUTIUDQ_s.o _satfractunsUTIUTQ_s.o _satfractunsUTIUHA_s.o _satfractunsUTIUSA_s.o _satfractunsUTIUDA_s.o _satfractunsUTIUTA_s.o bpabi_s.o unaligned-funcs_s.o addsf3_s.o divsf3_s.o eqsf2_s.o gesf2_s.o lesf2_s.o mulsf3_s.o negsf2_s.o subsf3_s.o unordsf2_s.o fixsfsi_s.o floatsisf_s.o floatunsisf_s.o adddf3_s.o divdf3_s.o eqdf2_s.o gedf2_s.o ledf2_s.o muldf3_s.o negdf2_s.o subdf3_s.o unorddf2_s.o fixdfsi_s.o floatsidf_s.o floatunsidf_s.o extendsfdf2_s.o truncdfsf2_s.o enable-execute-stack_s.o unwind-arm_s.o libunwind_s.o pr-support_s.o unwind-c_s.o emutls_s.o libgcc.a -lc && rm -f ./libgcc_s.so && if [ -f ./libgcc_s.so.1 ]; then mv -f ./libgcc_s.so.1 ./libgcc_s.so.1.backup; else true; fi && mv ./libgcc_s.so.1.tmp ./libgcc_s.so.1 && (echo "/* GNU ld script"; echo "   Use the shared library, but some functions are only in"; echo "   the static library.  */"; echo "GROUP ( libgcc_s.so.1 -lgcc )" ) > ./libgcc_s.so
make[5]: Leaving directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/arm-linux-gnueabihf/libgcc'
# Now that we have built all the objects, we need to copy
# them back to the GCC directory.  Too many things (other
# in-tree libraries, and DejaGNU) know about the layout
# of the build tree, for now.
/usr/bin/make install-leaf DESTDIR=../.././gcc \
  slibdir= libsubdir= MULTIOSDIR=.
make[5]: Entering directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/arm-linux-gnueabihf/libgcc'
/bin/bash ../../../src/libgcc/../mkinstalldirs ../.././gcc
/usr/bin/install -c -m 644 libgcc_eh.a ../.././gcc/
chmod 644 ../.././gcc/libgcc_eh.a
arm-linux-gnueabihf-ranlib ../.././gcc/libgcc_eh.a
/bin/bash ../../../src/libgcc/../mkinstalldirs ../.././gcc; /usr/bin/install -c -m 644 ./libgcc_s.so.1 ../.././gcc/libgcc_s.so.1; rm -f ../.././gcc/libgcc_s.so; /usr/bin/install -c -m 644 ./libgcc_s.so ../.././gcc/libgcc_s.so
/bin/bash ../../../src/libgcc/../mkinstalldirs ../.././gcc
/usr/bin/install -c -m 644 libgcc.a ../.././gcc/
chmod 644 ../.././gcc/libgcc.a
arm-linux-gnueabihf-ranlib ../.././gcc/libgcc.a
/usr/bin/install -c -m 644 libgcov.a ../.././gcc/
chmod 644 ../.././gcc/libgcov.a
arm-linux-gnueabihf-ranlib ../.././gcc/libgcov.a
parts="crtbegin.o crtbeginS.o crtbeginT.o crtend.o crtendS.o crtfastmath.o";				\
for file in $parts; do					\
  rm -f ../.././gcc/$file;		\
  /usr/bin/install -c -m 644 $file ../.././gcc/;	\
  case $file in 					\
    *.a)						\
      arm-linux-gnueabihf-ranlib ../.././gcc/$file ;;	\
  esac;							\
done
make[5]: Leaving directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/arm-linux-gnueabihf/libgcc'
make[4]: Leaving directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/arm-linux-gnueabihf/libgcc'
make[3]: Leaving directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build'
make[2]: Leaving directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build'
/usr/bin/make -C /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc ghdllib \
	GHDL_GCC_BIN=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl \
	GHDL1_GCC_BIN="--GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1"
make[2]: Entering directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc'
make -f ../../libraries/Makefile.inc LIBSRC_DIR="../../libraries" LIBDST_DIR="lib/ghdl/gcc" enable_gplcompat="true" LN="ln -s" CP="cp" MKDIR="mkdir" GHDL="/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl" GHDL_FLAGS="--GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 " vhdl.libs.all libs.vhdl.standard
make[3]: Entering directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc'
sed -e '/--!V87/s/^/  --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/std/textio.vhdl > lib/ghdl/gcc/src/std/v87/textio.vhdl
sed -e '/--!V87/s/^/  --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/std/textio-body.vhdl > lib/ghdl/gcc/src/std/v87/textio-body.vhdl
rm -f -f lib/ghdl/gcc/std/v87/std-obj87.cf
cd lib/ghdl/gcc/std/v87; \
for i in std/v87/textio.vhdl std/v87/textio-body.vhdl; do \
  cmd="/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1  --std=87 --bootstrap --work=std ../../src/$i"; \
  echo $cmd; eval $cmd || exit 1; \
done
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=87 --bootstrap --work=std ../../src/std/v87/textio.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=87 --bootstrap --work=std ../../src/std/v87/textio-body.vhdl
../../src/std/v87/textio-body.vhdl:457:35:warning: declaration of "left" hides enumeration literal left [-Whide]
  procedure trim (l : inout line; left : natural)
                                  ^
../../src/std/v87/textio-body.vhdl:488:40:warning: declaration of "left" hides enumeration literal left [-Whide]
  procedure trim_next (l : inout line; left : natural)
                                       ^
../../src/std/v87/textio-body.vhdl:844:14:warning: declaration of "left" hides enumeration literal left [-Whide]
    variable left : positive;
             ^
../../src/std/v87/textio-body.vhdl:846:26:warning: declaration of "right" hides enumeration literal right [-Whide]
    procedure set_value (right : positive; off : natural) is
                         ^
sed -e '/--!V87/s/^/  --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/std_logic_1164.vhdl > lib/ghdl/gcc/src/ieee/v87/std_logic_1164.vhdl
sed -e '/--!V87/s/^/  --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/std_logic_1164-body.vhdl > lib/ghdl/gcc/src/ieee/v87/std_logic_1164-body.vhdl
sed -e '/--!V87/s/^/  --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_bit.vhdl > lib/ghdl/gcc/src/ieee/v87/numeric_bit.vhdl
sed -e '/--!V87/s/^/  --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_bit-body.vhdl > lib/ghdl/gcc/src/ieee/v87/numeric_bit-body.vhdl
sed -e '/--!V87/s/^/  --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_std.vhdl > lib/ghdl/gcc/src/ieee/v87/numeric_std.vhdl
sed -e '/--!V87/s/^/  --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_std-body.vhdl > lib/ghdl/gcc/src/ieee/v87/numeric_std-body.vhdl
cp ../../libraries/upf/upf.vhdl lib/ghdl/gcc/src/upf/upf.vhdl
cp ../../libraries/upf/upf-body.vhdl lib/ghdl/gcc/src/upf/upf-body.vhdl
cp ../../libraries/synopsys/std_logic_arith.vhdl lib/ghdl/gcc/src/synopsys/std_logic_arith.vhdl
cp ../../libraries/synopsys/std_logic_unsigned.vhdl lib/ghdl/gcc/src/synopsys/std_logic_unsigned.vhdl
cp ../../libraries/synopsys/std_logic_signed.vhdl lib/ghdl/gcc/src/synopsys/std_logic_signed.vhdl
cp ../../libraries/synopsys/std_logic_misc.vhdl lib/ghdl/gcc/src/synopsys/std_logic_misc.vhdl
cp ../../libraries/synopsys/std_logic_misc-body.vhdl lib/ghdl/gcc/src/synopsys/std_logic_misc-body.vhdl
cp ../../libraries/synopsys/std_logic_textio.vhdl lib/ghdl/gcc/src/synopsys/std_logic_textio.vhdl
echo dep: lib/ghdl/gcc/src/synopsys/std_logic_arith.vhdl lib/ghdl/gcc/src/synopsys/std_logic_unsigned.vhdl lib/ghdl/gcc/src/synopsys/std_logic_signed.vhdl lib/ghdl/gcc/src/synopsys/std_logic_misc.vhdl lib/ghdl/gcc/src/synopsys/std_logic_misc-body.vhdl lib/ghdl/gcc/src/synopsys/std_logic_textio.vhdl
dep: lib/ghdl/gcc/src/synopsys/std_logic_arith.vhdl lib/ghdl/gcc/src/synopsys/std_logic_unsigned.vhdl lib/ghdl/gcc/src/synopsys/std_logic_signed.vhdl lib/ghdl/gcc/src/synopsys/std_logic_misc.vhdl lib/ghdl/gcc/src/synopsys/std_logic_misc-body.vhdl lib/ghdl/gcc/src/synopsys/std_logic_textio.vhdl
rm -f -f lib/ghdl/gcc/ieee/v87/ieee-obj87.cf
cd lib/ghdl/gcc/ieee/v87; \
for i in ieee/v87/std_logic_1164.vhdl ieee/v87/std_logic_1164-body.vhdl ieee/v87/numeric_bit.vhdl ieee/v87/numeric_bit-body.vhdl ieee/v87/numeric_std.vhdl ieee/v87/numeric_std-body.vhdl upf/upf.vhdl upf/upf-body.vhdl ; do \
          cmd="/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1  --std=87 -P../.. --work=ieee ../../src/$i";\
  echo $cmd; eval $cmd || exit 1; \
done; \
for i in synopsys/std_logic_arith.vhdl synopsys/std_logic_unsigned.vhdl synopsys/std_logic_signed.vhdl synopsys/std_logic_misc.vhdl synopsys/std_logic_misc-body.vhdl synopsys/std_logic_textio.vhdl; do \
          cmd="/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1  --std=87 -P../.. --work=ieee -fsynopsys ../../src/$i";\
  echo $cmd; eval $cmd || exit 1; \
done
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=87 -P../.. --work=ieee ../../src/ieee/v87/std_logic_1164.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v87/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=87 -P../.. --work=ieee ../../src/ieee/v87/std_logic_1164-body.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v87/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=87 -P../.. --work=ieee ../../src/ieee/v87/numeric_bit.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v87/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=87 -P../.. --work=ieee ../../src/ieee/v87/numeric_bit-body.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v87/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=87 -P../.. --work=ieee ../../src/ieee/v87/numeric_std.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v87/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=87 -P../.. --work=ieee ../../src/ieee/v87/numeric_std-body.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v87/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=87 -P../.. --work=ieee ../../src/upf/upf.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v87/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=87 -P../.. --work=ieee ../../src/upf/upf-body.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v87/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=87 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_arith.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v87/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=87 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_unsigned.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v87/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=87 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_signed.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v87/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=87 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_misc.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v87/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=87 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_misc-body.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v87/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=87 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_textio.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v87/' not found
sed -e '/--V87/s/^/  --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/std/textio.vhdl > lib/ghdl/gcc/src/std/v93/textio.vhdl
sed -e '/--V87/s/^/  --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/std/textio-body.vhdl > lib/ghdl/gcc/src/std/v93/textio-body.vhdl
rm -f -rf lib/ghdl/gcc/std/v93/std-obj93.cf
cd lib/ghdl/gcc/std/v93; \
for i in std/v93/textio.vhdl std/v93/textio-body.vhdl; do \
  cmd="/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1  --std=93 --bootstrap --work=std ../../src/$i"; \
  echo $cmd; eval $cmd || exit 1; \
done
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=93 --bootstrap --work=std ../../src/std/v93/textio.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=93 --bootstrap --work=std ../../src/std/v93/textio-body.vhdl
../../src/std/v93/textio-body.vhdl:457:35:warning: declaration of "left" hides enumeration literal left [-Whide]
  procedure trim (l : inout line; left : natural)
                                  ^
../../src/std/v93/textio-body.vhdl:488:40:warning: declaration of "left" hides enumeration literal left [-Whide]
  procedure trim_next (l : inout line; left : natural)
                                       ^
../../src/std/v93/textio-body.vhdl:844:14:warning: declaration of "left" hides enumeration literal left [-Whide]
    variable left : positive;
             ^
../../src/std/v93/textio-body.vhdl:846:26:warning: declaration of "right" hides enumeration literal right [-Whide]
    procedure set_value (right : positive; off : natural) is
                         ^
sed -e '/--V87/s/^/  --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/std_logic_1164.vhdl > lib/ghdl/gcc/src/ieee/v93/std_logic_1164.vhdl
sed -e '/--V87/s/^/  --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/std_logic_1164-body.vhdl > lib/ghdl/gcc/src/ieee/v93/std_logic_1164-body.vhdl
sed -e '/--V87/s/^/  --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_bit.vhdl > lib/ghdl/gcc/src/ieee/v93/numeric_bit.vhdl
sed -e '/--V87/s/^/  --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_bit-body.vhdl > lib/ghdl/gcc/src/ieee/v93/numeric_bit-body.vhdl
sed -e '/--V87/s/^/  --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_std.vhdl > lib/ghdl/gcc/src/ieee/v93/numeric_std.vhdl
sed -e '/--V87/s/^/  --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_std-body.vhdl > lib/ghdl/gcc/src/ieee/v93/numeric_std-body.vhdl
cp ../../libraries/ieee/math_real.vhdl lib/ghdl/gcc/src/ieee/math_real.vhdl
cp ../../libraries/ieee/math_real-body.vhdl lib/ghdl/gcc/src/ieee/math_real-body.vhdl
cp ../../libraries/ieee/math_complex.vhdl lib/ghdl/gcc/src/ieee/math_complex.vhdl
cp ../../libraries/ieee/math_complex-body.vhdl lib/ghdl/gcc/src/ieee/math_complex-body.vhdl
rm -f -f lib/ghdl/gcc/ieee/v93/ieee-obj93.cf
cd lib/ghdl/gcc/ieee/v93; \
for i in ieee/v93/std_logic_1164.vhdl ieee/v93/std_logic_1164-body.vhdl ieee/v93/numeric_bit.vhdl ieee/v93/numeric_bit-body.vhdl ieee/v93/numeric_std.vhdl ieee/v93/numeric_std-body.vhdl ieee/math_real.vhdl ieee/math_real-body.vhdl ieee/math_complex.vhdl ieee/math_complex-body.vhdl upf/upf.vhdl upf/upf-body.vhdl ; do \
          cmd="/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1  --std=93 -P../.. --work=ieee ../../src/$i"; \
  echo $cmd; eval $cmd || exit 1; \
done; \
for i in synopsys/std_logic_arith.vhdl synopsys/std_logic_unsigned.vhdl synopsys/std_logic_signed.vhdl synopsys/std_logic_misc.vhdl synopsys/std_logic_misc-body.vhdl synopsys/std_logic_textio.vhdl; do \
          cmd="/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1  --std=93 -P../.. --work=ieee -fsynopsys ../../src/$i"; \
  echo $cmd; eval $cmd || exit 1; \
done
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=93 -P../.. --work=ieee ../../src/ieee/v93/std_logic_1164.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=93 -P../.. --work=ieee ../../src/ieee/v93/std_logic_1164-body.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=93 -P../.. --work=ieee ../../src/ieee/v93/numeric_bit.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=93 -P../.. --work=ieee ../../src/ieee/v93/numeric_bit-body.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=93 -P../.. --work=ieee ../../src/ieee/v93/numeric_std.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=93 -P../.. --work=ieee ../../src/ieee/v93/numeric_std-body.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=93 -P../.. --work=ieee ../../src/ieee/math_real.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=93 -P../.. --work=ieee ../../src/ieee/math_real-body.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found
../../src/ieee/math_real-body.vhdl:830:14:warning: declaration of "real_vector" hides type "real_vector" [-Whide]
        type REAL_VECTOR is array (NATURAL range <>) of REAL;
             ^
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=93 -P../.. --work=ieee ../../src/ieee/math_complex.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=93 -P../.. --work=ieee ../../src/ieee/math_complex-body.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=93 -P../.. --work=ieee ../../src/upf/upf.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=93 -P../.. --work=ieee ../../src/upf/upf-body.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=93 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_arith.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=93 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_unsigned.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=93 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_signed.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=93 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_misc.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=93 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_misc-body.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=93 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_textio.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found
sed -e '/--V87/s/^/  --/' -e '/--!V08/s/^/  --/' -e '/--START-!V08/,/--END-!V08/s/^/--/' < ../../libraries/std/textio.vhdl > lib/ghdl/gcc/src/std/v08/textio.vhdl
sed -e '/--V87/s/^/  --/' -e '/--!V08/s/^/  --/' -e '/--START-!V08/,/--END-!V08/s/^/--/' < ../../libraries/std/textio-body.vhdl > lib/ghdl/gcc/src/std/v08/textio-body.vhdl
cp ../../libraries/std/env.vhdl lib/ghdl/gcc/src/std/env.vhdl
cp ../../libraries/std/env-body.vhdl lib/ghdl/gcc/src/std/env-body.vhdl
rm -f -f lib/ghdl/gcc/std/v08/std-obj08.cf
cd lib/ghdl/gcc/std/v08; \
for i in std/v08/textio.vhdl std/v08/textio-body.vhdl std/env.vhdl std/env-body.vhdl; do \
  cmd="/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1  --std=08 --bootstrap --work=std ../../src/$i"; \
  echo $cmd; eval $cmd || exit 1; \
done
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 --bootstrap --work=std ../../src/std/v08/textio.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 --bootstrap --work=std ../../src/std/v08/textio-body.vhdl
../../src/std/v08/textio-body.vhdl:457:35:warning: declaration of "left" hides enumeration literal left [-Whide]
  procedure trim (l : inout line; left : natural)
                                  ^
../../src/std/v08/textio-body.vhdl:488:40:warning: declaration of "left" hides enumeration literal left [-Whide]
  procedure trim_next (l : inout line; left : natural)
                                       ^
../../src/std/v08/textio-body.vhdl:844:14:warning: declaration of "left" hides enumeration literal left [-Whide]
    variable left : positive;
             ^
../../src/std/v08/textio-body.vhdl:846:26:warning: declaration of "right" hides enumeration literal right [-Whide]
    procedure set_value (right : positive; off : natural) is
                         ^
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 --bootstrap --work=std ../../src/std/env.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 --bootstrap --work=std ../../src/std/env-body.vhdl
cp ../../libraries/ieee2008/std_logic_1164.vhdl lib/ghdl/gcc/src/ieee2008/std_logic_1164.vhdl
cp ../../libraries/ieee2008/std_logic_1164-body.vhdl lib/ghdl/gcc/src/ieee2008/std_logic_1164-body.vhdl
cp ../../libraries/ieee2008/std_logic_textio.vhdl lib/ghdl/gcc/src/ieee2008/std_logic_textio.vhdl
cp ../../libraries/ieee2008/math_real.vhdl lib/ghdl/gcc/src/ieee2008/math_real.vhdl
cp ../../libraries/ieee2008/math_real-body.vhdl lib/ghdl/gcc/src/ieee2008/math_real-body.vhdl
cp ../../libraries/ieee2008/math_complex.vhdl lib/ghdl/gcc/src/ieee2008/math_complex.vhdl
cp ../../libraries/ieee2008/math_complex-body.vhdl lib/ghdl/gcc/src/ieee2008/math_complex-body.vhdl
cp ../../libraries/ieee2008/numeric_bit.vhdl lib/ghdl/gcc/src/ieee2008/numeric_bit.vhdl
cp ../../libraries/ieee2008/numeric_bit-body.vhdl lib/ghdl/gcc/src/ieee2008/numeric_bit-body.vhdl
cp ../../libraries/ieee2008/numeric_bit_unsigned.vhdl lib/ghdl/gcc/src/ieee2008/numeric_bit_unsigned.vhdl
cp ../../libraries/ieee2008/numeric_bit_unsigned-body.vhdl lib/ghdl/gcc/src/ieee2008/numeric_bit_unsigned-body.vhdl
cp ../../libraries/ieee2008/numeric_std.vhdl lib/ghdl/gcc/src/ieee2008/numeric_std.vhdl
cp ../../libraries/ieee2008/numeric_std-body.vhdl lib/ghdl/gcc/src/ieee2008/numeric_std-body.vhdl
cp ../../libraries/ieee2008/numeric_std_unsigned.vhdl lib/ghdl/gcc/src/ieee2008/numeric_std_unsigned.vhdl
cp ../../libraries/ieee2008/numeric_std_unsigned-body.vhdl lib/ghdl/gcc/src/ieee2008/numeric_std_unsigned-body.vhdl
cp ../../libraries/ieee2008/fixed_float_types.vhdl lib/ghdl/gcc/src/ieee2008/fixed_float_types.vhdl
cp ../../libraries/ieee2008/fixed_generic_pkg.vhdl lib/ghdl/gcc/src/ieee2008/fixed_generic_pkg.vhdl
cp ../../libraries/ieee2008/fixed_generic_pkg-body.vhdl lib/ghdl/gcc/src/ieee2008/fixed_generic_pkg-body.vhdl
cp ../../libraries/ieee2008/fixed_pkg.vhdl lib/ghdl/gcc/src/ieee2008/fixed_pkg.vhdl
cp ../../libraries/ieee2008/float_generic_pkg.vhdl lib/ghdl/gcc/src/ieee2008/float_generic_pkg.vhdl
cp ../../libraries/ieee2008/float_generic_pkg-body.vhdl lib/ghdl/gcc/src/ieee2008/float_generic_pkg-body.vhdl
cp ../../libraries/ieee2008/float_pkg.vhdl lib/ghdl/gcc/src/ieee2008/float_pkg.vhdl
cp ../../libraries/ieee2008/ieee_bit_context.vhdl lib/ghdl/gcc/src/ieee2008/ieee_bit_context.vhdl
cp ../../libraries/ieee2008/ieee_std_context.vhdl lib/ghdl/gcc/src/ieee2008/ieee_std_context.vhdl
sed -e '/--V87/s/^/  --/' -e '/--!V08/s/^/  --/' -e '/--START-!V08/,/--END-!V08/s/^/--/' < ../../libraries/synopsys/std_logic_misc.vhdl > lib/ghdl/gcc/src/synopsys/v08/std_logic_misc.vhdl
sed -e '/--V87/s/^/  --/' -e '/--!V08/s/^/  --/' -e '/--START-!V08/,/--END-!V08/s/^/--/' < ../../libraries/synopsys/std_logic_misc-body.vhdl > lib/ghdl/gcc/src/synopsys/v08/std_logic_misc-body.vhdl
rm -f -f lib/ghdl/gcc/ieee/v08/ieee-obj08.cf
cd lib/ghdl/gcc/ieee/v08; \
for i in ieee2008/std_logic_1164.vhdl ieee2008/std_logic_1164-body.vhdl ieee2008/std_logic_textio.vhdl ieee2008/math_real.vhdl ieee2008/math_real-body.vhdl ieee2008/math_complex.vhdl ieee2008/math_complex-body.vhdl ieee2008/numeric_bit.vhdl ieee2008/numeric_bit-body.vhdl ieee2008/numeric_bit_unsigned.vhdl ieee2008/numeric_bit_unsigned-body.vhdl ieee2008/numeric_std.vhdl ieee2008/numeric_std-body.vhdl ieee2008/numeric_std_unsigned.vhdl ieee2008/numeric_std_unsigned-body.vhdl ieee2008/fixed_float_types.vhdl ieee2008/fixed_generic_pkg.vhdl ieee2008/fixed_generic_pkg-body.vhdl ieee2008/fixed_pkg.vhdl ieee2008/float_generic_pkg.vhdl ieee2008/float_generic_pkg-body.vhdl ieee2008/float_pkg.vhdl ieee2008/ieee_bit_context.vhdl ieee2008/ieee_std_context.vhdl upf/upf.vhdl upf/upf-body.vhdl; do \
          cmd="/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1  --std=08 -P../.. --work=ieee ../../src/$i"; \
  echo $cmd; eval $cmd || exit 1; \
done; \
for i in ; do \
          cmd="/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1  --std=08 -P../.. --work=ieee -frelaxed-rules ../../src/$i"; \
  echo $cmd; eval $cmd || exit 1; \
done; \
for i in synopsys/std_logic_arith.vhdl synopsys/std_logic_unsigned.vhdl synopsys/std_logic_signed.vhdl synopsys/v08/std_logic_misc.vhdl synopsys/v08/std_logic_misc-body.vhdl; do \
          cmd="/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1  --std=08 -P../.. --work=ieee -fsynopsys ../../src/$i"; \
  echo $cmd; eval $cmd || exit 1; \
done
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/std_logic_1164.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/std_logic_1164-body.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/std_logic_textio.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/math_real.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/math_real-body.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found
../../src/ieee2008/math_real-body.vhdl:830:14:warning: declaration of "real_vector" hides type "real_vector" [-Whide]
        type REAL_VECTOR is array (NATURAL range <>) of REAL;
             ^
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/math_complex.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/math_complex-body.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_bit.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_bit-body.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_bit_unsigned.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_bit_unsigned-body.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_std.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_std-body.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_std_unsigned.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_std_unsigned-body.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/fixed_float_types.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/fixed_generic_pkg.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/fixed_generic_pkg-body.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found
../../src/ieee2008/fixed_generic_pkg-body.vhdl:192:25:warning: declaration of "remainder" hides function "remainder" [-Whide]
                        remainder      : UNRESOLVED_ufixed;
                        ^
../../src/ieee2008/fixed_generic_pkg-body.vhdl:225:25:warning: declaration of "remainder" hides function "remainder" [-Whide]
                        remainder      : UNRESOLVED_sfixed;
                        ^
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/fixed_pkg.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/float_generic_pkg.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/float_generic_pkg-body.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found
../../src/ieee2008/float_generic_pkg-body.vhdl:182:5:warning: declaration of "remainder" hides function "remainder" [-Whide]
    remainder            : UNSIGNED;    -- remainder to round from
    ^
../../src/ieee2008/float_generic_pkg-body.vhdl:302:14:warning: declaration of "remainder" hides function "remainder" [-Whide]
    variable remainder      : UNSIGNED (2 downto 0);
             ^
../../src/ieee2008/float_generic_pkg-body.vhdl:1026:7:warning: declaration of "arg" hides constant interface "arg" [-Whide]
      arg : UNSIGNED)
      ^
../../src/ieee2008/float_generic_pkg-body.vhdl:5299:14:warning: declaration of "ne" hides function "ne" [-Whide]
    constant ne         : INTEGER := ((VALUE'length+2)/3) * 3;   -- pad
             ^
../../src/ieee2008/float_generic_pkg-body.vhdl:5378:14:warning: declaration of "ne" hides function "ne" [-Whide]
    constant ne         : INTEGER := ((VALUE'length+2)/3) * 3;   -- pad
             ^
../../src/ieee2008/float_generic_pkg-body.vhdl:5448:14:warning: declaration of "ne" hides function "ne" [-Whide]
    constant ne         : INTEGER := ((VALUE'length+3)/4) * 4;   -- pad
             ^
../../src/ieee2008/float_generic_pkg-body.vhdl:5527:14:warning: declaration of "ne" hides function "ne" [-Whide]
    constant ne         : INTEGER := ((VALUE'length+3)/4) * 4;   -- pad
             ^
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/float_pkg.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/ieee_bit_context.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/ieee_std_context.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/upf/upf.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/upf/upf-body.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_arith.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_unsigned.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_signed.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee -fsynopsys ../../src/synopsys/v08/std_logic_misc.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee -fsynopsys ../../src/synopsys/v08/std_logic_misc-body.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found
cp ../../libraries/ieee2008/LICENSE lib/ghdl/gcc/src/ieee2008/LICENSE
cd lib/ghdl/gcc/std/v87; /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl --bootstrap-standard --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1  --std=87
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v87/' not found
cd lib/ghdl/gcc/std/v93; /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl --bootstrap-standard --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1  --std=93
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found
cd lib/ghdl/gcc/std/v08; /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl --bootstrap-standard --GHDL1=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1  --std=08
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found
make[3]: Leaving directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc'
gcc-12 -c  -g -Wall -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -o jumps.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/config/jumps.c
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/config/jumps.c:132:1: warning: 'grt_overflow_handler' defined but not used [-Wunused-function]
  132 | grt_overflow_handler (int signo, siginfo_t *info, void *ptr)
      | ^~~~~~~~~~~~~~~~~~~~
gcc-12 -c  -g -Wall -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -o times.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/config/times.c
gcc-12 -c  -g -Wall -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -o grt-cstdio.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt-cstdio.c
gcc-12 -c  -g -Wall -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -o grt-cgnatrts.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt-cgnatrts.c
gcc-12 -c  -g -Wall -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -o grt-cvpi.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt-cvpi.c
gcc-12 -c  -g -Wall -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -o grt-cvhpi.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt-cvhpi.c
In file included from /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt-cvhpi.c:33:
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:2:1: warning: "/*" within comment [-Wcomment]
    2 | /*
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:3:1: warning: "/*" within comment [-Wcomment]
    3 | /* Copyright 2019 IEEE P1076 WG Authors
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:4:1: warning: "/*" within comment [-Wcomment]
    4 | /*
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:5:1: warning: "/*" within comment [-Wcomment]
    5 | /* See the LICENSE file distributed with this work for copyright and
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:6:1: warning: "/*" within comment [-Wcomment]
    6 | /* licensing information and the AUTHORS file.
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:7:1: warning: "/*" within comment [-Wcomment]
    7 | /*
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:8:1: warning: "/*" within comment [-Wcomment]
    8 | /* This file to you under the Apache License, Version 2.0 (the "License").
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:9:1: warning: "/*" within comment [-Wcomment]
    9 | /* You may obtain a copy of the License at
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:10:1: warning: "/*" within comment [-Wcomment]
   10 | /*
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:11:1: warning: "/*" within comment [-Wcomment]
   11 | /*     http://www.apache.org/licenses/LICENSE-2.0
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:12:1: warning: "/*" within comment [-Wcomment]
   12 | /*
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:13:1: warning: "/*" within comment [-Wcomment]
   13 | /* Unless required by applicable law or agreed to in writing, software
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:14:1: warning: "/*" within comment [-Wcomment]
   14 | /* distributed under the License is distributed on an "AS IS" BASIS,
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:15:1: warning: "/*" within comment [-Wcomment]
   15 | /* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:16:1: warning: "/*" within comment [-Wcomment]
   16 | /* implied.  See the License for the specific language governing
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:17:1: warning: "/*" within comment [-Wcomment]
   17 | /* permissions and limitations under the License.
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:18:1: warning: "/*" within comment [-Wcomment]
   18 | /*
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:19:1: warning: "/*" within comment [-Wcomment]
   19 | /*
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:20:1: warning: "/*" within comment [-Wcomment]
   20 | /*   Title     :  vhpi_user.h
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:21:1: warning: "/*" within comment [-Wcomment]
   21 | /*             :
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:22:1: warning: "/*" within comment [-Wcomment]
   22 | /*   Developers:  IEEE P1076 Working Group, VHPI Task Force
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:23:1: warning: "/*" within comment [-Wcomment]
   23 | /*             :
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:24:1: warning: "/*" within comment [-Wcomment]
   24 | /*   Purpose   :  This header file describes the procedural interface
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:25:1: warning: "/*" within comment [-Wcomment]
   25 | /*             :  to access VHDL compiled, instantiated and run-time
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:26:1: warning: "/*" within comment [-Wcomment]
   26 | /*             :  data.It is derived from the UML model. For conformance
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:27:1: warning: "/*" within comment [-Wcomment]
   27 | /*             :  with the VHPI standard, a VHPI application or program
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:28:1: warning: "/*" within comment [-Wcomment]
   28 | /*             :  shall reference this header file.
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:29:1: warning: "/*" within comment [-Wcomment]
   29 | /*             :
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:30:1: warning: "/*" within comment [-Wcomment]
   30 | /*   Note      :  The contents of this file may be modified in an
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:31:1: warning: "/*" within comment [-Wcomment]
   31 | /*             :  implementation to provide implementation-defined
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:32:1: warning: "/*" within comment [-Wcomment]
   32 | /*             :  functionality, as described in B.3.
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:33:1: warning: "/*" within comment [-Wcomment]
   33 | /*             :
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:34:1: warning: "/*" within comment [-Wcomment]
   34 | /* --------------------------------------------------------------------
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:35:1: warning: "/*" within comment [-Wcomment]
   35 | /* modification history :
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:36:1: warning: "/*" within comment [-Wcomment]
   36 | /* --------------------------------------------------------------------
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:37:1: warning: "/*" within comment [-Wcomment]
   37 | /* $Revision: 1315 $
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:38:1: warning: "/*" within comment [-Wcomment]
   38 | /* $Date: 2008-07-13 10:11:53 +0930 (Sun, 13 Jul 2008) $
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:39:1: warning: "/*" within comment [-Wcomment]
   39 | /* --------------------------------------------------------------------
      |  
gcc-12 -c  -g -Wall -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -o grt-cdynload.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt-cdynload.c
gcc-12 -c  -g -Wall -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -o fstapi.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/fst/fstapi.c -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/fst
gcc-12 -c  -g -Wall -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -o lz4.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/fst/lz4.c
gcc-12 -c  -g -Wall -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -o fastlz.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/fst/fastlz.c
mkdir grt
echo "with Grt.Backtraces.Gcc;" > grt-backtraces-impl.ads
echo "package Grt.Backtraces.Impl renames Grt.Backtraces.Gcc;" >> grt-backtraces-impl.ads
cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j4 -R -eS  -c -aI/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -aI.. \
  -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY ghdl_main -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -cargs 

GNATMAKE 12.2.0
Copyright (C) 1992-2022, Free Software Foundation, Inc.
  "ghdl_main.ali" being checked ...
  -> "ghdl_main.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/ghdl_main.adb
ghdl_main.adb:42:04: warning: possible aliasing problem for type "Argv_Type" [enabled by default]
ghdl_main.adb:42:04: warning: use -fno-strict-aliasing switch for references [enabled by default]
ghdl_main.adb:42:04: warning: or use "pragma No_Strict_Aliasing (Argv_Type);" [enabled by default]
  "grt.ali" being checked ...
  -> "grt.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt.ads
  "grt-errors.ali" being checked ...
  -> "grt-errors.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-errors.adb
  "grt-main.ali" being checked ...
  -> "grt-main.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-main.adb
  "grt-options.ali" being checked ...
  -> "grt-options.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-options.adb
  "grt-rtis_binding.ali" being checked ...
  -> "grt-rtis_binding.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-rtis_binding.ads
  "grt-std_logic_1164.ali" being checked ...
  -> "grt-std_logic_1164.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-std_logic_1164.adb
  "grt-types.ali" being checked ...
  -> "grt-types.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-types.ads
  "grt-rtis.ali" being checked ...
  -> "grt-rtis.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-rtis.adb
grt-rtis.ads:164:04: warning: alignment of "Ghdl_Rtin_Block" (4) is stricter than alignment of "Ghdl_Rti_Common" (1) [-gnatwz]
grt-rtis.ads:164:04: warning: resulting access value may have invalid alignment [-gnatwz]
grt-rtis.ads:187:04: warning: alignment of "Ghdl_Rtin_Generate" (4) is stricter than alignment of "Ghdl_Rti_Common" (1) [-gnatwz]
grt-rtis.ads:187:04: warning: resulting access value may have invalid alignment [-gnatwz]
grt-rtis.ads:198:04: warning: alignment of "Ghdl_Rtin_Block_Filename" (4) is stricter than alignment of "Ghdl_Rti_Common" (1) [-gnatwz]
grt-rtis.ads:198:04: warning: resulting access value may have invalid alignment [-gnatwz]
grt-rtis.ads:216:04: warning: alignment of "Ghdl_Rtin_Object" (4) is stricter than alignment of "Ghdl_Rti_Common" (1) [-gnatwz]
grt-rtis.ads:216:04: warning: resulting access value may have invalid alignment [-gnatwz]
grt-rtis.ads:232:04: warning: alignment of "Ghdl_Rtin_Psl_Directive" (4) is stricter than alignment of "Ghdl_Rti_Common" (1) [-gnatwz]
grt-rtis.ads:232:04: warning: resulting access value may have invalid alignment [-gnatwz]
grt-rtis.ads:247:04: warning: alignment of "Ghdl_Rtin_Instance" (4) is stricter than alignment of "Ghdl_Rti_Common" (1) [-gnatwz]
grt-rtis.ads:247:04: warning: resulting access value may have invalid alignment [-gnatwz]
grt-rtis.ads:275:04: warning: alignment of "Ghdl_Rtin_Component" (4) is stricter than alignment of "Ghdl_Rti_Common" (1) [-gnatwz]
grt-rtis.ads:275:04: warning: resulting access value may have invalid alignment [-gnatwz]
grt-rtis.ads:288:04: warning: alignment of "Ghdl_Rtin_Type_Enum" (4) is stricter than alignment of "Ghdl_Rti_Common" (1) [-gnatwz]
grt-rtis.ads:288:04: warning: resulting access value may have invalid alignment [-gnatwz]
grt-rtis.ads:297:04: warning: alignment of "Ghdl_Rtin_Type_Scalar" (4) is stricter than alignment of "Ghdl_Rti_Common" (1) [-gnatwz]
grt-rtis.ads:297:04: warning: resulting access value may have invalid alignment [-gnatwz]
grt-rtis.ads:311:04: warning: alignment of "Ghdl_Rtin_Subtype_Scalar" (4) is stricter than alignment of "Ghdl_Rti_Common" (1) [-gnatwz]
grt-rtis.ads:311:04: warning: resulting access value may have invalid alignment [-gnatwz]
grt-rtis.ads:340:04: warning: alignment of "Ghdl_Rtin_Type_Array" (4) is stricter than alignment of "Ghdl_Rti_Common" (1) [-gnatwz]
grt-rtis.ads:340:04: warning: resulting access value may have invalid alignment [-gnatwz]
grt-rtis.ads:358:04: warning: alignment of "Ghdl_Rtin_Subtype_Composite" (4) is stricter than alignment of "Ghdl_Rti_Common" (1) [-gnatwz]
grt-rtis.ads:358:04: warning: resulting access value may have invalid alignment [-gnatwz]
grt-rtis.ads:370:04: warning: alignment of "Ghdl_Rtin_Type_Fileacc" (4) is stricter than alignment of "Ghdl_Rti_Common" (1) [-gnatwz]
grt-rtis.ads:370:04: warning: resulting access value may have invalid alignment [-gnatwz]
grt-rtis.ads:415:04: warning: alignment of "Ghdl_Rtin_Element" (4) is stricter than alignment of "Ghdl_Rti_Common" (1) [-gnatwz]
grt-rtis.ads:415:04: warning: resulting access value may have invalid alignment [-gnatwz]
grt-rtis.ads:431:04: warning: alignment of "Ghdl_Rtin_Type_Record" (4) is stricter than alignment of "Ghdl_Rti_Common" (1) [-gnatwz]
grt-rtis.ads:431:04: warning: resulting access value may have invalid alignment [-gnatwz]
grt-rtis.ads:441:04: warning: alignment of "Ghdl_Rtin_Unit64" (8) is stricter than alignment of "Ghdl_Rti_Common" (1) [-gnatwz]
grt-rtis.ads:441:04: warning: resulting access value may have invalid alignment [-gnatwz]
grt-rtis.ads:451:04: warning: alignment of "Ghdl_Rtin_Unitptr" (4) is stricter than alignment of "Ghdl_Rti_Common" (1) [-gnatwz]
grt-rtis.ads:451:04: warning: resulting access value may have invalid alignment [-gnatwz]
grt-rtis.ads:464:04: warning: alignment of "Ghdl_Rtin_Type_Physical" (4) is stricter than alignment of "Ghdl_Rti_Common" (1) [-gnatwz]
grt-rtis.ads:464:04: warning: resulting access value may have invalid alignment [-gnatwz]
  "grt-change_generics.ali" being checked ...
  -> "grt-change_generics.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-change_generics.adb
  "grt-files.ali" being checked ...
  -> "grt-files.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-files.adb
  "grt-hooks.ali" being checked ...
  -> "grt-hooks.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-hooks.adb
grt-change_generics.adb:56:37: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Enum" (4) [enabled by default]
grt-change_generics.adb:98:56: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Scalar" (4) [enabled by default]
grt-change_generics.adb:106:58: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Scalar" (4) [enabled by default]
grt-change_generics.adb:119:56: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Scalar" (4) [enabled by default]
grt-change_generics.adb:159:49: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Scalar" (4) [enabled by default]
grt-change_generics.adb:215:58: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Scalar" (4) [enabled by default]
grt-change_generics.adb:253:34: warning: source alignment (1) < alignment of "Ghdl_Rtin_Object" (4) [enabled by default]
grt-change_generics.adb:265:54: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4) [enabled by default]
grt-change_generics.adb:267:49: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Array" (4) [enabled by default]
grt-change_generics.adb:282:51: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Scalar" (4) [enabled by default]
grt-change_generics.adb:373:40: warning: source alignment (1) < alignment of "Ghdl_Rtin_Object" (4) [enabled by default]
  "grt-images.ali" being checked ...
  -> "grt-images.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-images.adb
  "grt-lib.ali" being checked ...
  -> "grt-lib.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-lib.adb
  "grt-modules.ali" being checked ...
  -> "grt-modules.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-modules.adb
grt-images.adb:63:37: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Enum" (4) [enabled by default]
grt-images.adb:115:44: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Physical" (4) [enabled by default]
grt-images.adb:139:44: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Physical" (4) [enabled by default]
grt-images.adb:255:47: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Enum" (4) [enabled by default]
grt-images.adb:335:44: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Physical" (4) [enabled by default]
grt-images.adb:368:37: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Enum" (4) [enabled by default]
grt-images.adb:385:37: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Enum" (4) [enabled by default]
grt-images.adb:402:37: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Enum" (4) [enabled by default]
  "grt-names.ali" being checked ...
  -> "grt-names.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-names.adb
  "grt-processes.ali" being checked ...
  -> "grt-processes.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-processes.adb
  "grt-shadow_ieee.ali" being checked ...
  -> "grt-shadow_ieee.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-shadow_ieee.adb
  "grt-signals.ali" being checked ...
  -> "grt-signals.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-signals.adb
  "grt-stats.ali" being checked ...
  -> "grt-stats.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-stats.adb
  "grt-stdio.ali" being checked ...
  -> "grt-stdio.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-stdio.ads
  "grt-values.ali" being checked ...
  -> "grt-values.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-values.adb
grt-values.adb:87:37: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Enum" (4) [enabled by default]
grt-values.adb:287:41: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Physical" (4) [enabled by default]
grt-signals.adb:133:75: warning: source alignment (1) < alignment of "Ghdl_Rtin_Object" (4) [enabled by default]
grt-signals.adb:287:73: warning: source alignment (1) < alignment of "Ghdl_Rtin_Object" (4) [enabled by default]
  "grt-astdio.ali" being checked ...
  -> "grt-astdio.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-astdio.adb
  "grt-astdio-vhdl.ali" being checked ...
  -> "grt-astdio-vhdl.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-astdio-vhdl.adb
  "grt-severity.ali" being checked ...
  -> "grt-severity.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-severity.ads
  "grt-callbacks.ali" being checked ...
  -> "grt-callbacks.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-callbacks.adb
  "grt-strings.ali" being checked ...
  -> "grt-strings.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-strings.adb
  "grt-wave_opt.ali" being checked ...
  -> "grt-wave_opt.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-wave_opt.adb
  "grt-wave_opt-file.ali" being checked ...
  -> "grt-wave_opt-file.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-wave_opt-file.adb
  "grt-avhpi.ali" being checked ...
  -> "grt-avhpi.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-avhpi.adb
  "grt-avhpi_utils.ali" being checked ...
  -> "grt-avhpi_utils.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-avhpi_utils.adb
  "grt-rtis_addr.ali" being checked ...
  -> "grt-rtis_addr.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-rtis_addr.adb
grt-avhpi.adb:150:63: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4) [enabled by default]
grt-avhpi.adb:152:55: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Array" (4) [enabled by default]
grt-avhpi.adb:215:58: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4) [enabled by default]
grt-avhpi.adb:241:10: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Array" (4) [enabled by default]
grt-avhpi.adb:266:51: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4) [enabled by default]
grt-avhpi.adb:280:42: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4) [enabled by default]
grt-avhpi.adb:294:48: warning: source alignment (1) < alignment of "Ghdl_Rtin_Generate" (4) [enabled by default]
grt-avhpi.adb:336:48: warning: source alignment (1) < alignment of "Ghdl_Rtin_Generate" (4) [enabled by default]
grt-avhpi.adb:355:59: warning: source alignment (1) < alignment of "Ghdl_Rtin_Instance" (4) [enabled by default]
grt-avhpi.adb:387:53: warning: source alignment (1) < alignment of "Ghdl_Rtin_Object" (4) [enabled by default]
grt-avhpi.adb:391:53: warning: source alignment (1) < alignment of "Ghdl_Rtin_Object" (4) [enabled by default]
grt-avhpi.adb:395:53: warning: source alignment (1) < alignment of "Ghdl_Rtin_Object" (4) [enabled by default]
grt-avhpi.adb:399:53: warning: source alignment (1) < alignment of "Ghdl_Rtin_Object" (4) [enabled by default]
grt-avhpi.adb:403:54: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4) [enabled by default]
grt-avhpi.adb:405:52: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Array" (4) [enabled by default]
grt-avhpi.adb:454:51: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4) [enabled by default]
grt-avhpi.adb:467:50: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4) [enabled by default]
grt-avhpi.adb:470:50: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4) [enabled by default]
grt-avhpi.adb:512:60: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4) [enabled by default]
grt-avhpi.adb:527:51: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4) [enabled by default]
grt-avhpi.adb:578:51: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Enum" (4) [enabled by default]
grt-avhpi.adb:584:52: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4) [enabled by default]
grt-avhpi.adb:590:50: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4) [enabled by default]
grt-avhpi.adb:593:53: warning: source alignment (1) < alignment of "Ghdl_Rtin_Generate" (4) [enabled by default]
grt-avhpi.adb:600:55: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4) [enabled by default]
grt-avhpi.adb:602:50: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4) [enabled by default]
grt-avhpi.adb:613:56: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Scalar" (4) [enabled by default]
grt-avhpi.adb:638:60: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block_Filename" (4) [enabled by default]
grt-avhpi.adb:643:51: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4) [enabled by default]
grt-avhpi.adb:701:55: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Enum" (4) [enabled by default]
grt-avhpi.adb:703:57: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Scalar" (4) [enabled by default]
grt-avhpi.adb:705:60: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Scalar" (4) [enabled by default]
grt-avhpi.adb:707:56: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Array" (4) [enabled by default]
grt-avhpi.adb:713:56: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4) [enabled by default]
grt-avhpi.adb:718:61: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4) [enabled by default]
grt-avhpi.adb:719:56: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4) [enabled by default]
grt-avhpi.adb:732:38: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4) [enabled by default]
grt-avhpi.adb:732:45: warning: source alignment (1) < alignment of "Ghdl_Rtin_Generate" (4) [enabled by default]
grt-avhpi.adb:736:56: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4) [enabled by default]
grt-avhpi.adb:738:52: warning: source alignment (1) < alignment of "Ghdl_Rtin_Object" (4) [enabled by default]
grt-avhpi.adb:747:57: warning: source alignment (1) < alignment of "Ghdl_Rtin_Generate" (4) [enabled by default]
grt-avhpi.adb:752:28: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Scalar" (4) [enabled by default]
grt-avhpi.adb:783:66: warning: source alignment (1) < alignment of "Ghdl_Rtin_Component" (4) [enabled by default]
grt-avhpi.adb:800:61: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4) [enabled by default]
grt-avhpi.adb:802:59: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4) [enabled by default]
grt-avhpi.adb:804:62: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Scalar" (4) [enabled by default]
grt-avhpi.adb:890:61: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4) [enabled by default]
grt-avhpi.adb:891:53: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4) [enabled by default]
grt-avhpi.adb:906:56: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4) [enabled by default]
grt-avhpi.adb:909:58: warning: source alignment (1) < alignment of "Ghdl_Rtin_Object" (4) [enabled by default]
grt-avhpi.adb:972:61: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4) [enabled by default]
grt-avhpi.adb:979:58: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Scalar" (4) [enabled by default]
grt-avhpi.adb:999:63: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4) [enabled by default]
grt-avhpi.adb:1005:60: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Array" (4) [enabled by default]
grt-avhpi.adb:1042:63: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4) [enabled by default]
grt-avhpi.adb:1044:61: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Array" (4) [enabled by default]
grt-avhpi.adb:1267:56: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4) [enabled by default]
grt-rtis_addr.adb:55:37: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4) [enabled by default]
grt-rtis_addr.adb:91:54: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4) [enabled by default]
grt-rtis_addr.adb:100:57: warning: source alignment (1) < alignment of "Ghdl_Rtin_Generate" (4) [enabled by default]
grt-rtis_addr.adb:131:44: warning: source alignment (1) < alignment of "Ghdl_Rtin_Instance" (4) [enabled by default]
grt-rtis_addr.adb:142:69: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4) [enabled by default]
grt-rtis_addr.adb:208:36: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4) [enabled by default]
grt-rtis_addr.adb:213:38: warning: source alignment (1) < alignment of "Ghdl_Rtin_Object" (4) [enabled by default]
grt-rtis_addr.adb:213:52: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Scalar" (4) [enabled by default]
grt-rtis_addr.adb:343:56: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Scalar" (4) [enabled by default]
grt-rtis_addr.adb:352:59: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4) [enabled by default]
grt-rtis_addr.adb:368:52: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Array" (4) [enabled by default]
grt-rtis_addr.adb:371:59: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4) [enabled by default]
  "grt-backtraces.ali" being checked ...
  -> "grt-backtraces.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-backtraces.adb
  "grt-disp_rti.ali" being checked ...
  -> "grt-disp_rti.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-disp_rti.adb
grt-disp_rti.adb:48:37: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Enum" (4) [enabled by default]
grt-disp_rti.adb:113:53: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Physical" (4) [enabled by default]
grt-disp_rti.adb:119:53: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Physical" (4) [enabled by default]
grt-disp_rti.adb:132:37: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Enum" (4) [enabled by default]
grt-disp_rti.adb:257:45: warning: source alignment (1) < alignment of "Ghdl_Rtin_Element" (4) [enabled by default]
grt-disp_rti.adb:282:57: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Scalar" (4) [enabled by default]
grt-disp_rti.adb:296:54: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4) [enabled by default]
grt-disp_rti.adb:298:49: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Array" (4) [enabled by default]
grt-disp_rti.adb:305:54: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4) [enabled by default]
grt-disp_rti.adb:307:49: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Array" (4) [enabled by default]
grt-disp_rti.adb:328:48: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Record" (4) [enabled by default]
grt-disp_rti.adb:341:48: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Record" (4) [enabled by default]
grt-disp_rti.adb:348:54: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4) [enabled by default]
grt-disp_rti.adb:350:50: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Record" (4) [enabled by default]
grt-disp_rti.adb:357:54: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4) [enabled by default]
grt-disp_rti.adb:359:50: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Record" (4) [enabled by default]
grt-disp_rti.adb:533:46: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Enum" (4) [enabled by default]
grt-disp_rti.adb:542:46: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Enum" (4) [enabled by default]
grt-disp_rti.adb:560:56: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Scalar" (4) [enabled by default]
grt-disp_rti.adb:570:52: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Enum" (4) [enabled by default]
grt-disp_rti.adb:573:54: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Scalar" (4) [enabled by default]
grt-disp_rti.adb:622:45: warning: source alignment (1) < alignment of "Ghdl_Rtin_Element" (4) [enabled by default]
grt-disp_rti.adb:704:56: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Scalar" (4) [enabled by default]
grt-disp_rti.adb:719:52: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Enum" (4) [enabled by default]
grt-disp_rti.adb:722:54: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Scalar" (4) [enabled by default]
grt-disp_rti.adb:725:55: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Fileacc" (4) [enabled by default]
grt-disp_rti.adb:728:54: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Record" (4) [enabled by default]
grt-disp_rti.adb:732:54: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4) [enabled by default]
grt-disp_rti.adb:757:54: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4) [enabled by default]
grt-disp_rti.adb:772:54: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4) [enabled by default]
grt-disp_rti.adb:781:54: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Scalar" (4) [enabled by default]
grt-disp_rti.adb:1036:56: warning: source alignment (1) < alignment of "Ghdl_Rtin_Component" (4) [enabled by default]
grt-disp_rti.adb:1105:54: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Scalar" (4) [enabled by default]
grt-disp_rti.adb:1119:56: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Physical" (4) [enabled by default]
grt-disp_rti.adb:1138:62: warning: source alignment (1) < alignment of "Ghdl_Rtin_Unit64" (8) [enabled by default]
grt-disp_rti.adb:1144:63: warning: source alignment (1) < alignment of "Ghdl_Rtin_Unitptr" (4) [enabled by default]
grt-disp_rti.adb:1148:63: warning: source alignment (1) < alignment of "Ghdl_Rtin_Unitptr" (4) [enabled by default]
grt-disp_rti.adb:1194:41: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Array" (4) [enabled by default]
grt-disp_rti.adb:1218:41: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Array" (4) [enabled by default]
grt-disp_rti.adb:1264:45: warning: source alignment (1) < alignment of "Ghdl_Rtin_Element" (4) [enabled by default]
grt-disp_rti.adb:1280:42: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Record" (4) [enabled by default]
grt-disp_rti.adb:1332:47: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4) [enabled by default]
  "grt-disp_tree.ali" being checked ...
  -> "grt-disp_tree.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-disp_tree.adb
grt-disp_tree.adb:94:42: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4) [enabled by default]
grt-disp_tree.adb:104:47: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4) [enabled by default]
grt-disp_tree.adb:106:53: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4) [enabled by default]
grt-disp_tree.adb:108:56: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Scalar" (4) [enabled by default]
grt-disp_tree.adb:116:45: warning: source alignment (1) < alignment of "Ghdl_Rtin_Generate" (4) [enabled by default]
grt-disp_tree.adb:118:45: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4) [enabled by default]
grt-disp_tree.adb:120:46: warning: source alignment (1) < alignment of "Ghdl_Rtin_Object" (4) [enabled by default]
grt-disp_tree.adb:134:49: warning: source alignment (1) < alignment of "Ghdl_Rtin_Object" (4) [enabled by default]
grt-disp_tree.adb:136:51: warning: source alignment (1) < alignment of "Ghdl_Rtin_Instance" (4) [enabled by default]
grt-disp_tree.adb:250:45: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4) [enabled by default]
grt-disp_tree.adb:261:48: warning: source alignment (1) < alignment of "Ghdl_Rtin_Generate" (4) [enabled by default]
grt-disp_tree.adb:309:54: warning: source alignment (1) < alignment of "Ghdl_Rtin_Instance" (4) [enabled by default]
grt-disp_tree.adb:311:62: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4) [enabled by default]
grt-disp_tree.adb:316:62: warning: source alignment (1) < alignment of "Ghdl_Rtin_Component" (4) [enabled by default]
grt-disp_tree.adb:407:45: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4) [enabled by default]
  "grt-fst.ali" being checked ...
  -> "grt-fst.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-fst.adb
grt-fst.adb:570:37: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Enum" (4) [enabled by default]
  "grt-psl.ali" being checked ...
  -> "grt-psl.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-psl.adb
grt-psl.adb:107:50: warning: source alignment (1) < alignment of "Ghdl_Rtin_Psl_Directive" (4) [enabled by default]
grt-psl.adb:166:04: warning: in instantiation at grt-rtis_utils.adb:48 [enabled by default]
grt-psl.adb:166:04: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4) [enabled by default]
grt-psl.adb:166:04: warning: in instantiation at grt-rtis_utils.adb:59 [enabled by default]
grt-psl.adb:166:04: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4) [enabled by default]
grt-psl.adb:166:04: warning: in instantiation at grt-rtis_utils.adb:67 [enabled by default]
grt-psl.adb:166:04: warning: source alignment (1) < alignment of "Ghdl_Rtin_Generate" (4) [enabled by default]
grt-psl.adb:166:04: warning: in instantiation at grt-rtis_utils.adb:91 [enabled by default]
grt-psl.adb:166:04: warning: source alignment (1) < alignment of "Ghdl_Rtin_Instance" (4) [enabled by default]
grt-psl.adb:166:04: warning: in instantiation at grt-rtis_utils.adb:120 [enabled by default]
grt-psl.adb:166:04: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4) [enabled by default]
  "grt-vcd.ali" being checked ...
  -> "grt-vcd.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-vcd.adb
grt-vcd.adb:282:49: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Scalar" (4) [enabled by default]
grt-vcd.adb:323:43: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Scalar" (4) [enabled by default]
grt-vcd.adb:410:54: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4) [enabled by default]
grt-vcd.adb:412:58: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Array" (4) [enabled by default]
grt-vcd.adb:420:53: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Array" (4) [enabled by default]
  "grt-vcdz.ali" being checked ...
  -> "grt-vcdz.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-vcdz.adb
  "grt-vhpi.ali" being checked ...
  -> "grt-vhpi.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-vhpi.adb
  "grt-vital_annotate.ali" being checked ...
  -> "grt-vital_annotate.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-vital_annotate.adb
  "grt-vpi.ali" being checked ...
  -> "grt-vpi.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-vpi.adb
  "grt-waves.ali" being checked ...
  -> "grt-waves.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-waves.adb
grt-waves.adb:617:46: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Enum" (4) [enabled by default]
grt-waves.adb:629:48: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Scalar" (4) [enabled by default]
grt-waves.adb:637:50: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Physical" (4) [enabled by default]
grt-waves.adb:650:51: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Scalar" (4) [enabled by default]
grt-waves.adb:658:47: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Array" (4) [enabled by default]
grt-waves.adb:670:48: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Record" (4) [enabled by default]
grt-waves.adb:675:54: warning: source alignment (1) < alignment of "Ghdl_Rtin_Element" (4) [enabled by default]
grt-waves.adb:686:54: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4) [enabled by default]
grt-waves.adb:867:04: warning: in instantiation at grt-rtis_utils.adb:404 [enabled by default]
grt-waves.adb:867:04: warning: source alignment (1) < alignment of "Ghdl_Rtin_Element" (4) [enabled by default]
grt-waves.adb:867:04: warning: in instantiation at grt-rtis_utils.adb:425 [enabled by default]
grt-waves.adb:867:04: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Scalar" (4) [enabled by default]
grt-waves.adb:867:04: warning: in instantiation at grt-rtis_utils.adb:436 [enabled by default]
grt-waves.adb:867:04: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4) [enabled by default]
grt-waves.adb:867:04: warning: in instantiation at grt-rtis_utils.adb:438 [enabled by default]
grt-waves.adb:867:04: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Array" (4) [enabled by default]
grt-waves.adb:867:04: warning: in instantiation at grt-rtis_utils.adb:445 [enabled by default]
grt-waves.adb:867:04: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4) [enabled by default]
grt-waves.adb:867:04: warning: in instantiation at grt-rtis_utils.adb:447 [enabled by default]
grt-waves.adb:867:04: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Array" (4) [enabled by default]
grt-waves.adb:867:04: warning: in instantiation at grt-rtis_utils.adb:469 [enabled by default]
grt-waves.adb:867:04: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Record" (4) [enabled by default]
grt-waves.adb:867:04: warning: in instantiation at grt-rtis_utils.adb:482 [enabled by default]
grt-waves.adb:867:04: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4) [enabled by default]
grt-waves.adb:867:04: warning: in instantiation at grt-rtis_utils.adb:484 [enabled by default]
grt-waves.adb:867:04: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Record" (4) [enabled by default]
grt-waves.adb:867:04: warning: in instantiation at grt-rtis_utils.adb:491 [enabled by default]
grt-waves.adb:867:04: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4) [enabled by default]
grt-waves.adb:867:04: warning: in instantiation at grt-rtis_utils.adb:493 [enabled by default]
grt-waves.adb:867:04: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Record" (4) [enabled by default]
grt-waves.adb:877:39: warning: source alignment (1) < alignment of "Ghdl_Rtin_Object" (4) [enabled by default]
grt-waves.adb:1249:51: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Scalar" (4) [enabled by default]
grt-waves.adb:1298:47: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Array" (4) [enabled by default]
grt-waves.adb:1324:48: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Record" (4) [enabled by default]
grt-waves.adb:1330:54: warning: source alignment (1) < alignment of "Ghdl_Rtin_Element" (4) [enabled by default]
grt-waves.adb:1371:43: warning: source alignment (1) < alignment of "Ghdl_Rtin_Object" (4) [enabled by default]
grt-waves.adb:1390:35: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4) [enabled by default]
grt-waves.adb:1417:35: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4) [enabled by default]
grt-waves.adb:1442:52: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Enum" (4) [enabled by default]
grt-waves.adb:1455:54: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Scalar" (4) [enabled by default]
grt-waves.adb:1463:56: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Physical" (4) [enabled by default]
grt-waves.adb:1475:59: warning: source alignment (1) < alignment of "Ghdl_Rtin_Unit64" (8) [enabled by default]
grt-waves.adb:1480:66: warning: source alignment (1) < alignment of "Ghdl_Rtin_Unitptr" (4) [enabled by default]
grt-waves.adb:1484:66: warning: source alignment (1) < alignment of "Ghdl_Rtin_Unitptr" (4) [enabled by default]
grt-waves.adb:1499:57: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Scalar" (4) [enabled by default]
grt-waves.adb:1512:53: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Array" (4) [enabled by default]
grt-waves.adb:1524:60: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4) [enabled by default]
grt-waves.adb:1537:54: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Record" (4) [enabled by default]
grt-waves.adb:1543:60: warning: source alignment (1) < alignment of "Ghdl_Rtin_Element" (4) [enabled by default]
grt-waves.adb:1551:60: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4) [enabled by default]
grt-waves.adb:1568:60: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4) [enabled by default]
  "grt-c.ali" being checked ...
  -> "grt-c.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-c.ads
  "grt-errors_exec.ali" being checked ...
  -> "grt-errors_exec.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-errors_exec.adb
  "grt-table.ali" being checked ...
  -> "grt-table.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-table.adb
  "grt-rtis_utils.ali" being checked ...
  -> "grt-rtis_utils.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-rtis_utils.adb
grt-rtis_utils.adb:150:47: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Enum" (4) [enabled by default]
grt-rtis_utils.adb:560:54: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Physical" (4) [enabled by default]
grt-rtis_utils.adb:571:54: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Physical" (4) [enabled by default]
grt-rtis_utils.adb:595:45: warning: source alignment (1) < alignment of "Ghdl_Rtin_Unit64" (8) [enabled by default]
grt-rtis_utils.adb:597:46: warning: source alignment (1) < alignment of "Ghdl_Rtin_Unitptr" (4) [enabled by default]
grt-rtis_utils.adb:609:45: warning: source alignment (1) < alignment of "Ghdl_Rtin_Unit64" (8) [enabled by default]
grt-rtis_utils.adb:613:52: warning: source alignment (1) < alignment of "Ghdl_Rtin_Unitptr" (4) [enabled by default]
grt-rtis_utils.adb:616:48: warning: source alignment (1) < alignment of "Ghdl_Rtin_Unitptr" (4) [enabled by default]
grt-rtis_utils.adb:630:47: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Enum" (4) [enabled by default]
grt-rtis_utils.adb:672:45: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4) [enabled by default]
grt-rtis_utils.adb:714:66: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4) [enabled by default]
grt-rtis_utils.adb:727:56: warning: source alignment (1) < alignment of "Ghdl_Rtin_Object" (4) [enabled by default]
grt-rtis_utils.adb:739:51: warning: source alignment (1) < alignment of "Ghdl_Rtin_Generate" (4) [enabled by default]
grt-rtis_utils.adb:745:58: warning: source alignment (1) < alignment of "Ghdl_Rtin_Object" (4) [enabled by default]
grt-rtis_utils.adb:757:57: warning: source alignment (1) < alignment of "Ghdl_Rtin_Psl_Directive" (4) [enabled by default]
grt-rtis_utils.adb:802:55: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block_Filename" (4) [enabled by default]
  "grt-vstrings.ali" being checked ...
  -> "grt-vstrings.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-vstrings.adb
  "grt-fcvt.ali" being checked ...
  -> "grt-fcvt.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-fcvt.adb
  "grt-to_strings.ali" being checked ...
  -> "grt-to_strings.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-to_strings.adb
  "grt-disp.ali" being checked ...
  -> "grt-disp.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-disp.adb
  "grt-disp_signals.ali" being checked ...
  -> "grt-disp_signals.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-disp_signals.adb
  "grt-threads.ali" being checked ...
  -> "grt-threads.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-threads.ads
grt-disp_signals.adb:57:07: warning: in instantiation at grt-rtis_utils.adb:404 [enabled by default]
grt-disp_signals.adb:57:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Element" (4) [enabled by default]
grt-disp_signals.adb:57:07: warning: in instantiation at grt-rtis_utils.adb:425 [enabled by default]
grt-disp_signals.adb:57:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Scalar" (4) [enabled by default]
grt-disp_signals.adb:57:07: warning: in instantiation at grt-rtis_utils.adb:436 [enabled by default]
grt-disp_signals.adb:57:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4) [enabled by default]
grt-disp_signals.adb:57:07: warning: in instantiation at grt-rtis_utils.adb:438 [enabled by default]
grt-disp_signals.adb:57:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Array" (4) [enabled by default]
grt-disp_signals.adb:57:07: warning: in instantiation at grt-rtis_utils.adb:445 [enabled by default]
grt-disp_signals.adb:57:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4) [enabled by default]
grt-disp_signals.adb:57:07: warning: in instantiation at grt-rtis_utils.adb:447 [enabled by default]
grt-disp_signals.adb:57:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Array" (4) [enabled by default]
grt-disp_signals.adb:57:07: warning: in instantiation at grt-rtis_utils.adb:469 [enabled by default]
grt-disp_signals.adb:57:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Record" (4) [enabled by default]
grt-disp_signals.adb:57:07: warning: in instantiation at grt-rtis_utils.adb:482 [enabled by default]
grt-disp_signals.adb:57:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4) [enabled by default]
grt-disp_signals.adb:57:07: warning: in instantiation at grt-rtis_utils.adb:484 [enabled by default]
grt-disp_signals.adb:57:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Record" (4) [enabled by default]
grt-disp_signals.adb:57:07: warning: in instantiation at grt-rtis_utils.adb:491 [enabled by default]
grt-disp_signals.adb:57:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4) [enabled by default]
grt-disp_signals.adb:57:07: warning: in instantiation at grt-rtis_utils.adb:493 [enabled by default]
grt-disp_signals.adb:57:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Record" (4) [enabled by default]
grt-disp_signals.adb:74:48: warning: source alignment (1) < alignment of "Ghdl_Rtin_Object" (4) [enabled by default]
grt-disp_signals.adb:85:07: warning: in instantiation at grt-rtis_utils.adb:48 [enabled by default]
grt-disp_signals.adb:85:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4) [enabled by default]
grt-disp_signals.adb:85:07: warning: in instantiation at grt-rtis_utils.adb:59 [enabled by default]
grt-disp_signals.adb:85:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4) [enabled by default]
grt-disp_signals.adb:85:07: warning: in instantiation at grt-rtis_utils.adb:67 [enabled by default]
grt-disp_signals.adb:85:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Generate" (4) [enabled by default]
grt-disp_signals.adb:85:07: warning: in instantiation at grt-rtis_utils.adb:91 [enabled by default]
grt-disp_signals.adb:85:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Instance" (4) [enabled by default]
grt-disp_signals.adb:85:07: warning: in instantiation at grt-rtis_utils.adb:120 [enabled by default]
grt-disp_signals.adb:85:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4) [enabled by default]
grt-disp_signals.adb:105:42: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4) [enabled by default]
grt-disp_signals.adb:520:07: warning: in instantiation at grt-rtis_utils.adb:404 [enabled by default]
grt-disp_signals.adb:520:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Element" (4) [enabled by default]
grt-disp_signals.adb:520:07: warning: in instantiation at grt-rtis_utils.adb:425 [enabled by default]
grt-disp_signals.adb:520:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Scalar" (4) [enabled by default]
grt-disp_signals.adb:520:07: warning: in instantiation at grt-rtis_utils.adb:436 [enabled by default]
grt-disp_signals.adb:520:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4) [enabled by default]
grt-disp_signals.adb:520:07: warning: in instantiation at grt-rtis_utils.adb:438 [enabled by default]
grt-disp_signals.adb:520:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Array" (4) [enabled by default]
grt-disp_signals.adb:520:07: warning: in instantiation at grt-rtis_utils.adb:445 [enabled by default]
grt-disp_signals.adb:520:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4) [enabled by default]
grt-disp_signals.adb:520:07: warning: in instantiation at grt-rtis_utils.adb:447 [enabled by default]
grt-disp_signals.adb:520:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Array" (4) [enabled by default]
grt-disp_signals.adb:520:07: warning: in instantiation at grt-rtis_utils.adb:469 [enabled by default]
grt-disp_signals.adb:520:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Record" (4) [enabled by default]
grt-disp_signals.adb:520:07: warning: in instantiation at grt-rtis_utils.adb:482 [enabled by default]
grt-disp_signals.adb:520:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4) [enabled by default]
grt-disp_signals.adb:520:07: warning: in instantiation at grt-rtis_utils.adb:484 [enabled by default]
grt-disp_signals.adb:520:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Record" (4) [enabled by default]
grt-disp_signals.adb:520:07: warning: in instantiation at grt-rtis_utils.adb:491 [enabled by default]
grt-disp_signals.adb:520:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4) [enabled by default]
grt-disp_signals.adb:520:07: warning: in instantiation at grt-rtis_utils.adb:493 [enabled by default]
grt-disp_signals.adb:520:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Record" (4) [enabled by default]
grt-disp_signals.adb:534:52: warning: source alignment (1) < alignment of "Ghdl_Rtin_Object" (4) [enabled by default]
grt-disp_signals.adb:548:07: warning: in instantiation at grt-rtis_utils.adb:48 [enabled by default]
grt-disp_signals.adb:548:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4) [enabled by default]
grt-disp_signals.adb:548:07: warning: in instantiation at grt-rtis_utils.adb:59 [enabled by default]
grt-disp_signals.adb:548:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4) [enabled by default]
grt-disp_signals.adb:548:07: warning: in instantiation at grt-rtis_utils.adb:67 [enabled by default]
grt-disp_signals.adb:548:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Generate" (4) [enabled by default]
grt-disp_signals.adb:548:07: warning: in instantiation at grt-rtis_utils.adb:91 [enabled by default]
grt-disp_signals.adb:548:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Instance" (4) [enabled by default]
grt-disp_signals.adb:548:07: warning: in instantiation at grt-rtis_utils.adb:120 [enabled by default]
grt-disp_signals.adb:548:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4) [enabled by default]
  "grt-stack2.ali" being checked ...
  -> "grt-stack2.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-stack2.adb
  "grt-backtraces-impl.ali" being checked ...
  -> "grt-backtraces-impl.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/grt-backtraces-impl.ads
  "grt-fst_api.ali" being checked ...
  -> "grt-fst_api.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-fst_api.ads
  "grt-rtis_types.ali" being checked ...
  -> "grt-rtis_types.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-rtis_types.adb
  "grt-wave_opt-design.ali" being checked ...
  -> "grt-wave_opt-design.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-wave_opt-design.adb
  "grt-zlib.ali" being checked ...
  -> "grt-zlib.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-zlib.ads
  "grt-sdf.ali" being checked ...
  -> "grt-sdf.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-sdf.adb
  "grt-vstrings_io.ali" being checked ...
  -> "grt-vstrings_io.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-vstrings_io.adb
  "grt-unithread.ali" being checked ...
  -> "grt-unithread.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-unithread.adb
  "version.ali" being checked ...
  -> "version.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/version.ads
  "grt-backtraces-gcc.ali" being checked ...
  -> "grt-backtraces-gcc.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-backtraces-gcc.adb
  "grt-algos.ali" being checked ...
  -> "grt-algos.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-algos.adb
  "grt-avls.ali" being checked ...
  -> "grt-avls.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-avls.adb
  "grt-ghw.ali" being checked ...
  -> "grt-ghw.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I./ -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-ghw.ads
End of compilation
sed -e '/^P /s/P /P NR /' < grt/ghdl_main.ali > grt/ghdl_main-tmp.ali
mv grt/ghdl_main-tmp.ali grt/ghdl_main.ali
cd grt && gnatmake -v -j4 -R -eS  -b ghdl_main.ali -bargs  -Lgrt_ -o run-bind.adb -n

GNATMAKE 12.2.0
Copyright (C) 1992-2022, Free Software Foundation, Inc.
arm-linux-gnueabihf-gnatbind-12 -Lgrt_ -o run-bind.adb -n -x ghdl_main.ali
cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j4 -R -eS  -u -c  -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -o run-bind.o run-bind.adb

GNATMAKE 12.2.0
Copyright (C) 1992-2022, Free Software Foundation, Inc.
  -> "run-bind.o" final executable
  "run-bind.ali" being checked ...
  -> "run-bind.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY run-bind.adb
End of compilation
cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j4 -R -eS  -u -c  -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -o main.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/main.adb -Igrt

GNATMAKE 12.2.0
Copyright (C) 1992-2022, Free Software Foundation, Inc.
  -> "main.o" final executable
  "main.ali" being checked ...
  -> "main.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/ -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -Igrt -I- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/main.adb
End of compilation
sed -e "1,/-- *BEGIN/d" -e "/-- *END/,\$d" \
  -e "s/   --   //" < grt/run-bind.adb | tr -d '\r' > grt/grt-files
rm -f -f lib/ghdl/gcc/libgrt.a
ar rcv lib/ghdl/gcc/libgrt.a `sed -e "/^-/d" -e "s!^!grt/!" < grt/grt-files` \
 jumps.o times.o grt-cstdio.o grt-cgnatrts.o grt-cvpi.o grt-cvhpi.o grt-cdynload.o fstapi.o lz4.o fastlz.o grt/run-bind.o grt/main.o
a - grt/./grt.o
a - grt/./grt-c.o
a - grt/./grt-fcvt.o
a - grt/./grt-severity.o
a - grt/./grt-stdio.o
a - grt/./grt-strings.o
a - grt/./grt-types.o
a - grt/./grt-astdio.o
a - grt/./grt-callbacks.o
a - grt/./grt-hooks.o
a - grt/./grt-wave_opt.o
a - grt/./grt-wave_opt-file.o
a - grt/./grt-astdio-vhdl.o
a - grt/./grt-errors.o
a - grt/./grt-options.o
a - grt/./grt-rtis.o
a - grt/./grt-rtis_binding.o
a - grt/./grt-shadow_ieee.o
a - grt/./grt-vstrings.o
a - grt/./grt-wave_opt-design.o
a - grt/./grt-algos.o
a - grt/./grt-avls.o
a - grt/./grt-fst_api.o
a - grt/./grt-ghw.o
a - grt/./grt-rtis_addr.o
a - grt/./grt-stack2.o
a - grt/./grt-table.o
a - grt/./grt-to_strings.o
a - grt/./grt-vstrings_io.o
a - grt/./grt-rtis_utils.o
a - grt/./grt-avhpi.o
a - grt/./grt-avhpi_utils.o
a - grt/./grt-backtraces-gcc.o
a - grt/./grt-backtraces-impl.o
a - grt/./grt-backtraces.o
a - grt/./grt-disp.o
a - grt/./grt-disp_signals.o
a - grt/./grt-stats.o
a - grt/./grt-unithread.o
a - grt/./grt-threads.o
a - grt/./grt-errors_exec.o
a - grt/./grt-processes.o
a - grt/./grt-signals.o
a - grt/./grt-disp_rti.o
a - grt/./grt-disp_tree.o
a - grt/./grt-files.o
a - grt/./grt-images.o
a - grt/./grt-lib.o
a - grt/./grt-names.o
a - grt/./grt-psl.o
a - grt/./grt-rtis_types.o
a - grt/./grt-vital_annotate.o
a - grt/./grt-sdf.o
a - grt/./grt-std_logic_1164.o
a - grt/./grt-values.o
a - grt/./grt-change_generics.o
a - grt/./grt-vcd.o
a - grt/./grt-fst.o
a - grt/./grt-vhpi.o
a - grt/./grt-waves.o
a - grt/./grt-zlib.o
a - grt/./grt-vcdz.o
a - grt/./version.o
a - grt/./grt-vpi.o
a - grt/./grt-modules.o
a - grt/./grt-main.o
a - grt/./ghdl_main.o
a - jumps.o
a - times.o
a - grt-cstdio.o
a - grt-cgnatrts.o
a - grt-cvpi.o
a - grt-cvhpi.o
a - grt-cdynload.o
a - fstapi.o
a - lz4.o
a - fastlz.o
a - grt/run-bind.o
a - grt/main.o
ranlib lib/ghdl/gcc/libgrt.a
gcc-12 -c  -fPIC -g -Wall -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -o pic/grt-cstdio.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt-cstdio.c
VER_DESC=tarball; \
VER_REF=unknown; \
VER_HASH=unknown; \
if test -d ../../.git && desc=`cd ../..; git describe --dirty --long`; then \
  VER_DESC=`echo $desc | sed -e 's/\([^-]*-g\)/r\1/' -e 's/-/./g' -e 's/^v//g'`; \
  VER_REF=`cd ../..; git rev-parse --abbrev-ref HEAD`; \
  VER_HASH=`cd ../..; git rev-parse HEAD`; \
fi; \
sed \
  -e "s#@VER@#2.0.0#" \
  -e "s#@DESC@#${GHDL_VER_DESC:-$VER_DESC}#" \
  -e "s#@REF@#${GHDL_VER_REF:-$VER_REF}#" \
  -e "s#@HASH@#${GHDL_VER_HASH:-$VER_HASH}#" \
  < ../../src/version.in > version.tmp;
if [ ! -r version.ads ] || ! cmp version.tmp version.ads > /dev/null; then cp version.tmp version.ads; fi
gnatmake -v -j4 -R -eS  -I- -aI. -D pic -z libghdl -o lib/libghdl-2_0_0.so -gnat12 -gnat12 -gnaty3befhkmr -g  -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -aI../../src -aI../../src/vhdl -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/synth -aI../../src/vhdl/libghdl -aI../../src/ghdldrv -bargs -shared -Llibghdl_ -largs -g -shared -Wl,-z,relro -Wl,-z,now -Wl,-soname,libghdl-2_0_0.so -Wl,-z,relro -Wl,-z,now pic/grt-cstdio.o

GNATMAKE 12.2.0
Copyright (C) 1992-2022, Free Software Foundation, Inc.
  -> "lib/libghdl-2_0_0.so" final executable
  "libghdl.ali" being checked ...
  -> "libghdl.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/libghdl.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/libghdl/libghdl.adb
  "errorout.ali" being checked ...
  -> "errorout.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/errorout.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/errorout.adb
  "errorout-memory.ali" being checked ...
  -> "errorout-memory.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/errorout-memory.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/errorout-memory.adb
  "files_map.ali" being checked ...
  -> "files_map.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/files_map.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/files_map.adb
  "files_map-editor.ali" being checked ...
  -> "files_map-editor.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/files_map-editor.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/files_map-editor.adb
  "ghdlcomp.ali" being checked ...
  -> "ghdlcomp.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/ghdlcomp.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/ghdldrv/ghdlcomp.adb
  "ghdllocal.ali" being checked ...
  -> "ghdllocal.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/ghdllocal.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/ghdldrv/ghdllocal.adb
  "options.ali" being checked ...
  -> "options.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/options.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/options.adb
  "vhdl.ali" being checked ...
  -> "vhdl.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl.ads
  "vhdl-formatters.ali" being checked ...
  -> "vhdl-formatters.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-formatters.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-formatters.adb
  "ghdlsynth_maybe.ali" being checked ...
  -> "ghdlsynth_maybe.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/ghdlsynth_maybe.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/ghdlsynth_maybe.ads
  "types.ali" being checked ...
  -> "types.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/types.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/types.ads
  "vhdl-nodes.ali" being checked ...
  -> "vhdl-nodes.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-nodes.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-nodes.adb
  "dyn_tables.ali" being checked ...
  -> "dyn_tables.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/dyn_tables.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/dyn_tables.adb
  "tables.ali" being checked ...
  -> "tables.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/tables.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/tables.adb
  "name_table.ali" being checked ...
  -> "name_table.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/name_table.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/name_table.adb
  "str_table.ali" being checked ...
  -> "str_table.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/str_table.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/str_table.adb
  "logging.ali" being checked ...
  -> "logging.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/logging.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/logging.adb
  "flags.ali" being checked ...
  -> "flags.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/flags.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/flags.adb
  "libraries.ali" being checked ...
  -> "libraries.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/libraries.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/libraries.adb
  "psl.ali" being checked ...
  -> "psl.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/psl.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/psl/psl.ads
  "psl-dump_tree.ali" being checked ...
  -> "psl-dump_tree.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/psl-dump_tree.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/psl/psl-dump_tree.adb
  "psl-nodes.ali" being checked ...
  -> "psl-nodes.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/psl-nodes.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/psl/psl-nodes.adb
  "simple_io.ali" being checked ...
  -> "simple_io.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/simple_io.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/simple_io.adb
  "std_names.ali" being checked ...
  -> "std_names.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/std_names.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/std_names.adb
  "vhdl-back_end.ali" being checked ...
  -> "vhdl-back_end.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-back_end.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-back_end.ads
  "vhdl-disp_tree.ali" being checked ...
  -> "vhdl-disp_tree.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-disp_tree.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-disp_tree.adb
  "vhdl-errors.ali" being checked ...
  -> "vhdl-errors.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-errors.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-errors.adb
  "vhdl-lists.ali" being checked ...
  -> "vhdl-lists.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-lists.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-lists.ads
  "vhdl-parse.ali" being checked ...
  -> "vhdl-parse.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-parse.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-parse.adb
  "vhdl-scanner.ali" being checked ...
  -> "vhdl-scanner.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-scanner.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-scanner.adb
  "ghdlmain.ali" being checked ...
  -> "ghdlmain.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/ghdlmain.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/ghdldrv/ghdlmain.adb
  "vhdl-configuration.ali" being checked ...
  -> "vhdl-configuration.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-configuration.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-configuration.adb
  "vhdl-sem.ali" being checked ...
  -> "vhdl-sem.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-sem.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem.adb
  "vhdl-sem_lib.ali" being checked ...
  -> "vhdl-sem_lib.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-sem_lib.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_lib.adb
  "vhdl-std_package.ali" being checked ...
  -> "vhdl-std_package.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-std_package.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-std_package.adb
  "vhdl-utils.ali" being checked ...
  -> "vhdl-utils.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-utils.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-utils.adb
  "ghdlsynth.ali" being checked ...
  -> "ghdlsynth.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/ghdlsynth.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/ghdldrv/ghdlsynth.adb
  "vhdl-types.ali" being checked ...
  -> "vhdl-types.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-types.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-types.ads
  "utils_io.ali" being checked ...
  -> "utils_io.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/utils_io.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/utils_io.adb
  "vhdl-prints.ali" being checked ...
  -> "vhdl-prints.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-prints.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-prints.adb
  "vhdl-tokens.ali" being checked ...
  -> "vhdl-tokens.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-tokens.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-tokens.adb
  "grt.ali" being checked ...
  -> "grt.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/grt.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt.ads
  "grt-types.ali" being checked ...
  -> "grt-types.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/grt-types.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-types.ads
  "grt-vstrings.ali" being checked ...
  -> "grt-vstrings.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/grt-vstrings.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-vstrings.adb
  "psl-errors.ali" being checked ...
  -> "psl-errors.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/psl-errors.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/psl/psl-errors.adb
  "psl-nodes_meta.ali" being checked ...
  -> "psl-nodes_meta.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/psl-nodes_meta.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/psl/psl-nodes_meta.adb
  "psl-types.ali" being checked ...
  -> "psl-types.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/psl-types.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/psl/psl-types.ads
  "default_paths.ali" being checked ...
  -> "default_paths.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/default_paths.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/default_paths.ads
  "version.ali" being checked ...
  -> "version.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/version.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/version.ads
  "psl-hash.ali" being checked ...
  -> "psl-hash.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/psl-hash.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/psl/psl-hash.adb
  "vhdl-nodes_meta.ali" being checked ...
  -> "vhdl-nodes_meta.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-nodes_meta.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-nodes_meta.adb
  "lists.ali" being checked ...
  -> "lists.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/lists.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/lists.adb
  "bug.ali" being checked ...
  -> "bug.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/bug.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/bug.adb
  "errorout-console.ali" being checked ...
  -> "errorout-console.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/errorout-console.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/errorout-console.adb
  "grt-fcvt.ali" being checked ...
  -> "grt-fcvt.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/grt-fcvt.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-fcvt.adb
  "vhdl-canon.ali" being checked ...
  -> "vhdl-canon.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-canon.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-canon.adb
  "vhdl-evaluation.ali" being checked ...
  -> "vhdl-evaluation.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-evaluation.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-evaluation.adb
  "vhdl-nodes_walk.ali" being checked ...
  -> "vhdl-nodes_walk.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-nodes_walk.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-nodes_walk.adb
  "vhdl-sem_scopes.ali" being checked ...
  -> "vhdl-sem_scopes.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-sem_scopes.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_scopes.adb
  "vhdl-nodes_gc.ali" being checked ...
  -> "vhdl-nodes_gc.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-nodes_gc.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-nodes_gc.adb
  "vhdl-post_sems.ali" being checked ...
  -> "vhdl-post_sems.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-post_sems.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-post_sems.adb
  "vhdl-elocations.ali" being checked ...
  -> "vhdl-elocations.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-elocations.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-elocations.adb
  "vhdl-ieee.ali" being checked ...
  -> "vhdl-ieee.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-ieee.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-ieee.adb
  "vhdl-ieee-std_logic_1164.ali" being checked ...
  -> "vhdl-ieee-std_logic_1164.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-ieee-std_logic_1164.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-ieee-std_logic_1164.adb
  "vhdl-nodes_utils.ali" being checked ...
  -> "vhdl-nodes_utils.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-nodes_utils.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-nodes_utils.adb
  "vhdl-sem_assocs.ali" being checked ...
  -> "vhdl-sem_assocs.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-sem_assocs.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_assocs.adb
  "vhdl-sem_decls.ali" being checked ...
  -> "vhdl-sem_decls.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-sem_decls.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_decls.adb
  "vhdl-sem_expr.ali" being checked ...
  -> "vhdl-sem_expr.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-sem_expr.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_expr.adb
  "vhdl-sem_inst.ali" being checked ...
  -> "vhdl-sem_inst.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-sem_inst.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_inst.adb
  "vhdl-sem_names.ali" being checked ...
  -> "vhdl-sem_names.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-sem_names.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_names.adb
  "vhdl-sem_psl.ali" being checked ...
  -> "vhdl-sem_psl.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-sem_psl.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_psl.adb
  "vhdl-sem_specs.ali" being checked ...
  -> "vhdl-sem_specs.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-sem_specs.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_specs.adb
  "vhdl-sem_stmts.ali" being checked ...
  -> "vhdl-sem_stmts.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-sem_stmts.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_stmts.adb
  "vhdl-sem_utils.ali" being checked ...
  -> "vhdl-sem_utils.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-sem_utils.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_utils.adb
  "vhdl-xrefs.ali" being checked ...
  -> "vhdl-xrefs.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-xrefs.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-xrefs.adb
  "vhdl-parse_psl.ali" being checked ...
  -> "vhdl-parse_psl.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-parse_psl.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-parse_psl.adb
  "vhdl-nodes_priv.ali" being checked ...
  -> "vhdl-nodes_priv.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-nodes_priv.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-nodes_priv.ads
  "elab.ali" being checked ...
  -> "elab.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/elab.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/elab.ads
  "elab-vhdl_context.ali" being checked ...
  -> "elab-vhdl_context.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/elab-vhdl_context.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_context.adb
  "elab-vhdl_insts.ali" being checked ...
  -> "elab-vhdl_insts.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/elab-vhdl_insts.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_insts.adb
  "elab-vhdl_objtypes.ali" being checked ...
  -> "elab-vhdl_objtypes.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/elab-vhdl_objtypes.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_objtypes.adb
  "netlists.ali" being checked ...
  -> "netlists.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/netlists.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/netlists.adb
  "netlists-disp_dot.ali" being checked ...
  -> "netlists-disp_dot.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/netlists-disp_dot.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/netlists-disp_dot.adb
netlists-disp_dot.ads:19:06: warning: unnecessary with of ancestor [-gnatwr]
  "netlists-disp_verilog.ali" being checked ...
  -> "netlists-disp_verilog.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/netlists-disp_verilog.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/netlists-disp_verilog.adb
  "netlists-disp_vhdl.ali" being checked ...
  -> "netlists-disp_vhdl.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/netlists-disp_vhdl.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/netlists-disp_vhdl.adb
  "netlists-dump.ali" being checked ...
  -> "netlists-dump.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/netlists-dump.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/netlists-dump.adb
  "netlists-errors.ali" being checked ...
  -> "netlists-errors.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/netlists-errors.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/netlists-errors.adb
  "netlists-inference.ali" being checked ...
  -> "netlists-inference.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/netlists-inference.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/netlists-inference.adb
  "synth.ali" being checked ...
  -> "synth.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/synth.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/synth.ads
  "synth-disp_vhdl.ali" being checked ...
  -> "synth-disp_vhdl.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/synth-disp_vhdl.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/synth-disp_vhdl.adb
netlists-inference.ads:19:06: warning: unnecessary with of ancestor [-gnatwr]
  "synth-flags.ali" being checked ...
  -> "synth-flags.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/synth-flags.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/synth-flags.ads
  "synth-vhdl_context.ali" being checked ...
  -> "synth-vhdl_context.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/synth-vhdl_context.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/synth-vhdl_context.adb
  "synthesis.ali" being checked ...
  -> "synthesis.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/synthesis.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/synthesis.adb
  "vhdl-annotations.ali" being checked ...
  -> "vhdl-annotations.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-annotations.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-annotations.adb
  "grt-c.ali" being checked ...
  -> "grt-c.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/grt-c.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-c.ads
  "psl-nodes_priv.ali" being checked ...
  -> "psl-nodes_priv.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/psl-nodes_priv.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/psl/psl-nodes_priv.ads
  "vhdl-flists.ali" being checked ...
  -> "vhdl-flists.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-flists.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-flists.ads
  "psl-build.ali" being checked ...
  -> "psl-build.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/psl-build.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/psl/psl-build.adb
  "psl-nfas.ali" being checked ...
  -> "psl-nfas.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/psl-nfas.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/psl/psl-nfas.adb
  "psl-nfas-utils.ali" being checked ...
  -> "psl-nfas-utils.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/psl-nfas-utils.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/psl/psl-nfas-utils.adb
  "psl-rewrites.ali" being checked ...
  -> "psl-rewrites.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/psl-rewrites.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/psl/psl-rewrites.adb
  "vhdl-canon_psl.ali" being checked ...
  -> "vhdl-canon_psl.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-canon_psl.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-canon_psl.adb
  "psl-prints.ali" being checked ...
  -> "psl-prints.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/psl-prints.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/psl/psl-prints.adb
  "psl-priorities.ali" being checked ...
  -> "psl-priorities.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/psl-priorities.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/psl/psl-priorities.ads
  "vhdl-ieee-math_real.ali" being checked ...
  -> "vhdl-ieee-math_real.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-ieee-math_real.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-ieee-math_real.adb
  "vhdl-ieee-numeric.ali" being checked ...
  -> "vhdl-ieee-numeric.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-ieee-numeric.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-ieee-numeric.adb
  "vhdl-ieee-numeric_std_unsigned.ali" being checked ...
  -> "vhdl-ieee-numeric_std_unsigned.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-ieee-numeric_std_unsigned.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-ieee-numeric_std_unsigned.adb
  "vhdl-ieee-std_logic_arith.ali" being checked ...
  -> "vhdl-ieee-std_logic_arith.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-ieee-std_logic_arith.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-ieee-std_logic_arith.adb
  "vhdl-ieee-std_logic_misc.ali" being checked ...
  -> "vhdl-ieee-std_logic_misc.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-ieee-std_logic_misc.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-ieee-std_logic_misc.adb
  "vhdl-ieee-std_logic_unsigned.ali" being checked ...
  -> "vhdl-ieee-std_logic_unsigned.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-ieee-std_logic_unsigned.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-ieee-std_logic_unsigned.adb
  "vhdl-ieee-vital_timing.ali" being checked ...
  -> "vhdl-ieee-vital_timing.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-ieee-vital_timing.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-ieee-vital_timing.adb
  "grt-to_strings.ali" being checked ...
  -> "grt-to_strings.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/grt-to_strings.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-to_strings.adb
  "vhdl-elocations_meta.ali" being checked ...
  -> "vhdl-elocations_meta.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-elocations_meta.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-elocations_meta.adb
  "vhdl-sem_types.ali" being checked ...
  -> "vhdl-sem_types.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-sem_types.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_types.adb
  "psl-subsets.ali" being checked ...
  -> "psl-subsets.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/psl-subsets.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/psl/psl-subsets.adb
  "grt-algos.ali" being checked ...
  -> "grt-algos.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/grt-algos.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-algos.adb
  "elab-vhdl_decls.ali" being checked ...
  -> "elab-vhdl_decls.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/elab-vhdl_decls.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_decls.adb
  "elab-vhdl_errors.ali" being checked ...
  -> "elab-vhdl_errors.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/elab-vhdl_errors.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_errors.adb
  "elab-vhdl_expr.ali" being checked ...
  -> "elab-vhdl_expr.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/elab-vhdl_expr.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_expr.adb
  "elab-vhdl_files.ali" being checked ...
  -> "elab-vhdl_files.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/elab-vhdl_files.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_files.adb
  "elab-vhdl_stmts.ali" being checked ...
  -> "elab-vhdl_stmts.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/elab-vhdl_stmts.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_stmts.adb
  "elab-vhdl_types.ali" being checked ...
  -> "elab-vhdl_types.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/elab-vhdl_types.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_types.adb
  "elab-vhdl_values.ali" being checked ...
  -> "elab-vhdl_values.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/elab-vhdl_values.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_values.adb
  "netlists-iterators.ali" being checked ...
  -> "netlists-iterators.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/netlists-iterators.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/netlists-iterators.adb
  "netlists-utils.ali" being checked ...
  -> "netlists-utils.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/netlists-utils.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/netlists-utils.adb
  "mutils.ali" being checked ...
  -> "mutils.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/mutils.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/mutils.adb
  "areapools.ali" being checked ...
  -> "areapools.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/areapools.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/areapools.adb
  "elab-memtype.ali" being checked ...
  -> "elab-memtype.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/elab-memtype.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/elab-memtype.adb
  "netlists-gates.ali" being checked ...
  -> "netlists-gates.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/netlists-gates.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/netlists-gates.ads
  "netlists-locations.ali" being checked ...
  -> "netlists-locations.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/netlists-locations.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/netlists-locations.adb
  "types_utils.ali" being checked ...
  -> "types_utils.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/types_utils.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/types_utils.adb
  "grt-severity.ali" being checked ...
  -> "grt-severity.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/grt-severity.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-severity.ads
  "netlists-folds.ali" being checked ...
  -> "netlists-folds.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/netlists-folds.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/netlists-folds.adb
  "netlists-gates_ports.ali" being checked ...
  -> "netlists-gates_ports.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/netlists-gates_ports.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/netlists-gates_ports.adb
  "netlists-internings.ali" being checked ...
  -> "netlists-internings.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/netlists-internings.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/netlists-internings.adb
  "netlists-memories.ali" being checked ...
  -> "netlists-memories.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/netlists-memories.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/netlists-memories.adb
  "synth-errors.ali" being checked ...
  -> "synth-errors.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/synth-errors.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/synth-errors.adb
  "netlists-builders.ali" being checked ...
  -> "netlists-builders.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/netlists-builders.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/netlists-builders.adb
  "elab-vhdl_values-debug.ali" being checked ...
  -> "elab-vhdl_values-debug.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/elab-vhdl_values-debug.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_values-debug.adb
  "netlists-cleanup.ali" being checked ...
  -> "netlists-cleanup.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/netlists-cleanup.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/netlists-cleanup.adb
  "netlists-expands.ali" being checked ...
  -> "netlists-expands.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/netlists-expands.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/netlists-expands.adb
  "synth-vhdl_insts.ali" being checked ...
  -> "synth-vhdl_insts.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/synth-vhdl_insts.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/synth-vhdl_insts.adb
  "synth-context.ali" being checked ...
  -> "synth-context.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/synth-context.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/synth-context.ads
  "synth-vhdl_expr.ali" being checked ...
  -> "synth-vhdl_expr.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/synth-vhdl_expr.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/synth-vhdl_expr.adb
  "synth-vhdl_environment.ali" being checked ...
  -> "synth-vhdl_environment.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/synth-vhdl_environment.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/synth-vhdl_environment.adb
  "flists.ali" being checked ...
  -> "flists.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/flists.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/flists.adb
  "dyn_maps.ali" being checked ...
  -> "dyn_maps.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/dyn_maps.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/dyn_maps.adb
  "hash.ali" being checked ...
  -> "hash.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/hash.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/hash.adb
  "psl-cse.ali" being checked ...
  -> "psl-cse.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/psl-cse.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/psl/psl-cse.adb
  "psl-disp_nfas.ali" being checked ...
  -> "psl-disp_nfas.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/psl-disp_nfas.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/psl/psl-disp_nfas.adb
  "psl-optimize.ali" being checked ...
  -> "psl-optimize.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/psl-optimize.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/psl/psl-optimize.adb
  "psl-qm.ali" being checked ...
  -> "psl-qm.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/psl-qm.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/psl/psl-qm.adb
  "grt-files_operations.ali" being checked ...
  -> "grt-files_operations.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/grt-files_operations.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-files_operations.adb
  "grt-stdio.ali" being checked ...
  -> "grt-stdio.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/grt-stdio.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-stdio.ads
  "elab-debugger.ali" being checked ...
  -> "elab-debugger.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/elab-debugger.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/elab-debugger.adb
  "elab-vhdl_heap.ali" being checked ...
  -> "elab-vhdl_heap.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/elab-vhdl_heap.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_heap.adb
  "synth-vhdl_aggr.ali" being checked ...
  -> "synth-vhdl_aggr.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/synth-vhdl_aggr.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/synth-vhdl_aggr.adb
  "synth-vhdl_oper.ali" being checked ...
  -> "synth-vhdl_oper.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/synth-vhdl_oper.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/synth-vhdl_oper.adb
  "synth-vhdl_stmts.ali" being checked ...
  -> "synth-vhdl_stmts.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/synth-vhdl_stmts.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/synth-vhdl_stmts.adb
  "dyn_interning.ali" being checked ...
  -> "dyn_interning.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/dyn_interning.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/dyn_interning.adb
  "netlists-butils.ali" being checked ...
  -> "netlists-butils.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/netlists-butils.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/netlists-butils.adb
  "netlists-concats.ali" being checked ...
  -> "netlists-concats.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/netlists-concats.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/netlists-concats.adb
  "interning.ali" being checked ...
  -> "interning.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/interning.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/interning.adb
  "synth-source.ali" being checked ...
  -> "synth-source.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/synth-source.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/synth-source.adb
  "synth-vhdl_decls.ali" being checked ...
  -> "synth-vhdl_decls.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/synth-vhdl_decls.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/synth-vhdl_decls.adb
  "grt-table.ali" being checked ...
  -> "grt-table.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/grt-table.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/grt/grt-table.adb
  "synth-environment.ali" being checked ...
  -> "synth-environment.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/synth-environment.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/synth-environment.adb
  "synth-environment-debug.ali" being checked ...
  -> "synth-environment-debug.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/synth-environment-debug.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/synth-environment-debug.adb
  "synth-static_oper.ali" being checked ...
  -> "synth-static_oper.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/synth-static_oper.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/synth-static_oper.adb
  "synth-ieee.ali" being checked ...
  -> "synth-ieee.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/synth-ieee.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/synth-ieee.ads
  "synth-ieee-numeric_std.ali" being checked ...
  -> "synth-ieee-numeric_std.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/synth-ieee-numeric_std.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/synth-ieee-numeric_std.adb
  "synth-ieee-std_logic_1164.ali" being checked ...
  -> "synth-ieee-std_logic_1164.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/synth-ieee-std_logic_1164.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/synth-ieee-std_logic_1164.adb
  "synth-vhdl_static_proc.ali" being checked ...
  -> "synth-vhdl_static_proc.ali" missing.
arm-linux-gnueabihf-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/synth-vhdl_static_proc.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/src/synth/synth-vhdl_static_proc.adb
End of compilation
arm-linux-gnueabihf-gnatbind-12 -aI. -aO/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic -aI../../src -aI../../src/vhdl -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/synth -aI../../src/vhdl/libghdl -aI../../src/ghdldrv -shared -Llibghdl_ -z -x /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/libghdl.ali
arm-linux-gnueabihf-gnatlink-12 /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/pic/libghdl.ali -shared-libgcc -o lib/libghdl-2_0_0.so -g -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -g -shared -Wl,-z,relro -Wl,-z,now -Wl,-soname,libghdl-2_0_0.so -Wl,-z,relro -Wl,-z,now pic/grt-cstdio.o -R
sed -e '1,/--  BEGIN/d' -e '/--  END/,$d' -e 's/^   --   //' < b~libghdl.adb > libghdl.bind
rm -f -f libghdl.a
ar rc libghdl.a b~libghdl.o pic/grt-cstdio.o `sed -e /^-/d < libghdl.bind`
grep adalib libghdl.bind | sed -e 's/^-L//' -e 's@adalib/@adalib/libgnat.a@' > libghdl.link
gcc-12 -c -o ghwdump.o ../../ghw/ghwdump.c  -g -Wall -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong
gcc-12 -fPIC -c -o libghw.o ../../ghw/libghw.c  -g -Wall -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong
gcc-12 -Wl,-z,relro -Wl,-z,now -o ghwdump ghwdump.o libghw.o
gcc-12 -fPIC -Wl,-z,relro -Wl,-z,now -shared -o lib/libghw.so libghw.o
make[2]: Leaving directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc'
touch /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/stamps/build-gcc
dh override_dh_auto_build
make[1]: Leaving directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg'
   debian/rules override_dh_auto_test
make[1]: Entering directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg'
dh_testdir

------------------------------------------------------------
Run testsuite for llvm backend
------------------------------------------------------------
# With some paths patched for the Debian packaging, the tests will not
# work right when run from the build directory. To keep it simple
# install into a temporary location and tell the testsuite to find ghdl
# there.
/usr/bin/make -C /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm install DESTDIR=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/llvm
make[2]: Entering directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm'
mkdir -p /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/llvm/usr
mkdir -p /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/llvm/usr/bin
mkdir -p /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/llvm/usr/lib/ghdl/llvm
mkdir -p /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/llvm/usr/lib/ghdl/llvm
mkdir -p /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/llvm/usr/lib/ghdl/llvm/vhdl
mkdir -p /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/llvm/usr/lib/ghdl/include
mkdir -p /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/llvm/usr/lib/ghdl/include/ghdl
VER_DESC=tarball; \
VER_REF=unknown; \
VER_HASH=unknown; \
if test -d ../../.git && desc=`cd ../..; git describe --dirty --long`; then \
  VER_DESC=`echo $desc | sed -e 's/\([^-]*-g\)/r\1/' -e 's/-/./g' -e 's/^v//g'`; \
  VER_REF=`cd ../..; git rev-parse --abbrev-ref HEAD`; \
  VER_HASH=`cd ../..; git rev-parse HEAD`; \
fi; \
sed \
  -e "s#@VER@#2.0.0#" \
  -e "s#@DESC@#${GHDL_VER_DESC:-$VER_DESC}#" \
  -e "s#@REF@#${GHDL_VER_REF:-$VER_REF}#" \
  -e "s#@HASH@#${GHDL_VER_HASH:-$VER_HASH}#" \
  < ../../src/version.in > version.tmp;
if [ ! -r version.ads ] || ! cmp version.tmp version.ads > /dev/null; then cp version.tmp version.ads; fi
make -f ../../src/ortho/llvm6/Makefile \
 ortho_srcdir=../../src/ortho ortho_exec=ghdl1-llvm \
 GNATFLAGS="-aI../../src -aI../../src/vhdl -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/ghdldrv -aI../../src/ortho -aI../../src/ortho/llvm6 -aI../../src/synth -gnat12 -gnaty3befhkmr -g  -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata" \
 GNATLFLAGS="grt-cstdio.o" \
 LDFLAGS="-Wl,-z,relro -Wl,-z,now" \
 LLVM_CONFIG="llvm-config" CXX="g++-12" \
 CXXFLAGS=" -g -Wall -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong" \
 GNATMAKE="gnatmake -v -j4 -R -eS " all
make[3]: Entering directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm'
gnatmake -v -j4 -R -eS  -o ghdl1-llvm -aI../../src/ortho/llvm6 -aI../../src/ortho \
-aI../../src -aI../../src/vhdl -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/ghdldrv -aI../../src/ortho -aI../../src/ortho/llvm6 -aI../../src/synth -gnat12 -gnaty3befhkmr -g  -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata ortho_code_main -bargs -E \
-largs llvm-cbindings.o grt-cstdio.o --LINK=g++-12 \
`llvm-config --ldflags --libs --system-libs` -Wl,-z,relro -Wl,-z,now

GNATMAKE 12.2.0
Copyright (C) 1992-2022, Free Software Foundation, Inc.
  -> "ghdl1-llvm" final executable
  "ortho_code_main.ali" being checked ...
  "ortho_front.ali" being checked ...
  "ortho_llvm.ali" being checked ...
  "bug.ali" being checked ...
  "dyn_interning.ali" being checked ...
  "dyn_maps.ali" being checked ...
  "dyn_tables.ali" being checked ...
  "errorout.ali" being checked ...
  "errorout-console.ali" being checked ...
  "flags.ali" being checked ...
  "hash.ali" being checked ...
  "interning.ali" being checked ...
  "libraries.ali" being checked ...
  "name_table.ali" being checked ...
  "options.ali" being checked ...
  "trans_be.ali" being checked ...
  "translation.ali" being checked ...
  "types.ali" being checked ...
  "vhdl.ali" being checked ...
  "vhdl-configuration.ali" being checked ...
  "vhdl-errors.ali" being checked ...
  "vhdl-nodes.ali" being checked ...
  "vhdl-sem.ali" being checked ...
  "vhdl-sem_lib.ali" being checked ...
  "vhdl-std_package.ali" being checked ...
  "vhdl-utils.ali" being checked ...
  "ortho_ident.ali" being checked ...
  "simple_io.ali" being checked ...
  "version.ali" being checked ...
  "files_map.ali" being checked ...
  "str_table.ali" being checked ...
  "logging.ali" being checked ...
  "std_names.ali" being checked ...
  "tables.ali" being checked ...
  "vhdl-scanner.ali" being checked ...
  "vhdl-tokens.ali" being checked ...
  "psl.ali" being checked ...
  "psl-dump_tree.ali" being checked ...
  "psl-nodes.ali" being checked ...
  "vhdl-back_end.ali" being checked ...
  "vhdl-disp_tree.ali" being checked ...
  "vhdl-lists.ali" being checked ...
  "vhdl-parse.ali" being checked ...
  "ortho_nodes.ali" being checked ...
  "trans.ali" being checked ...
  "trans-chap1.ali" being checked ...
  "trans-chap12.ali" being checked ...
  "trans-chap2.ali" being checked ...
  "trans-chap3.ali" being checked ...
  "trans-chap4.ali" being checked ...
  "trans-chap7.ali" being checked ...
  "trans-helpers2.ali" being checked ...
  "trans-rtis.ali" being checked ...
  "trans_decls.ali" being checked ...
  "vhdl-canon.ali" being checked ...
  "vhdl-sem_specs.ali" being checked ...
  "vhdl-evaluation.ali" being checked ...
  "vhdl-nodes_walk.ali" being checked ...
  "vhdl-sem_scopes.ali" being checked ...
  "vhdl-nodes_meta.ali" being checked ...
  "vhdl-nodes_priv.ali" being checked ...
  "psl-types.ali" being checked ...
  "vhdl-flists.ali" being checked ...
  "vhdl-elocations.ali" being checked ...
  "vhdl-ieee.ali" being checked ...
  "vhdl-ieee-std_logic_1164.ali" being checked ...
  "vhdl-nodes_utils.ali" being checked ...
  "vhdl-sem_assocs.ali" being checked ...
  "vhdl-sem_decls.ali" being checked ...
  "vhdl-sem_expr.ali" being checked ...
  "vhdl-sem_inst.ali" being checked ...
  "vhdl-sem_names.ali" being checked ...
  "vhdl-sem_psl.ali" being checked ...
  "vhdl-sem_stmts.ali" being checked ...
  "vhdl-sem_utils.ali" being checked ...
  "vhdl-xrefs.ali" being checked ...
  "vhdl-nodes_gc.ali" being checked ...
  "vhdl-post_sems.ali" being checked ...
  "vhdl-prints.ali" being checked ...
  "vhdl-types.ali" being checked ...
  "grt.ali" being checked ...
  "grt-fcvt.ali" being checked ...
  "psl-errors.ali" being checked ...
  "psl-nodes_meta.ali" being checked ...
  "psl-hash.ali" being checked ...
  "lists.ali" being checked ...
  "vhdl-parse_psl.ali" being checked ...
  "trans-chap5.ali" being checked ...
  "trans-chap6.ali" being checked ...
  "trans-chap9.ali" being checked ...
  "trans-chap8.ali" being checked ...
  "trans-chap14.ali" being checked ...
  "trans-foreach_non_composite.ali" being checked ...
  "psl-build.ali" being checked ...
  "psl-nfas.ali" being checked ...
  "psl-nfas-utils.ali" being checked ...
  "psl-rewrites.ali" being checked ...
  "vhdl-canon_psl.ali" being checked ...
  "grt-to_strings.ali" being checked ...
  "grt-types.ali" being checked ...
  "psl-nodes_priv.ali" being checked ...
  "flists.ali" being checked ...
  "vhdl-elocations_meta.ali" being checked ...
  "vhdl-sem_types.ali" being checked ...
  "grt-algos.ali" being checked ...
  "psl-subsets.ali" being checked ...
  "vhdl-ieee-math_real.ali" being checked ...
  "vhdl-ieee-numeric.ali" being checked ...
  "vhdl-ieee-numeric_std_unsigned.ali" being checked ...
  "vhdl-ieee-std_logic_arith.ali" being checked ...
  "vhdl-ieee-std_logic_misc.ali" being checked ...
  "vhdl-ieee-std_logic_unsigned.ali" being checked ...
  "vhdl-ieee-vital_timing.ali" being checked ...
  "psl-prints.ali" being checked ...
  "psl-priorities.ali" being checked ...
  "trans_analyzes.ali" being checked ...
  "psl-cse.ali" being checked ...
  "psl-disp_nfas.ali" being checked ...
  "psl-optimize.ali" being checked ...
  "psl-qm.ali" being checked ...
End of compilation
gnatmake: "ghdl1-llvm" up to date.
make[3]: Leaving directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm'
gnatmake -v -j4 -R -eS  -aI../../src -aI../../src/vhdl -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/ghdldrv -aI../../src/ortho -aI../../src/ortho/llvm6 -aI../../src/synth \
         -aI../../src/ghdldrv -gnat12 -gnaty3befhkmr -g  -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata \
 ghdl_llvm -bargs -E \
         -largs -Wl,-z,relro -Wl,-z,now grt-cstdio.o

GNATMAKE 12.2.0
Copyright (C) 1992-2022, Free Software Foundation, Inc.
  "ghdl_llvm.ali" being checked ...
  "ghdldrv.ali" being checked ...
  "ghdllocal.ali" being checked ...
  "ghdlmain.ali" being checked ...
  "ghdlprint.ali" being checked ...
  "ghdlsynth_maybe.ali" being checked ...
  "ghdlvpi.ali" being checked ...
  "ghdlxml.ali" being checked ...
  "default_paths.ali" being checked ...
  "dyn_tables.ali" being checked ...
  "errorout.ali" being checked ...
  "files_map.ali" being checked ...
  "flags.ali" being checked ...
  "libraries.ali" being checked ...
  "name_table.ali" being checked ...
  "options.ali" being checked ...
  "simple_io.ali" being checked ...
  "tables.ali" being checked ...
  "types.ali" being checked ...
  "vhdl.ali" being checked ...
  "vhdl-configuration.ali" being checked ...
  "vhdl-nodes.ali" being checked ...
  "vhdl-std_package.ali" being checked ...
  "std_names.ali" being checked ...
  "version.ali" being checked ...
  "vhdl-errors.ali" being checked ...
  "vhdl-prints.ali" being checked ...
  "vhdl-scanner.ali" being checked ...
  "vhdl-sem_lib.ali" being checked ...
  "vhdl-utils.ali" being checked ...
  "bug.ali" being checked ...
  "errorout-console.ali" being checked ...
  "vhdl-canon.ali" being checked ...
  "vhdl-elocations.ali" being checked ...
  "vhdl-formatters.ali" being checked ...
  "vhdl-parse.ali" being checked ...
  "vhdl-tokens.ali" being checked ...
  "vhdl-xrefs.ali" being checked ...
  "ghdlsynth.ali" being checked ...
  "vhdl-disp_tree.ali" being checked ...
  "vhdl-nodes_meta.ali" being checked ...
  "str_table.ali" being checked ...
  "logging.ali" being checked ...
  "vhdl-types.ali" being checked ...
  "psl.ali" being checked ...
  "psl-dump_tree.ali" being checked ...
  "psl-nodes.ali" being checked ...
  "vhdl-back_end.ali" being checked ...
  "vhdl-lists.ali" being checked ...
  "vhdl-evaluation.ali" being checked ...
  "vhdl-nodes_walk.ali" being checked ...
  "vhdl-sem_scopes.ali" being checked ...
  "vhdl-nodes_priv.ali" being checked ...
  "psl-types.ali" being checked ...
  "vhdl-flists.ali" being checked ...
  "vhdl-nodes_utils.ali" being checked ...
  "vhdl-sem_utils.ali" being checked ...
  "psl-errors.ali" being checked ...
  "psl-nfas.ali" being checked ...
  "psl-prints.ali" being checked ...
  "psl-priorities.ali" being checked ...
  "grt.ali" being checked ...
  "grt-fcvt.ali" being checked ...
  "vhdl-nodes_gc.ali" being checked ...
  "vhdl-post_sems.ali" being checked ...
  "vhdl-sem.ali" being checked ...
  "psl-build.ali" being checked ...
  "psl-nfas-utils.ali" being checked ...
  "psl-rewrites.ali" being checked ...
  "vhdl-canon_psl.ali" being checked ...
  "vhdl-sem_inst.ali" being checked ...
  "vhdl-sem_specs.ali" being checked ...
  "vhdl-elocations_meta.ali" being checked ...
  "utils_io.ali" being checked ...
  "grt-types.ali" being checked ...
  "grt-vstrings.ali" being checked ...
  "vhdl-parse_psl.ali" being checked ...
  "elab.ali" being checked ...
  "elab-vhdl_context.ali" being checked ...
  "elab-vhdl_insts.ali" being checked ...
  "elab-vhdl_objtypes.ali" being checked ...
  "ghdlcomp.ali" being checked ...
  "netlists.ali" being checked ...
  "netlists-disp_dot.ali" being checked ...
  "netlists-disp_verilog.ali" being checked ...
  "netlists-disp_vhdl.ali" being checked ...
  "netlists-dump.ali" being checked ...
  "netlists-errors.ali" being checked ...
  "netlists-inference.ali" being checked ...
  "synth.ali" being checked ...
  "synth-disp_vhdl.ali" being checked ...
  "synth-flags.ali" being checked ...
  "synth-vhdl_context.ali" being checked ...
  "synthesis.ali" being checked ...
  "vhdl-annotations.ali" being checked ...
  "psl-nodes_meta.ali" being checked ...
  "psl-hash.ali" being checked ...
  "lists.ali" being checked ...
  "grt-to_strings.ali" being checked ...
  "vhdl-ieee.ali" being checked ...
  "vhdl-ieee-std_logic_1164.ali" being checked ...
  "psl-nodes_priv.ali" being checked ...
  "flists.ali" being checked ...
  "vhdl-ieee-math_real.ali" being checked ...
  "vhdl-ieee-numeric.ali" being checked ...
  "vhdl-ieee-numeric_std_unsigned.ali" being checked ...
  "vhdl-ieee-std_logic_arith.ali" being checked ...
  "vhdl-ieee-std_logic_misc.ali" being checked ...
  "vhdl-ieee-std_logic_unsigned.ali" being checked ...
  "vhdl-ieee-vital_timing.ali" being checked ...
  "vhdl-sem_assocs.ali" being checked ...
  "vhdl-sem_decls.ali" being checked ...
  "vhdl-sem_expr.ali" being checked ...
  "vhdl-sem_names.ali" being checked ...
  "vhdl-sem_psl.ali" being checked ...
  "vhdl-sem_stmts.ali" being checked ...
  "psl-cse.ali" being checked ...
  "psl-disp_nfas.ali" being checked ...
  "psl-optimize.ali" being checked ...
  "psl-qm.ali" being checked ...
  "grt-c.ali" being checked ...
  "elab-vhdl_values.ali" being checked ...
  "elab-vhdl_decls.ali" being checked ...
  "elab-vhdl_errors.ali" being checked ...
  "elab-vhdl_expr.ali" being checked ...
  "elab-vhdl_files.ali" being checked ...
  "elab-vhdl_stmts.ali" being checked ...
  "elab-vhdl_types.ali" being checked ...
  "mutils.ali" being checked ...
  "areapools.ali" being checked ...
  "elab-memtype.ali" being checked ...
  "dyn_maps.ali" being checked ...
  "hash.ali" being checked ...
  "netlists-gates.ali" being checked ...
  "netlists-utils.ali" being checked ...
  "netlists-iterators.ali" being checked ...
  "netlists-locations.ali" being checked ...
  "types_utils.ali" being checked ...
  "netlists-folds.ali" being checked ...
  "netlists-gates_ports.ali" being checked ...
  "netlists-internings.ali" being checked ...
  "netlists-memories.ali" being checked ...
  "synth-errors.ali" being checked ...
  "netlists-builders.ali" being checked ...
  "grt-severity.ali" being checked ...
  "synth-vhdl_expr.ali" being checked ...
  "synth-context.ali" being checked ...
  "synth-vhdl_environment.ali" being checked ...
  "elab-vhdl_values-debug.ali" being checked ...
  "netlists-cleanup.ali" being checked ...
  "netlists-expands.ali" being checked ...
  "synth-vhdl_insts.ali" being checked ...
  "vhdl-sem_types.ali" being checked ...
  "grt-algos.ali" being checked ...
  "psl-subsets.ali" being checked ...
  "grt-files_operations.ali" being checked ...
  "elab-debugger.ali" being checked ...
  "elab-vhdl_heap.ali" being checked ...
  "synth-vhdl_aggr.ali" being checked ...
  "synth-vhdl_oper.ali" being checked ...
  "synth-vhdl_stmts.ali" being checked ...
  "grt-stdio.ali" being checked ...
  "dyn_interning.ali" being checked ...
  "netlists-concats.ali" being checked ...
  "synth-source.ali" being checked ...
  "synth-environment.ali" being checked ...
  "synth-environment-debug.ali" being checked ...
  "netlists-butils.ali" being checked ...
  "interning.ali" being checked ...
  "synth-vhdl_decls.ali" being checked ...
  "grt-table.ali" being checked ...
  "synth-static_oper.ali" being checked ...
  "synth-vhdl_static_proc.ali" being checked ...
  "synth-ieee.ali" being checked ...
  "synth-ieee-numeric_std.ali" being checked ...
  "synth-ieee-std_logic_1164.ali" being checked ...
End of compilation
gnatmake: "ghdl_llvm" up to date.
install -m 755 ghdl_llvm /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/llvm/usr/bin/ghdl-llvm
install -m 755 ghdl1-llvm /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/llvm/usr/lib/ghdl/llvm/ghdl1-llvm
cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j4 -R -eS  -c -aI/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -aI.. \
  -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY ghdl_main -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -cargs 

GNATMAKE 12.2.0
Copyright (C) 1992-2022, Free Software Foundation, Inc.
  "ghdl_main.ali" being checked ...
  "grt.ali" being checked ...
  "grt-errors.ali" being checked ...
  "grt-main.ali" being checked ...
  "grt-options.ali" being checked ...
  "grt-rtis_binding.ali" being checked ...
  "grt-std_logic_1164.ali" being checked ...
  "grt-types.ali" being checked ...
  "grt-astdio.ali" being checked ...
  "grt-astdio-vhdl.ali" being checked ...
  "grt-hooks.ali" being checked ...
  "grt-stdio.ali" being checked ...
  "grt-change_generics.ali" being checked ...
  "grt-files.ali" being checked ...
  "grt-images.ali" being checked ...
  "grt-lib.ali" being checked ...
  "grt-modules.ali" being checked ...
  "grt-names.ali" being checked ...
  "grt-processes.ali" being checked ...
  "grt-shadow_ieee.ali" being checked ...
  "grt-signals.ali" being checked ...
  "grt-stats.ali" being checked ...
  "grt-values.ali" being checked ...
  "grt-severity.ali" being checked ...
  "grt-strings.ali" being checked ...
  "grt-wave_opt.ali" being checked ...
  "grt-wave_opt-file.ali" being checked ...
  "grt-rtis.ali" being checked ...
  "grt-c.ali" being checked ...
  "grt-callbacks.ali" being checked ...
  "grt-avhpi.ali" being checked ...
  "grt-avhpi_utils.ali" being checked ...
  "grt-rtis_addr.ali" being checked ...
  "grt-errors_exec.ali" being checked ...
  "grt-table.ali" being checked ...
  "grt-rtis_utils.ali" being checked ...
  "grt-to_strings.ali" being checked ...
  "grt-backtraces.ali" being checked ...
  "grt-fcvt.ali" being checked ...
  "grt-disp_rti.ali" being checked ...
  "grt-disp_tree.ali" being checked ...
  "grt-fst.ali" being checked ...
  "grt-psl.ali" being checked ...
  "grt-vcd.ali" being checked ...
  "grt-vcdz.ali" being checked ...
  "grt-vhpi.ali" being checked ...
  "grt-vital_annotate.ali" being checked ...
  "grt-vpi.ali" being checked ...
  "grt-waves.ali" being checked ...
  "grt-vstrings.ali" being checked ...
  "grt-disp.ali" being checked ...
  "grt-disp_signals.ali" being checked ...
  "grt-threads.ali" being checked ...
  "grt-stack2.ali" being checked ...
  "grt-vstrings_io.ali" being checked ...
  "grt-backtraces-impl.ali" being checked ...
  "grt-fst_api.ali" being checked ...
  "grt-rtis_types.ali" being checked ...
  "grt-wave_opt-design.ali" being checked ...
  "grt-zlib.ali" being checked ...
  "grt-sdf.ali" being checked ...
  "version.ali" being checked ...
  "grt-algos.ali" being checked ...
  "grt-avls.ali" being checked ...
  "grt-ghw.ali" being checked ...
  "grt-unithread.ali" being checked ...
  "grt-backtraces-jit.ali" being checked ...
End of compilation
gnatmake: objects up to date.
sed -e '/^P /s/P /P NR /' < grt/ghdl_main.ali > grt/ghdl_main-tmp.ali
mv grt/ghdl_main-tmp.ali grt/ghdl_main.ali
cd grt && gnatmake -v -j4 -R -eS  -b ghdl_main.ali -bargs  -Lgrt_ -o run-bind.adb -n

GNATMAKE 12.2.0
Copyright (C) 1992-2022, Free Software Foundation, Inc.
arm-linux-gnueabihf-gnatbind-12 -Lgrt_ -o run-bind.adb -n -x ghdl_main.ali
cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j4 -R -eS  -u -c  -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -o run-bind.o run-bind.adb

GNATMAKE 12.2.0
Copyright (C) 1992-2022, Free Software Foundation, Inc.
  -> "run-bind.o" final executable
  "run-bind.ali" being checked ...
End of compilation
gnatmake: objects up to date.
cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j4 -R -eS  -u -c  -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -o main.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/main.adb -Igrt

GNATMAKE 12.2.0
Copyright (C) 1992-2022, Free Software Foundation, Inc.
  -> "main.o" final executable
  "main.ali" being checked ...
End of compilation
gnatmake: objects up to date.
sed -e "1,/-- *BEGIN/d" -e "/-- *END/,\$d" \
  -e "s/   --   //" < grt/run-bind.adb | tr -d '\r' > grt/grt-files
rm -f -f lib/ghdl/llvm/libgrt.a
ar rcv lib/ghdl/llvm/libgrt.a `sed -e "/^-/d" -e "s!^!grt/!" < grt/grt-files` \
 jumps.o times.o grt-cstdio.o grt-cgnatrts.o grt-cvpi.o grt-cvhpi.o grt-cdynload.o fstapi.o lz4.o fastlz.o grt/run-bind.o grt/main.o
a - grt/./grt.o
a - grt/./grt-c.o
a - grt/./grt-fcvt.o
a - grt/./grt-severity.o
a - grt/./grt-stdio.o
a - grt/./grt-strings.o
a - grt/./grt-types.o
a - grt/./grt-astdio.o
a - grt/./grt-callbacks.o
a - grt/./grt-hooks.o
a - grt/./grt-wave_opt.o
a - grt/./grt-wave_opt-file.o
a - grt/./grt-astdio-vhdl.o
a - grt/./grt-errors.o
a - grt/./grt-options.o
a - grt/./grt-rtis.o
a - grt/./grt-rtis_binding.o
a - grt/./grt-shadow_ieee.o
a - grt/./grt-vstrings.o
a - grt/./grt-wave_opt-design.o
a - grt/./grt-algos.o
a - grt/./grt-avls.o
a - grt/./grt-fst_api.o
a - grt/./grt-ghw.o
a - grt/./grt-rtis_addr.o
a - grt/./grt-stack2.o
a - grt/./grt-table.o
a - grt/./grt-to_strings.o
a - grt/./grt-vstrings_io.o
a - grt/./grt-rtis_utils.o
a - grt/./grt-avhpi.o
a - grt/./grt-avhpi_utils.o
a - grt/./grt-backtraces-jit.o
a - grt/./grt-backtraces-impl.o
a - grt/./grt-backtraces.o
a - grt/./grt-disp.o
a - grt/./grt-disp_signals.o
a - grt/./grt-stats.o
a - grt/./grt-unithread.o
a - grt/./grt-threads.o
a - grt/./grt-errors_exec.o
a - grt/./grt-processes.o
a - grt/./grt-signals.o
a - grt/./grt-disp_rti.o
a - grt/./grt-disp_tree.o
a - grt/./grt-files.o
a - grt/./grt-images.o
a - grt/./grt-lib.o
a - grt/./grt-names.o
a - grt/./grt-psl.o
a - grt/./grt-rtis_types.o
a - grt/./grt-vital_annotate.o
a - grt/./grt-sdf.o
a - grt/./grt-std_logic_1164.o
a - grt/./grt-values.o
a - grt/./grt-change_generics.o
a - grt/./grt-vcd.o
a - grt/./grt-fst.o
a - grt/./grt-vhpi.o
a - grt/./grt-waves.o
a - grt/./grt-zlib.o
a - grt/./grt-vcdz.o
a - grt/./version.o
a - grt/./grt-vpi.o
a - grt/./grt-modules.o
a - grt/./grt-main.o
a - grt/./ghdl_main.o
a - jumps.o
a - times.o
a - grt-cstdio.o
a - grt-cgnatrts.o
a - grt-cvpi.o
a - grt-cvhpi.o
a - grt-cdynload.o
a - fstapi.o
a - lz4.o
a - fastlz.o
a - grt/run-bind.o
a - grt/main.o
ranlib lib/ghdl/llvm/libgrt.a
sed -e "\!^.[/\\]!d" -e "/-shared/d" -e "/-static/d" -e "/-lgnat/d" \
  -e "\X-L/Xd" < grt/grt-files > grt/grt-files.in
echo "@/libgrt.a" > lib/ghdl/llvm/grt.lst
for i in -ldl -lm; do echo $i >> lib/ghdl/llvm/grt.lst; done
cat grt/grt-files.in >> lib/ghdl/llvm/grt.lst
install -m 644 lib/ghdl/llvm/libgrt.a /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/llvm/usr/lib/ghdl/llvm/vhdl/libgrt.a
install -m 644 lib/ghdl/llvm/grt.lst /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/llvm/usr/lib/ghdl/llvm/vhdl/grt.lst
install -m 644 lib/ghdl/llvm/grt-exec.lst /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/llvm/usr/lib/ghdl/llvm/vhdl/grt-exec.lst
install -m 644 lib/ghdl/llvm/grt-shared.lst /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/llvm/usr/lib/ghdl/llvm/vhdl/grt-shared.lst
install -m 644 /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.ver /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/llvm/usr/lib/ghdl/llvm/vhdl/grt.ver
test "x" = x || test "xllvm" = xgcc || \
  install -m 644  /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/llvm/usr/lib/ghdl/llvm/vhdl/libbacktrace.a
for d in ieee/v87 ieee/v93 ieee/v08 std/v87 std/v93 std/v08 src/ieee src/ieee/v87 src/ieee/v93 src/ieee2008 src/std src/std/v87 src/std/v93 src/std/v08 src/synopsys src/synopsys/v08 src/upf; do \
  mkdir -p /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/llvm/usr/lib/ghdl/llvm/vhdl/$d; \
  install -m 644 -p \
    lib/ghdl/llvm/$d/* /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/llvm/usr/lib/ghdl/llvm/vhdl/$d; \
done
install: omitting directory 'lib/ghdl/llvm/src/ieee/v87'
install: omitting directory 'lib/ghdl/llvm/src/ieee/v93'
install: omitting directory 'lib/ghdl/llvm/src/std/v08'
install: omitting directory 'lib/ghdl/llvm/src/std/v87'
install: omitting directory 'lib/ghdl/llvm/src/std/v93'
install: omitting directory 'lib/ghdl/llvm/src/synopsys/v08'
install -m 644 -p \
    ../../scripts/ansi_color.sh /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/llvm/usr/lib/ghdl/llvm/vhdl/;
mkdir -p /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/llvm/usr/lib/ghdl/llvm/vhdl/vendors
install -m 644 -p \
    ../../scripts/vendors/* /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/llvm/usr/lib/ghdl/llvm/vhdl/vendors/
install -m 755 -p \
    ../../scripts/vendors/*.sh /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/llvm/usr/lib/ghdl/llvm/vhdl/vendors/
install -m 755 -p lib/libghdlvpi.so /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/llvm/usr/lib/ghdl/llvm/
install -m 644 -p /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vpi_user.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/llvm/usr/lib/ghdl/include/ghdl/
install -m 644 -p /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/llvm/usr/lib/ghdl/include/ghdl/
install -m 755 -p lib/libghdl-2_0_0.so /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/llvm/usr/lib/ghdl/llvm/
install -m 755 -p libghdl.a /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/llvm/usr/lib/ghdl/llvm/
install -m 644 -p libghdl.link /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/llvm/usr/lib/ghdl/llvm/
install -m 644 -p ../../src/synth/include/synth.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/llvm/usr/lib/ghdl/include/ghdl
install -m 644 -p ../../src/synth/include/synth_gates.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/llvm/usr/lib/ghdl/include/ghdl
install -m 755 -p ghwdump /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/llvm/usr/bin/
install -m 755 -p lib/libghw.so /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/llvm/usr/lib/ghdl/llvm/
install -m 644 -p ../../ghw/libghw.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/llvm/usr/lib/ghdl/include/ghdl/
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/llvm/usr/bin/ghdl-llvm --disp-standard --std=87 > /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/llvm/usr/lib/ghdl/llvm/vhdl/src/std/v87/standard.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/llvm/usr/bin/ghdl-llvm --disp-standard --std=93 > /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/llvm/usr/lib/ghdl/llvm/vhdl/src/std/v93/standard.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/llvm/usr/bin/ghdl-llvm --disp-standard --std=08 > /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/llvm/usr/lib/ghdl/llvm/vhdl/src/std/v08/standard.vhdl
make[2]: Leaving directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/llvm'
# Temporarily make LLVM backend testsuite failure non-fatal to more
# easily collect data for investigating the PSL testsuite failures on
# some architectures
debian/tests/ghdl-tests buildtest llvm
> tests:  sanity gna vests synth vpi vhpi
> args: --keep-going
GHDL is: /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/llvm/usr/bin/ghdl-llvm
GHDL 2.0.0 (Raspbian 2.0.0+dfsg-6.2) [Dunoon edition]
 Compiled with GNAT Version: 12.2.0
 llvm code generator
Written by Tristan Gingold.

Copyright (C) 2003 - 2022 Tristan Gingold.
GHDL is free software, covered by the GNU General Public License.  There is NO
warranty; not even for MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.
REF: unknown
HASH: unknown
GHDL help
usage: /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/llvm/usr/bin/ghdl-llvm COMMAND [OPTIONS] ...
COMMAND is one of:
analyze [OPTS] FILEs
  Analyze one or multiple VHDL files
  aliases: -a, analyse
elaborate [OPTS] UNIT [ARCH]
  Elaborate design UNIT
  alias: -e
run UNIT [ARCH] [RUNOPTS]
  Run design UNIT
  alias: -r
elab-run [OPTS] UNIT [ARCH] [RUNOPTS]
  Elaborate and run design UNIT
  alias: --elab-run
bind [OPTS] UNIT [ARCH]
  Bind design UNIT
  alias: --bind
link [OPTS] UNIT [ARCH]
  Link design UNIT
  alias: --link
list-link [OPTS] UNIT [ARCH]
  List objects file to link UNIT
  alias: --list-link
compile [OPTS] FILEs -e UNIT [ARCH]
  Generate whole sequence to elaborate design UNIT from FILEs
  alias: -c
make [OPTS] UNIT [ARCH]
  Make design UNIT
  alias: -m
gen-makefile [OPTS] UNIT [ARCH]
  Generate a Makefile for design UNIT
  alias: --gen-makefile
gen-depends [OPTS] UNIT [ARCH]
  Generate dependencies of design UNIT
  alias: --gen-depends
disp-config
  Display tools path
  aliases: --disp-config, dispconfig, --dispconfig
bootstrap-std
  (internal) Compile std.standard
  alias: --bootstrap-standard
synth [FILES... -e] UNIT [ARCH]
  Synthesis from UNIT
  alias: --synth
--libghdl-name
  Display libghdl name
--libghdl-library-path
  Display libghdl library path
--libghdl-include-dir
  Display libghdl include directory
import [OPTS] FILEs
  Import units of FILEs
  alias: -i
syntax [OPTS] FILEs
  Check syntax of FILEs
  alias: -s
dir [LIBs]
  Display contents of the libraries
  alias: --dir
files FILEs
  Display units in FILES
  alias: -f
clean
  Remove generated files
  alias: --clean
remove
  Remove generated files and library file
  alias: --remove
copy
  Copy work library to current directory
  alias: --copy
disp-standard
  Disp std.standard in pseudo-vhdl
  alias: --disp-standard
elab-order [--libraries] [OPTS] UNIT [ARCH]
  Display ordered source files
  alias: --elab-order
find-top
  Display possible top entity in work library
  alias: --find-top
chop [OPTS] FILEs
  Chop FILEs
  alias: --chop
lines FILEs
  Precede line with its number
  alias: --lines
reprint [OPTS] FILEs
  Redisplay FILEs
  alias: --reprint
fmt [OPTS] FILEs
  Format FILEs
  alias: --format
compare-tokens [OPTS] REF FILEs
  Compare FILEs with REF
  alias: --compare-tokens
pp-html FILEs
  Pretty-print FILEs in HTML
  alias: --pp-html
xref-html FILEs
  Display FILEs in HTML with xrefs
  alias: --xref-html
xref FILEs
  Generate xrefs
  alias: --xref
--vpi-compile CMD ARGS
  Compile with VPI/VHPI include path
--vpi-link CMD ARGS
  Link with VPI/VHPI library
--vpi-cflags
  Display VPI/VHPI compile flags
--vpi-ldflags
  Display VPI/VHPI link flags
--vpi-include-dir
  Display VPI/VHPI include directory
--vpi-library-dir
  Display VPI/VHPI library directory
--vpi-library-dir-unix
  Display VPI/VHPI library directory (unix form)
file-to-xml FILEs
  Dump AST in XML
  alias: --file-to-xml
help [CMD]
  Display this help or [help on CMD]
  aliases: -h, --help
version
  Display ghdl version
  aliases: -v, --version
opts-help
  Display help for analyzer options
  alias: --options-help

To display the options of a GHDL program,
  run your program with the 'help' option.
Also see 'opts-help' for analyzer options.

Please, refer to the GHDL manual for more information.
Report issues on https://github.com/ghdl/ghdl
[GHDL - test] sanity
..Running with 4 test workers.
sanity 005examples: ok
sanity 006upf: ok
sanity 000hello: ok
sanity 002hello2008: ok
sanity 001hello87: ok
sanity 004all08: ok
sanity tests are successful
[GHDL - test] gna
..Running with 4 test workers.
gna issue1897: ok
gna issue1128: ok
gna bug01: ok
gna issue643: ok
gna issue645: ok
gna issue1898: ok
gna issue1129: ok
gna issue190: ok
gna bug010: ok
gna issue1908: ok
gna issue191: ok
gna issue1131: ok
gna issue1913: ok
gna issue646: ok
gna issue1137: ok
gna bug0100: ok
gna bug0101: ok
gna bug0103: ok
gna issue648: ok
gna issue1914: ok
gna issue652: ok
gna bug0104: ok
gna issue1138: ok
gna issue1919: ok
gna issue654: ok
gna issue1145: ok
gna issue660: ok
gna issue1152: ok
gna bug0105: ok
gna bug0106: ok
gna bug0108: ok
gna issue663: ok
gna issue1924: ok
gna issue666: ok
gna issue1191: ok
gna issue1934: ok
gna issue1196: ok
gna issue1935: ok
gna issue1201: ok
gna issue1943: ok
gna issue1953: ok
gna issue1979: ok
gna bug0109: ok
gna issue1206: ok
gna issue1224: ok
gna bug011: ok
gna bug0110: ok
gna issue668: ok
gna bug0111: ok
gna bug0112: ok
gna bug0114: ok
gna issue1226: ok
gna bug0115: ok
gna issue67: ok
gna issue1228: ok
gna issue1229: ok
gna issue672: ok
gna issue123: ok
gna issue676: ok
gna issue679: ok
gna issue1233: ok
gna issue683: ok
gna issue1240: ok
gna issue685: ok
gna bug0117: ok
gna issue1246: ok
gna bug0118: ok
gna issue1249: ok
gna issue1980: ok
gna bug012: ok
gna bug0120: ok
gna bug0122: ok
gna issue199: ok
gna issue125: ok
gna issue1252: ok
gna bug0123: ok
gna bug0124: ok
gna issue1256: ok
gna bug0125: ok
gna bug0126: ok
gna bug014: ok
gna issue687: ok
gna issue689: ok
gna bug015: ok
gna bug016: ok
gna issue69: ok
gna issue1257: ok
gna issue690: ok
gna issue691: ok
gna issue126: ok
gna issue692: ok
gna issue2: ok
gna issue1262: ok
gna issue694: ok
gna issue695: ok
gna issue1268: ok
gna issue1269: ok
gna issue697: ok
gna issue201: ok
gna issue705: ok
gna issue1274: ok
gna issue707: ok
gna issue202: ok
gna issue708: ok
gna issue204: ok
gna issue1287: ok
gna issue71: ok
gna issue1288: ok
gna issue205: ok
gna issue207: ok
gna issue710: ok
gna issue1292: ok
gna issue209: ok
gna issue212: ok
gna issue1295: ok
gna issue216: ok
gna issue223: ok
gna issue713: ok
gna issue1300: ok
gna issue132: ok
gna issue225: ok
gna issue715: ok
gna issue1323: ok
gna issue227: ok
gna issue717: ok
gna issue228: ok
gna issue1326: ok
gna issue718: ok
gna issue719: ok
gna issue233: ok
gna issue1338: ok
gna issue72: ok
gna issue729: ok
gna issue235: ok
gna issue73: ok
gna issue1346: ok
gna issue237: ok
gna issue731: ok
gna issue1347: ok
gna issue734: ok
gna bug017: ok
gna issue735: ok
gna issue1354: ok
gna issue136: ok
gna bug018: ok
gna issue1360: ok
gna issue736: ok
gna issue238: ok
gna issue1361: ok
gna issue737: ok
gna issue1362: ok
gna issue747: ok
gna issue1364: ok
gna issue1370: ok
gna issue241: ok
gna issue1371: ok
gna issue242: ok
gna issue243: ok
gna issue756: ok
gna issue772: ok
gna issue244: ok
gna issue25: ok
gna issue1376: ok
gna issue253: ok
gna issue1377: ok
gna issue776: ok
gna issue777: ok
gna issue779: ok
gna issue1379: ok
gna issue254: ok
gna issue780: ok
gna issue1381: ok
gna issue1384: ok
gna issue1385: ok
gna issue786: ok
gna issue1386: ok
gna issue787: ok
gna issue256: ok
gna issue788: ok
gna issue1387: ok
gna issue791: ok
gna issue257: ok
gna issue792: ok
gna issue794: ok
gna issue1392: ok
gna issue258: ok
gna issue259: ok
gna issue14: ok
gna issue795: ok
gna issue140: ok
gna issue1403: ok
gna issue26: ok
gna issue797: ok
gna issue803: ok
gna issue1404: ok
gna issue261: ok
gna bug019: ok
gna issue262: ok
gna issue1405: ok
gna issue141: ok
gna issue1412: ok
gna issue263: ok
gna issue1415: ok
gna issue264: ok
gna bug02: ok
gna issue807: ok
gna bug021: ok
gna issue1416: ok
gna issue265: ok
gna issue810: ok
gna bug022: ok
gna issue813: ok
gna issue816: ok
gna issue817: ok
gna issue268: ok
gna issue273: ok
gna issue278: ok
gna issue283: ok
gna bug023: ok
gna bug024: ok
gna issue1419: ok
gna issue290: ok
gna bug026: ok
gna bug027: ok
gna bug028: ok
gna bug029: ok
gna issue1420: ok
gna issue293: ok
gna bug03: ok
gna issue295: ok
gna issue1429: ok
gna bug030: ok
gna bug031: ok
gna issue143: ok
gna issue818: ok
gna bug032: ok
gna issue821: ok
gna bug033: ok
gna issue1431: ok
gna bug034: ok
gna issue144: ok
gna issue828: ok
gna issue830: ok
gna issue838: ok
gna issue1440: ok
gna issue852: ok
gna issue1443: ok
gna issue857: ok
gna issue860: ok
gna issue864: ok
gna issue869: ok
gna issue873: ok
gna issue1453: ok
gna issue875: ok
gna issue1455: ok
gna issue877: ok
gna issue1456: ok
gna issue1461: ok
gna issue1469: ok
gna issue880: ok
gna issue881: ok
gna issue147: ok
gna issue1473: ok
gna issue885: ok
gna issue886: ok
gna issue1474: ok
gna issue148: ok
gna issue1480: ok
gna issue1485: ok
gna issue1490: ok
gna issue1493: ok
gna issue887: ok
gna issue15: ok
gna issue899: ok
gna issue9: ok
gna issue150: ok
gna issue1500: ok
gna issue151: ok
gna bug035: ok
gna bug036: ok
gna issue1514: ok
gna issue1515: ok
gna issue912: ok
gna issue1517: ok
gna issue913: ok
gna issue152: ok
gna issue916: ok
gna issue1523: ok
gna issue917: ok
gna issue918: ok
gna issue1528: ok
gna issue922: ok
gna issue961: ok
gna issue98: ok
gna issue983: ok
gna issue984: ok
gna issue99: ok
gna issue1549: ok
gna issue155: ok
gna lsp27: ok
gna issue156: ok
gna issue158: ok
gna issue1588: ok
gna issue1589: ok
gna issue1593: ok
gna issue1597: ok
gna issue160: ok
gna issue1612: ok
gna issue1623: ok
gna issue1624: ok
gna issue1625: ok
gna bug037: ok
gna issue163: ok
gna bug039: ok
gna issue1631: ok
gna bug04: ok
gna issue1637: ok
gna issue1639: ok
gna issue1640: ok
gna issue1646: ok
gna issue1654: ok
gna issue1655: ok
gna issue1657: ok
gna issue1664: ok
gna issue1667: ok
gna issue167: ok
gna issue1672: ok
gna issue1677: ok
gna issue1684: ok
gna issue1687: ok
gna issue1688: ok
gna issue1689: ok
gna issue1690: ok
gna issue1691: ok
gna issue1697: ok
gna issue17: ok
gna issue1700: ok
gna issue1704: ok
gna issue1708: ok
gna issue1715: ok
gna issue1717: ok
gna issue1718: ok
gna issue1721: ok
gna issue1723: ok
gna issue1724: ok
gna issue1726: ok
gna issue1727: ok
gna issue1736: ok
gna issue1751: ok
gna issue30: ok
gna issue1757: ok
gna issue300: ok
gna issue1759: ok
gna issue176: ok
gna issue1764: ok
gna issue1765: ok
gna issue1768: ok
gna issue1771: ok
gna issue1772: ok
gna issue1779: ok
gna issue18: ok
gna issue1810: ok
gna issue301: ok
gna issue1814: ok
gna issue309: ok
gna issue1818: ok
gna issue310: ok
gna issue312: ok
gna issue314: ok
gna issue316: ok
gna issue1820: ok
gna issue1823: ok
gna issue1824: ok
gna issue1831: ok
gna issue1832: ok
gna issue1833: ok
gna issue1834: ok
gna issue1836: ok
gna issue1837: ok
gna issue1843: ok
gna issue1844: ok
gna issue1857: ok
gna issue1862: ok
gna issue1864: ok
gna issue1867: ok
gna issue1872: ok
gna issue1875: ok
gna issue1876: ok
gna issue1881: ok
gna issue1883: ok
gna issue1894: ok
gna issue317: ok
gna issue320: ok
gna issue321: ok
gna issue326: ok
gna issue328: ok
gna issue332: ok
gna issue333: ok
gna issue349: ok
gna issue351: ok
gna issue356: ok
gna issue361: ok
gna issue367: ok
gna issue368: ok
gna issue369: ok
gna issue370: ok
gna issue371: ok
gna issue372: ok
gna issue373: ok
gna issue374: ok
gna issue375: ok
gna issue376: ok
gna issue379: ok
gna issue38: ok
gna issue381: ok
gna issue382: ok
gna issue384: ok
gna issue387: ok
gna issue388: ok
gna issue389: ok
gna issue392: ok
gna issue394: ok
gna issue395: ok
gna issue397: ok
gna issue40: ok
gna issue400: ok
gna issue406: ok
gna issue407: ok
gna issue413: ok
gna issue418: ok
gna issue419: ok
gna issue42: ok
gna issue424: ok
gna issue43: ok
gna issue439: ok
gna issue44: ok
gna issue440: ok
gna issue441: ok
gna issue447: ok
gna issue449: ok
gna issue45: ok
gna issue450: ok
gna issue451: ok
gna issue456: ok
gna issue458: ok
gna issue459: ok
gna issue461: ok
gna issue465: ok
gna issue467: ok
gna issue470: ok
gna issue471: ok
gna issue472: ok
gna issue473: ok
gna issue474: ok
gna issue476: ok
gna issue478: ok
gna perf02-long: ok
gna sr2553: ok
gna sr2655: ok
gna sr2676: ok
gna sr2737: ok
gna sr2903: ok
gna sr2940: ok
gna sr3028: ok
gna sr3217: ok
gna ticket101: ok
gna ticket104: ok
gna ticket109: ok
gna ticket11: ok
gna ticket14: ok
gna ticket15: ok
gna ticket18: ok
gna ticket19: ok
gna ticket20: ok
gna ticket24: ok
gna ticket26: ok
gna issue50: ok
gna issue516: ok
gna issue520: ok
gna issue521: ok
gna ticket29: ok
gna ticket30: ok
gna ticket31: ok
gna issue522: ok
gna issue524: ok
gna issue525: ok
gna issue529: ok
gna ticket32: ok
gna ticket35: ok
gna issue530: ok
gna issue531: ok
gna issue535: ok
gna issue542: ok
gna ticket37: ok
gna issue543: ok
gna ticket38: ok
gna issue544: ok
gna ticket39: ok
gna ticket40: ok
gna ticket41: ok
gna issue546: ok
gna ticket43: ok
gna issue547: ok
gna bug040: ok
gna bug041: ok
gna ticket44: ok
gna ticket46: ok
gna issue552: ok
gna issue553: ok
gna issue558: ok
gna bug042: ok
gna issue559: ok
gna ticket47: ok
gna issue560: ok
gna bug043: ok
gna bug044: ok
gna issue561: ok
gna bug045: ok
gna issue563: ok
gna bug046: ok
gna ticket48: ok
gna bug047: ok
gna ticket49: ok
gna ticket50: ok
gna issue578: ok
gna bug048: ok
gna ticket51: ok
gna issue584: ok
gna ticket52: ok
gna issue586: ok
gna bug049: ok
gna ticket53: ok
gna issue598: ok
gna bug05: ok
gna ticket54: ok
gna issue606: ok
gna ticket55: ok
gna issue609: ok
gna ticket56: ok
gna ticket57: ok
gna bug050: ok
gna ticket58: ok
gna ticket59: ok
gna bug051: ok
gna issue610: ok
gna issue613: ok
gna ticket61: ok
gna issue615: ok
gna bug052: ok
gna ticket62: ok
gna ticket63: ok
gna issue616: ok
gna ticket64: ok
gna bug053: ok
gna issue618: ok
gna bug054: ok
gna bug055: ok
gna issue620: ok
gna ticket65: ok
gna issue621: ok
gna bug056: ok
gna issue623: ok
gna bug057: ok
gna issue626: ok
gna issue627: ok
gna ticket66: ok
gna issue630: ok
gna issue631: ok
gna issue632: ok
gna ticket67: ok
gna issue634: ok
gna bug058: ok
gna bug059: ok
gna ticket68: ok
gna bug06: ok
gna ticket69: ok
gna ticket70: ok
gna bug060: ok
gna ticket71: ok
gna ticket73: ok
gna ticket74: ok
gna ticket75: ok
gna ticket76: ok
gna bug061: ok
gna issue635: ok
gna issue636: ok
gna issue637: ok
gna ticket77: ok
gna ticket78: ok
gna bug062: ok
gna ticket79: ok
gna bug063: ok
gna bug064: ok
gna bug065: ok
gna ticket81: ok
gna bug066: ok
gna bug067: ok
gna ticket83: ok
gna bug069: ok
gna ticket84: ok
gna bug07: ok
gna ticket86: ok
gna bug072: ok
gna bug073: ok
gna ticket87: ok
gna ticket88: ok
gna bug074: ok
gna bug075: ok
gna ticket9: ok
gna ticket90: ok
gna ticket92: ok
gna ticket93: ok
gna bug077: ok
gna bug078: ok
gna bug079: ok
gna issue641: ok
gna ticket94: ok
gna ticket95: ok
gna issue642: ok
gna ticket96: ok
gna ticket97: ok
gna ticket98: ok
gna bug08: ok
gna bug080: ok
gna bug081: ok
gna bug082: ok
gna bug083: ok
gna bug084: ok
gna bug085: ok
gna bug086: ok
gna bug087: ok
gna bug088: ok
gna bug09: ok
gna bug090: ok
gna bug092: ok
gna bug093: ok
gna bug094: ok
gna bug096: ok
gna bug097: ok
gna bug098: ok
gna bug099: ok
gna bug14953: ok
gna bug15638: ok
gna bug15702: ok
gna bug15933: ok
gna bug15966: ok
gna bug15993: ok
gna bug16095: ok
gna bug16096: ok
gna bug16144: ok
gna bug16287: ok
gna bug16695: ok
gna bug16782: ok
gna bug17127: ok
gna bug17202: ok
gna bug17203: ok
gna bug17309: ok
gna bug17545: ok
gna bug17759: ok
gna bug18280: ok
gna bug18351: ok
gna bug18353: ok
gna bug18359: ok
gna bug18360: ok
gna bug18361: ok
gna bug18659: ok
gna bug18810: ok
gna bug19195: ok
gna bug20255: ok
gna bug20312: ok
gna bug20549: ok
gna bug20597: ok
gna bug20703: ok
gna bug20767: ok
gna bug20771: ok
gna bug21052: ok
gna bug21078: ok
gna bug21274: ok
gna bug21332: ok
gna bug21487: ok
gna bug21497: ok
gna bug21500: ok
gna bug21513: ok
gna bug22868: ok
gna bug23013: ok
gna bug23165: ok
gna bug23482: ok
gna bug24064: ok
gna bug24065: ok
gna bug24324: ok
gna bug24326: ok
gna bug7186: ok
gna bug7751: ok
gna deb573721: ok
gna deb585748: ok
gna deb585750: ok
gna gls7: ok
gna issue10: ok
gna issue1015: ok
gna issue103: ok
gna issue1038: ok
gna issue1055: ok
gna issue1057: ok
gna issue106: ok
gna issue1063: ok
gna issue1066: ok
gna issue1067: ok
gna issue107: ok
gna issue1120: ok
gna issue1123: ok
gna issue1125: ok
gna issue20: failed
analyze fp_write_read_issue.vhdl
elaborate and simulate fp_write_read_issue


gna issue1389: failed
analyze mwe.vhdl
elaborate and simulate mwe
./mwe:error: index (15232) out of bounds (0 to 128) at ../../src/ieee/math_real-body.vhdl:1163
in process .mwe(a).P0
./mwe:error: error during elaboration


gna issue874: failed
analyze crash.vhdl
elaborate and simulate testbench


gna issue339: failed
analyze test_pkg.vhdl test_bench.vhdl
elaborate test_bench
simulate test_bench --stop-time=700ns --wave=w.ghw ( test_bench --stop-time=700ns --wave=w.ghw)
./test_bench:info: simulation stopped by --stop-time @700ns
46,60c46,60
< #19: '0' (2)
< #20: '0' (2)
< #21: '0' (2)
< #22: '0' (2)
< #23: '0' (2)
< #24: '0' (2)
< #25: '0' (2)
< #26: '0' (2)
< #27: '0' (2)
< #28: '0' (2)
< #29: '0' (2)
< #30: '0' (2)
< #31: '0' (2)
< #32: '0' (2)
< #33: '0' (2)
---
> #19: '1' (3)
> #20: '1' (3)
> #21: '1' (3)
> #22: '1' (3)
> #23: '1' (3)
> #24: '1' (3)
> #25: '1' (3)
> #26: '1' (3)
> #27: '1' (3)
> #28: '1' (3)
> #29: '1' (3)
> #30: '1' (3)
> #31: '1' (3)
> #32: '1' (3)
> #33: '1' (3)
62c62
< #35: '0' (2)
---
> #35: '1' (3)
79c79
< #52: '0' (2)
---
> #52: '1' (3)
81c81
< #54: '0' (2)
---
> #54: '1' (3)
83c83
< #56: '0' (2)
---
> #56: '1' (3)
85c85
< #58: '0' (2)
---
> #58: '1' (3)
87c87
< #60: '0' (2)
---
> #60: '1' (3)
89c89
< #62: '0' (2)
---
> #62: '1' (3)
91,92c91,92
< #64: '0' (2)
< #65: '0' (2)
---
> #64: '1' (3)
> #65: '1' (3)
95c95
< #68: '0' (2)
---
> #68: '1' (3)
112,113c112,113
< #85: '0' (2)
< #86: '0' (2)
---
> #85: '1' (3)
> #86: '1' (3)
116,117c116,117
< #89: '0' (2)
< #90: '0' (2)
---
> #89: '1' (3)
> #90: '1' (3)
120,121c120,121
< #93: '0' (2)
< #94: '0' (2)
---
> #93: '1' (3)
> #94: '1' (3)
123c123
< #96: '0' (2)
---
> #96: '1' (3)
128c128
< #101: '0' (2)
---
> #101: '1' (3)
130c130
< #103: '0' (2)
---
> #103: '1' (3)
132c132
< #105: '0' (2)
---
> #105: '1' (3)
134c134
< #107: '0' (2)
---
> #107: '1' (3)
136c136
< #109: '0' (2)
---
> #109: '1' (3)
138c138
< #111: '0' (2)
---
> #111: '1' (3)
140c140
< #113: '0' (2)
---
> #113: '1' (3)
144c144
< #117: '0' (2)
---
> #117: '1' (3)
147c147
< #120: '0' (2)
---
> #120: '1' (3)
150c150
< #123: '0' (2)
---
> #123: '1' (3)
153c153
< #126: '0' (2)
---
> #126: '1' (3)
156c156
< #129: '0' (2)
---
> #129: '1' (3)
160c160
< #133: '0' (2)
---
> #133: '1' (3)
177,179c177,179
< #150: '0' (2)
< #151: '0' (2)
< #152: '0' (2)
---
> #150: '1' (3)
> #151: '1' (3)
> #152: '1' (3)
183,185c183,185
< #156: '0' (2)
< #157: '0' (2)
< #158: '0' (2)
---
> #156: '1' (3)
> #157: '1' (3)
> #158: '1' (3)
188c188
< #161: '0' (2)
---
> #161: '1' (3)
193,194c193,194
< #166: '0' (2)
< #167: '0' (2)
---
> #166: '1' (3)
> #167: '1' (3)
197,198c197,198
< #170: '0' (2)
< #171: '0' (2)
---
> #170: '1' (3)
> #171: '1' (3)
201,202c201,202
< #174: '0' (2)
< #175: '0' (2)
---
> #174: '1' (3)
> #175: '1' (3)
204c204
< #177: '0' (2)
---
> #177: '1' (3)
209c209
< #182: '0' (2)
---
> #182: '1' (3)
211,213c211,213
< #184: '0' (2)
< #185: '0' (2)
< #186: '0' (2)
---
> #184: '1' (3)
> #185: '1' (3)
> #186: '1' (3)
215c215
< #188: '0' (2)
---
> #188: '1' (3)
219,220c219,220
< #192: '0' (2)
< #193: '0' (2)
---
> #192: '1' (3)
> #193: '1' (3)
225c225
< #198: '0' (2)
---
> #198: '1' (3)
227c227
< #200: '0' (2)
---
> #200: '1' (3)
229c229
< #202: '0' (2)
---
> #202: '1' (3)
231c231
< #204: '0' (2)
---
> #204: '1' (3)
233c233
< #206: '0' (2)
---
> #206: '1' (3)
235,236c235,236
< #208: '0' (2)
< #209: '0' (2)
---
> #208: '1' (3)
> #209: '1' (3)
241c241
< #214: '0' (2)
---
> #214: '1' (3)
244,246c244,246
< #217: '0' (2)
< #218: '0' (2)
< #219: '0' (2)
---
> #217: '1' (3)
> #218: '1' (3)
> #219: '1' (3)
248,249c248,249
< #221: '0' (2)
< #222: '0' (2)
---
> #221: '1' (3)
> #222: '1' (3)
257c257
< #230: '0' (2)
---
> #230: '1' (3)
260c260
< #233: '0' (2)
---
> #233: '1' (3)
263c263
< #236: '0' (2)
---
> #236: '1' (3)
266c266
< #239: '0' (2)
---
> #239: '1' (3)
273c273
< #246: '0' (2)
---
> #246: '1' (3)
277c277
< #250: '0' (2)
---
> #250: '1' (3)
281c281
< #254: '0' (2)
---
> #254: '1' (3)
289c289
< #262: '0' (2)
---
> #262: '1' (3)
322,336c322,336
< #19: '0' (2)
< #20: '0' (2)
< #21: '0' (2)
< #22: '0' (2)
< #23: '0' (2)
< #24: '0' (2)
< #25: '0' (2)
< #26: '0' (2)
< #27: '0' (2)
< #28: '0' (2)
< #29: '0' (2)
< #30: '0' (2)
< #31: '0' (2)
< #32: '0' (2)
< #33: '0' (2)
---
> #19: '1' (3)
> #20: '1' (3)
> #21: '1' (3)
> #22: '1' (3)
> #23: '1' (3)
> #24: '1' (3)
> #25: '1' (3)
> #26: '1' (3)
> #27: '1' (3)
> #28: '1' (3)
> #29: '1' (3)
> #30: '1' (3)
> #31: '1' (3)
> #32: '1' (3)
> #33: '1' (3)
338c338
< #35: '0' (2)
---
> #35: '1' (3)
355c355
< #52: '0' (2)
---
> #52: '1' (3)
357c357
< #54: '0' (2)
---
> #54: '1' (3)
359c359
< #56: '0' (2)
---
> #56: '1' (3)
361c361
< #58: '0' (2)
---
> #58: '1' (3)
363c363
< #60: '0' (2)
---
> #60: '1' (3)
365c365
< #62: '0' (2)
---
> #62: '1' (3)
367,368c367,368
< #64: '0' (2)
< #65: '0' (2)
---
> #64: '1' (3)
> #65: '1' (3)
371c371
< #68: '0' (2)
---
> #68: '1' (3)
388,389c388,389
< #85: '0' (2)
< #86: '0' (2)
---
> #85: '1' (3)
> #86: '1' (3)
392,393c392,393
< #89: '0' (2)
< #90: '0' (2)
---
> #89: '1' (3)
> #90: '1' (3)
396,397c396,397
< #93: '0' (2)
< #94: '0' (2)
---
> #93: '1' (3)
> #94: '1' (3)
399c399
< #96: '0' (2)
---
> #96: '1' (3)
404c404
< #101: '0' (2)
---
> #101: '1' (3)
406c406
< #103: '0' (2)
---
> #103: '1' (3)
408c408
< #105: '0' (2)
---
> #105: '1' (3)
410c410
< #107: '0' (2)
---
> #107: '1' (3)
412c412
< #109: '0' (2)
---
> #109: '1' (3)
414c414
< #111: '0' (2)
---
> #111: '1' (3)
416c416
< #113: '0' (2)
---
> #113: '1' (3)
420c420
< #117: '0' (2)
---
> #117: '1' (3)
423c423
< #120: '0' (2)
---
> #120: '1' (3)
426c426
< #123: '0' (2)
---
> #123: '1' (3)
429c429
< #126: '0' (2)
---
> #126: '1' (3)
432c432
< #129: '0' (2)
---
> #129: '1' (3)
436c436
< #133: '0' (2)
---
> #133: '1' (3)
453,455c453,455
< #150: '0' (2)
< #151: '0' (2)
< #152: '0' (2)
---
> #150: '1' (3)
> #151: '1' (3)
> #152: '1' (3)
459,461c459,461
< #156: '0' (2)
< #157: '0' (2)
< #158: '0' (2)
---
> #156: '1' (3)
> #157: '1' (3)
> #158: '1' (3)
464c464
< #161: '0' (2)
---
> #161: '1' (3)
469,470c469,470
< #166: '0' (2)
< #167: '0' (2)
---
> #166: '1' (3)
> #167: '1' (3)
473,474c473,474
< #170: '0' (2)
< #171: '0' (2)
---
> #170: '1' (3)
> #171: '1' (3)
477,478c477,478
< #174: '0' (2)
< #175: '0' (2)
---
> #174: '1' (3)
> #175: '1' (3)
480c480
< #177: '0' (2)
---
> #177: '1' (3)
485c485
< #182: '0' (2)
---
> #182: '1' (3)
487,489c487,489
< #184: '0' (2)
< #185: '0' (2)
< #186: '0' (2)
---
> #184: '1' (3)
> #185: '1' (3)
> #186: '1' (3)
491c491
< #188: '0' (2)
---
> #188: '1' (3)
495,496c495,496
< #192: '0' (2)
< #193: '0' (2)
---
> #192: '1' (3)
> #193: '1' (3)
501c501
< #198: '0' (2)
---
> #198: '1' (3)
503c503
< #200: '0' (2)
---
> #200: '1' (3)
505c505
< #202: '0' (2)
---
> #202: '1' (3)
507c507
< #204: '0' (2)
---
> #204: '1' (3)
509c509
< #206: '0' (2)
---
> #206: '1' (3)
511,512c511,512
< #208: '0' (2)
< #209: '0' (2)
---
> #208: '1' (3)
> #209: '1' (3)
517c517
< #214: '0' (2)
---
> #214: '1' (3)
520,522c520,522
< #217: '0' (2)
< #218: '0' (2)
< #219: '0' (2)
---
> #217: '1' (3)
> #218: '1' (3)
> #219: '1' (3)
524,525c524,525
< #221: '0' (2)
< #222: '0' (2)
---
> #221: '1' (3)
> #222: '1' (3)
533c533
< #230: '0' (2)
---
> #230: '1' (3)
536c536
< #233: '0' (2)
---
> #233: '1' (3)
539c539
< #236: '0' (2)
---
> #236: '1' (3)
542c542
< #239: '0' (2)
---
> #239: '1' (3)
549c549
< #246: '0' (2)
---
> #246: '1' (3)
553c553
< #250: '0' (2)
---
> #250: '1' (3)
557c557
< #254: '0' (2)
---
> #254: '1' (3)
565c565
< #262: '0' (2)
---
> #262: '1' (3)
598,612c598,612
< #19: '0' (2)
< #20: '0' (2)
< #21: '0' (2)
< #22: '0' (2)
< #23: '0' (2)
< #24: '0' (2)
< #25: '0' (2)
< #26: '0' (2)
< #27: '0' (2)
< #28: '0' (2)
< #29: '0' (2)
< #30: '0' (2)
< #31: '0' (2)
< #32: '0' (2)
< #33: '0' (2)
---
> #19: '1' (3)
> #20: '1' (3)
> #21: '1' (3)
> #22: '1' (3)
> #23: '1' (3)
> #24: '1' (3)
> #25: '1' (3)
> #26: '1' (3)
> #27: '1' (3)
> #28: '1' (3)
> #29: '1' (3)
> #30: '1' (3)
> #31: '1' (3)
> #32: '1' (3)
> #33: '1' (3)
614c614
< #35: '0' (2)
---
> #35: '1' (3)
631c631
< #52: '0' (2)
---
> #52: '1' (3)
633c633
< #54: '0' (2)
---
> #54: '1' (3)
635c635
< #56: '0' (2)
---
> #56: '1' (3)
637c637
< #58: '0' (2)
---
> #58: '1' (3)
639c639
< #60: '0' (2)
---
> #60: '1' (3)
641c641
< #62: '0' (2)
---
> #62: '1' (3)
643,644c643,644
< #64: '0' (2)
< #65: '0' (2)
---
> #64: '1' (3)
> #65: '1' (3)
647c647
< #68: '0' (2)
---
> #68: '1' (3)
664,665c664,665
< #85: '0' (2)
< #86: '0' (2)
---
> #85: '1' (3)
> #86: '1' (3)
668,669c668,669
< #89: '0' (2)
< #90: '0' (2)
---
> #89: '1' (3)
> #90: '1' (3)
672,673c672,673
< #93: '0' (2)
< #94: '0' (2)
---
> #93: '1' (3)
> #94: '1' (3)
675c675
< #96: '0' (2)
---
> #96: '1' (3)
680c680
< #101: '0' (2)
---
> #101: '1' (3)
682c682
< #103: '0' (2)
---
> #103: '1' (3)
684c684
< #105: '0' (2)
---
> #105: '1' (3)
686c686
< #107: '0' (2)
---
> #107: '1' (3)
688c688
< #109: '0' (2)
---
> #109: '1' (3)
690c690
< #111: '0' (2)
---
> #111: '1' (3)
692c692
< #113: '0' (2)
---
> #113: '1' (3)
696c696
< #117: '0' (2)
---
> #117: '1' (3)
699c699
< #120: '0' (2)
---
> #120: '1' (3)
702c702
< #123: '0' (2)
---
> #123: '1' (3)
705c705
< #126: '0' (2)
---
> #126: '1' (3)
708c708
< #129: '0' (2)
---
> #129: '1' (3)
712c712
< #133: '0' (2)
---
> #133: '1' (3)
729,731c729,731
< #150: '0' (2)
< #151: '0' (2)
< #152: '0' (2)
---
> #150: '1' (3)
> #151: '1' (3)
> #152: '1' (3)
735,737c735,737
< #156: '0' (2)
< #157: '0' (2)
< #158: '0' (2)
---
> #156: '1' (3)
> #157: '1' (3)
> #158: '1' (3)
740c740
< #161: '0' (2)
---
> #161: '1' (3)
745,746c745,746
< #166: '0' (2)
< #167: '0' (2)
---
> #166: '1' (3)
> #167: '1' (3)
749,750c749,750
< #170: '0' (2)
< #171: '0' (2)
---
> #170: '1' (3)
> #171: '1' (3)
753,754c753,754
< #174: '0' (2)
< #175: '0' (2)
---
> #174: '1' (3)
> #175: '1' (3)
756c756
< #177: '0' (2)
---
> #177: '1' (3)
761c761
< #182: '0' (2)
---
> #182: '1' (3)
763,765c763,765
< #184: '0' (2)
< #185: '0' (2)
< #186: '0' (2)
---
> #184: '1' (3)
> #185: '1' (3)
> #186: '1' (3)
767c767
< #188: '0' (2)
---
> #188: '1' (3)
771,772c771,772
< #192: '0' (2)
< #193: '0' (2)
---
> #192: '1' (3)
> #193: '1' (3)
777c777
< #198: '0' (2)
---
> #198: '1' (3)
779c779
< #200: '0' (2)
---
> #200: '1' (3)
781c781
< #202: '0' (2)
---
> #202: '1' (3)
783c783
< #204: '0' (2)
---
> #204: '1' (3)
785c785
< #206: '0' (2)
---
> #206: '1' (3)
787,788c787,788
< #208: '0' (2)
< #209: '0' (2)
---
> #208: '1' (3)
> #209: '1' (3)
793c793
< #214: '0' (2)
---
> #214: '1' (3)
796,798c796,798
< #217: '0' (2)
< #218: '0' (2)
< #219: '0' (2)
---
> #217: '1' (3)
> #218: '1' (3)
> #219: '1' (3)
800,801c800,801
< #221: '0' (2)
< #222: '0' (2)
---
> #221: '1' (3)
> #222: '1' (3)
809c809
< #230: '0' (2)
---
> #230: '1' (3)
812c812
< #233: '0' (2)
---
> #233: '1' (3)
815c815
< #236: '0' (2)
---
> #236: '1' (3)
818c818
< #239: '0' (2)
---
> #239: '1' (3)
825c825
< #246: '0' (2)
---
> #246: '1' (3)
829c829
< #250: '0' (2)
---
> #250: '1' (3)
833c833
< #254: '0' (2)
---
> #254: '1' (3)
841c841
< #262: '0' (2)
---
> #262: '1' (3)
874,888c874,888
< #19: '0' (2)
< #20: '0' (2)
< #21: '0' (2)
< #22: '0' (2)
< #23: '0' (2)
< #24: '0' (2)
< #25: '0' (2)
< #26: '0' (2)
< #27: '0' (2)
< #28: '0' (2)
< #29: '0' (2)
< #30: '0' (2)
< #31: '0' (2)
< #32: '0' (2)
< #33: '0' (2)
---
> #19: '1' (3)
> #20: '1' (3)
> #21: '1' (3)
> #22: '1' (3)
> #23: '1' (3)
> #24: '1' (3)
> #25: '1' (3)
> #26: '1' (3)
> #27: '1' (3)
> #28: '1' (3)
> #29: '1' (3)
> #30: '1' (3)
> #31: '1' (3)
> #32: '1' (3)
> #33: '1' (3)
890c890
< #35: '0' (2)
---
> #35: '1' (3)
907c907
< #52: '0' (2)
---
> #52: '1' (3)
909c909
< #54: '0' (2)
---
> #54: '1' (3)
911c911
< #56: '0' (2)
---
> #56: '1' (3)
913c913
< #58: '0' (2)
---
> #58: '1' (3)
915c915
< #60: '0' (2)
---
> #60: '1' (3)
917c917
< #62: '0' (2)
---
> #62: '1' (3)
919,920c919,920
< #64: '0' (2)
< #65: '0' (2)
---
> #64: '1' (3)
> #65: '1' (3)
923c923
< #68: '0' (2)
---
> #68: '1' (3)
940,941c940,941
< #85: '0' (2)
< #86: '0' (2)
---
> #85: '1' (3)
> #86: '1' (3)
944,945c944,945
< #89: '0' (2)
< #90: '0' (2)
---
> #89: '1' (3)
> #90: '1' (3)
948,949c948,949
< #93: '0' (2)
< #94: '0' (2)
---
> #93: '1' (3)
> #94: '1' (3)
951c951
< #96: '0' (2)
---
> #96: '1' (3)
956c956
< #101: '0' (2)
---
> #101: '1' (3)
958c958
< #103: '0' (2)
---
> #103: '1' (3)
960c960
< #105: '0' (2)
---
> #105: '1' (3)
962c962
< #107: '0' (2)
---
> #107: '1' (3)
964c964
< #109: '0' (2)
---
> #109: '1' (3)
966c966
< #111: '0' (2)
---
> #111: '1' (3)
968c968
< #113: '0' (2)
---
> #113: '1' (3)
972c972
< #117: '0' (2)
---
> #117: '1' (3)
975c975
< #120: '0' (2)
---
> #120: '1' (3)
978c978
< #123: '0' (2)
---
> #123: '1' (3)
981c981
< #126: '0' (2)
---
> #126: '1' (3)
984c984
< #129: '0' (2)
---
> #129: '1' (3)
988c988
< #133: '0' (2)
---
> #133: '1' (3)
1005,1007c1005,1007
< #150: '0' (2)
< #151: '0' (2)
< #152: '0' (2)
---
> #150: '1' (3)
> #151: '1' (3)
> #152: '1' (3)
1011,1013c1011,1013
< #156: '0' (2)
< #157: '0' (2)
< #158: '0' (2)
---
> #156: '1' (3)
> #157: '1' (3)
> #158: '1' (3)
1016c1016
< #161: '0' (2)
---
> #161: '1' (3)
1021,1022c1021,1022
< #166: '0' (2)
< #167: '0' (2)
---
> #166: '1' (3)
> #167: '1' (3)
1025,1026c1025,1026
< #170: '0' (2)
< #171: '0' (2)
---
> #170: '1' (3)
> #171: '1' (3)
1029,1030c1029,1030
< #174: '0' (2)
< #175: '0' (2)
---
> #174: '1' (3)
> #175: '1' (3)
1032c1032
< #177: '0' (2)
---
> #177: '1' (3)
1037c1037
< #182: '0' (2)
---
> #182: '1' (3)
1039,1041c1039,1041
< #184: '0' (2)
< #185: '0' (2)
< #186: '0' (2)
---
> #184: '1' (3)
> #185: '1' (3)
> #186: '1' (3)
1043c1043
< #188: '0' (2)
---
> #188: '1' (3)
1047,1048c1047,1048
< #192: '0' (2)
< #193: '0' (2)
---
> #192: '1' (3)
> #193: '1' (3)
1053c1053
< #198: '0' (2)
---
> #198: '1' (3)
1055c1055
< #200: '0' (2)
---
> #200: '1' (3)
1057c1057
< #202: '0' (2)
---
> #202: '1' (3)
1059c1059
< #204: '0' (2)
---
> #204: '1' (3)
1061c1061
< #206: '0' (2)
---
> #206: '1' (3)
1063,1064c1063,1064
< #208: '0' (2)
< #209: '0' (2)
---
> #208: '1' (3)
> #209: '1' (3)
1069c1069
< #214: '0' (2)
---
> #214: '1' (3)
1072,1074c1072,1074
< #217: '0' (2)
< #218: '0' (2)
< #219: '0' (2)
---
> #217: '1' (3)
> #218: '1' (3)
> #219: '1' (3)
1076,1077c1076,1077
< #221: '0' (2)
< #222: '0' (2)
---
> #221: '1' (3)
> #222: '1' (3)
1085c1085
< #230: '0' (2)
---
> #230: '1' (3)
1088c1088
< #233: '0' (2)
---
> #233: '1' (3)
1091c1091
< #236: '0' (2)
---
> #236: '1' (3)
1094c1094
< #239: '0' (2)
---
> #239: '1' (3)
1101c1101
< #246: '0' (2)
---
> #246: '1' (3)
1105c1105
< #250: '0' (2)
---
> #250: '1' (3)
1109c1109
< #254: '0' (2)
---
> #254: '1' (3)
1117c1117
< #262: '0' (2)
---
> #262: '1' (3)
1150,1164c1150,1164
< #19: '0' (2)
< #20: '0' (2)
< #21: '0' (2)
< #22: '0' (2)
< #23: '0' (2)
< #24: '0' (2)
< #25: '0' (2)
< #26: '0' (2)
< #27: '0' (2)
< #28: '0' (2)
< #29: '0' (2)
< #30: '0' (2)
< #31: '0' (2)
< #32: '0' (2)
< #33: '0' (2)
---
> #19: '1' (3)
> #20: '1' (3)
> #21: '1' (3)
> #22: '1' (3)
> #23: '1' (3)
> #24: '1' (3)
> #25: '1' (3)
> #26: '1' (3)
> #27: '1' (3)
> #28: '1' (3)
> #29: '1' (3)
> #30: '1' (3)
> #31: '1' (3)
> #32: '1' (3)
> #33: '1' (3)
1166c1166
< #35: '0' (2)
---
> #35: '1' (3)
1183c1183
< #52: '0' (2)
---
> #52: '1' (3)
1185c1185
< #54: '0' (2)
---
> #54: '1' (3)
1187c1187
< #56: '0' (2)
---
> #56: '1' (3)
1189c1189
< #58: '0' (2)
---
> #58: '1' (3)
1191c1191
< #60: '0' (2)
---
> #60: '1' (3)
1193c1193
< #62: '0' (2)
---
> #62: '1' (3)
1195,1196c1195,1196
< #64: '0' (2)
< #65: '0' (2)
---
> #64: '1' (3)
> #65: '1' (3)
1199c1199
< #68: '0' (2)
---
> #68: '1' (3)
1216,1217c1216,1217
< #85: '0' (2)
< #86: '0' (2)
---
> #85: '1' (3)
> #86: '1' (3)
1220,1221c1220,1221
< #89: '0' (2)
< #90: '0' (2)
---
> #89: '1' (3)
> #90: '1' (3)
1224,1225c1224,1225
< #93: '0' (2)
< #94: '0' (2)
---
> #93: '1' (3)
> #94: '1' (3)
1227c1227
< #96: '0' (2)
---
> #96: '1' (3)
1232c1232
< #101: '0' (2)
---
> #101: '1' (3)
1234c1234
< #103: '0' (2)
---
> #103: '1' (3)
1236c1236
< #105: '0' (2)
---
> #105: '1' (3)
1238c1238
< #107: '0' (2)
---
> #107: '1' (3)
1240c1240
< #109: '0' (2)
---
> #109: '1' (3)
1242c1242
< #111: '0' (2)
---
> #111: '1' (3)
1244c1244
< #113: '0' (2)
---
> #113: '1' (3)
1248c1248
< #117: '0' (2)
---
> #117: '1' (3)
1251c1251
< #120: '0' (2)
---
> #120: '1' (3)
1254c1254
< #123: '0' (2)
---
> #123: '1' (3)
1257c1257
< #126: '0' (2)
---
> #126: '1' (3)
1260c1260
< #129: '0' (2)
---
> #129: '1' (3)
1264c1264
< #133: '0' (2)
---
> #133: '1' (3)
1281,1283c1281,1283
< #150: '0' (2)
< #151: '0' (2)
< #152: '0' (2)
---
> #150: '1' (3)
> #151: '1' (3)
> #152: '1' (3)
1287,1289c1287,1289
< #156: '0' (2)
< #157: '0' (2)
< #158: '0' (2)
---
> #156: '1' (3)
> #157: '1' (3)
> #158: '1' (3)
1292c1292
< #161: '0' (2)
---
> #161: '1' (3)
1297,1298c1297,1298
< #166: '0' (2)
< #167: '0' (2)
---
> #166: '1' (3)
> #167: '1' (3)
1301,1302c1301,1302
< #170: '0' (2)
< #171: '0' (2)
---
> #170: '1' (3)
> #171: '1' (3)
1305,1306c1305,1306
< #174: '0' (2)
< #175: '0' (2)
---
> #174: '1' (3)
> #175: '1' (3)
1308c1308
< #177: '0' (2)
---
> #177: '1' (3)
1313c1313
< #182: '0' (2)
---
> #182: '1' (3)
1315,1317c1315,1317
< #184: '0' (2)
< #185: '0' (2)
< #186: '0' (2)
---
> #184: '1' (3)
> #185: '1' (3)
> #186: '1' (3)
1319c1319
< #188: '0' (2)
---
> #188: '1' (3)
1323,1324c1323,1324
< #192: '0' (2)
< #193: '0' (2)
---
> #192: '1' (3)
> #193: '1' (3)
1329c1329
< #198: '0' (2)
---
> #198: '1' (3)
1331c1331
< #200: '0' (2)
---
> #200: '1' (3)
1333c1333
< #202: '0' (2)
---
> #202: '1' (3)
1335c1335
< #204: '0' (2)
---
> #204: '1' (3)
1337c1337
< #206: '0' (2)
---
> #206: '1' (3)
1339,1340c1339,1340
< #208: '0' (2)
< #209: '0' (2)
---
> #208: '1' (3)
> #209: '1' (3)
1345c1345
< #214: '0' (2)
---
> #214: '1' (3)
1348,1350c1348,1350
< #217: '0' (2)
< #218: '0' (2)
< #219: '0' (2)
---
> #217: '1' (3)
> #218: '1' (3)
> #219: '1' (3)
1352,1353c1352,1353
< #221: '0' (2)
< #222: '0' (2)
---
> #221: '1' (3)
> #222: '1' (3)
1361c1361
< #230: '0' (2)
---
> #230: '1' (3)
1364c1364
< #233: '0' (2)
---
> #233: '1' (3)
1367c1367
< #236: '0' (2)
---
> #236: '1' (3)
1370c1370
< #239: '0' (2)
---
> #239: '1' (3)
1377c1377
< #246: '0' (2)
---
> #246: '1' (3)
1381c1381
< #250: '0' (2)
---
> #250: '1' (3)
1385c1385
< #254: '0' (2)
---
> #254: '1' (3)
1393c1393
< #262: '0' (2)
---
> #262: '1' (3)
1426,1440c1426,1440
< #19: '0' (2)
< #20: '0' (2)
< #21: '0' (2)
< #22: '0' (2)
< #23: '0' (2)
< #24: '0' (2)
< #25: '0' (2)
< #26: '0' (2)
< #27: '0' (2)
< #28: '0' (2)
< #29: '0' (2)
< #30: '0' (2)
< #31: '0' (2)
< #32: '0' (2)
< #33: '0' (2)
---
> #19: '1' (3)
> #20: '1' (3)
> #21: '1' (3)
> #22: '1' (3)
> #23: '1' (3)
> #24: '1' (3)
> #25: '1' (3)
> #26: '1' (3)
> #27: '1' (3)
> #28: '1' (3)
> #29: '1' (3)
> #30: '1' (3)
> #31: '1' (3)
> #32: '1' (3)
> #33: '1' (3)
1442c1442
< #35: '0' (2)
---
> #35: '1' (3)
1459c1459
< #52: '0' (2)
---
> #52: '1' (3)
1461c1461
< #54: '0' (2)
---
> #54: '1' (3)
1463c1463
< #56: '0' (2)
---
> #56: '1' (3)
1465c1465
< #58: '0' (2)
---
> #58: '1' (3)
1467c1467
< #60: '0' (2)
---
> #60: '1' (3)
1469c1469
< #62: '0' (2)
---
> #62: '1' (3)
1471,1472c1471,1472
< #64: '0' (2)
< #65: '0' (2)
---
> #64: '1' (3)
> #65: '1' (3)
1475c1475
< #68: '0' (2)
---
> #68: '1' (3)
1492,1493c1492,1493
< #85: '0' (2)
< #86: '0' (2)
---
> #85: '1' (3)
> #86: '1' (3)
1496,1497c1496,1497
< #89: '0' (2)
< #90: '0' (2)
---
> #89: '1' (3)
> #90: '1' (3)
1500,1501c1500,1501
< #93: '0' (2)
< #94: '0' (2)
---
> #93: '1' (3)
> #94: '1' (3)
1503c1503
< #96: '0' (2)
---
> #96: '1' (3)
1508c1508
< #101: '0' (2)
---
> #101: '1' (3)
1510c1510
< #103: '0' (2)
---
> #103: '1' (3)
1512c1512
< #105: '0' (2)
---
> #105: '1' (3)
1514c1514
< #107: '0' (2)
---
> #107: '1' (3)
1516c1516
< #109: '0' (2)
---
> #109: '1' (3)
1518c1518
< #111: '0' (2)
---
> #111: '1' (3)
1520c1520
< #113: '0' (2)
---
> #113: '1' (3)
1524c1524
< #117: '0' (2)
---
> #117: '1' (3)
1527c1527
< #120: '0' (2)
---
> #120: '1' (3)
1530c1530
< #123: '0' (2)
---
> #123: '1' (3)
1533c1533
< #126: '0' (2)
---
> #126: '1' (3)
1536c1536
< #129: '0' (2)
---
> #129: '1' (3)
1540c1540
< #133: '0' (2)
---
> #133: '1' (3)
1557,1559c1557,1559
< #150: '0' (2)
< #151: '0' (2)
< #152: '0' (2)
---
> #150: '1' (3)
> #151: '1' (3)
> #152: '1' (3)
1563,1565c1563,1565
< #156: '0' (2)
< #157: '0' (2)
< #158: '0' (2)
---
> #156: '1' (3)
> #157: '1' (3)
> #158: '1' (3)
1568c1568
< #161: '0' (2)
---
> #161: '1' (3)
1573,1574c1573,1574
< #166: '0' (2)
< #167: '0' (2)
---
> #166: '1' (3)
> #167: '1' (3)
1577,1578c1577,1578
< #170: '0' (2)
< #171: '0' (2)
---
> #170: '1' (3)
> #171: '1' (3)
1581,1582c1581,1582
< #174: '0' (2)
< #175: '0' (2)
---
> #174: '1' (3)
> #175: '1' (3)
1584c1584
< #177: '0' (2)
---
> #177: '1' (3)
1589c1589
< #182: '0' (2)
---
> #182: '1' (3)
1591,1593c1591,1593
< #184: '0' (2)
< #185: '0' (2)
< #186: '0' (2)
---
> #184: '1' (3)
> #185: '1' (3)
> #186: '1' (3)
1595c1595
< #188: '0' (2)
---
> #188: '1' (3)
1599,1600c1599,1600
< #192: '0' (2)
< #193: '0' (2)
---
> #192: '1' (3)
> #193: '1' (3)
1605c1605
< #198: '0' (2)
---
> #198: '1' (3)
1607c1607
< #200: '0' (2)
---
> #200: '1' (3)
1609c1609
< #202: '0' (2)
---
> #202: '1' (3)
1611c1611
< #204: '0' (2)
---
> #204: '1' (3)
1613c1613
< #206: '0' (2)
---
> #206: '1' (3)
1615,1616c1615,1616
< #208: '0' (2)
< #209: '0' (2)
---
> #208: '1' (3)
> #209: '1' (3)
1621c1621
< #214: '0' (2)
---
> #214: '1' (3)
1624,1626c1624,1626
< #217: '0' (2)
< #218: '0' (2)
< #219: '0' (2)
---
> #217: '1' (3)
> #218: '1' (3)
> #219: '1' (3)
1628,1629c1628,1629
< #221: '0' (2)
< #222: '0' (2)
---
> #221: '1' (3)
> #222: '1' (3)
1637c1637
< #230: '0' (2)
---
> #230: '1' (3)
1640c1640
< #233: '0' (2)
---
> #233: '1' (3)
1643c1643
< #236: '0' (2)
---
> #236: '1' (3)
1646c1646
< #239: '0' (2)
---
> #239: '1' (3)
1653c1653
< #246: '0' (2)
---
> #246: '1' (3)
1657c1657
< #250: '0' (2)
---
> #250: '1' (3)
1661c1661
< #254: '0' (2)
---
> #254: '1' (3)
1669c1669
< #262: '0' (2)
---
> #262: '1' (3)
1702,1716c1702,1716
< #19: '0' (2)
< #20: '0' (2)
< #21: '0' (2)
< #22: '0' (2)
< #23: '0' (2)
< #24: '0' (2)
< #25: '0' (2)
< #26: '0' (2)
< #27: '0' (2)
< #28: '0' (2)
< #29: '0' (2)
< #30: '0' (2)
< #31: '0' (2)
< #32: '0' (2)
< #33: '0' (2)
---
> #19: '1' (3)
> #20: '1' (3)
> #21: '1' (3)
> #22: '1' (3)
> #23: '1' (3)
> #24: '1' (3)
> #25: '1' (3)
> #26: '1' (3)
> #27: '1' (3)
> #28: '1' (3)
> #29: '1' (3)
> #30: '1' (3)
> #31: '1' (3)
> #32: '1' (3)
> #33: '1' (3)
1718c1718
< #35: '0' (2)
---
> #35: '1' (3)
1735c1735
< #52: '0' (2)
---
> #52: '1' (3)
1737c1737
< #54: '0' (2)
---
> #54: '1' (3)
1739c1739
< #56: '0' (2)
---
> #56: '1' (3)
1741c1741
< #58: '0' (2)
---
> #58: '1' (3)
1743c1743
< #60: '0' (2)
---
> #60: '1' (3)
1745c1745
< #62: '0' (2)
---
> #62: '1' (3)
1747,1748c1747,1748
< #64: '0' (2)
< #65: '0' (2)
---
> #64: '1' (3)
> #65: '1' (3)
1751c1751
< #68: '0' (2)
---
> #68: '1' (3)
1768,1769c1768,1769
< #85: '0' (2)
< #86: '0' (2)
---
> #85: '1' (3)
> #86: '1' (3)
1772,1773c1772,1773
< #89: '0' (2)
< #90: '0' (2)
---
> #89: '1' (3)
> #90: '1' (3)
1776,1777c1776,1777
< #93: '0' (2)
< #94: '0' (2)
---
> #93: '1' (3)
> #94: '1' (3)
1779c1779
< #96: '0' (2)
---
> #96: '1' (3)
1784c1784
< #101: '0' (2)
---
> #101: '1' (3)
1786c1786
< #103: '0' (2)
---
> #103: '1' (3)
1788c1788
< #105: '0' (2)
---
> #105: '1' (3)
1790c1790
< #107: '0' (2)
---
> #107: '1' (3)
1792c1792
< #109: '0' (2)
---
> #109: '1' (3)
1794c1794
< #111: '0' (2)
---
> #111: '1' (3)
1796c1796
< #113: '0' (2)
---
> #113: '1' (3)
1800c1800
< #117: '0' (2)
---
> #117: '1' (3)
1803c1803
< #120: '0' (2)
---
> #120: '1' (3)
1806c1806
< #123: '0' (2)
---
> #123: '1' (3)
1809c1809
< #126: '0' (2)
---
> #126: '1' (3)
1812c1812
< #129: '0' (2)
---
> #129: '1' (3)
1816c1816
< #133: '0' (2)
---
> #133: '1' (3)
1833,1835c1833,1835
< #150: '0' (2)
< #151: '0' (2)
< #152: '0' (2)
---
> #150: '1' (3)
> #151: '1' (3)
> #152: '1' (3)
1839,1841c1839,1841
< #156: '0' (2)
< #157: '0' (2)
< #158: '0' (2)
---
> #156: '1' (3)
> #157: '1' (3)
> #158: '1' (3)
1844c1844
< #161: '0' (2)
---
> #161: '1' (3)
1849,1850c1849,1850
< #166: '0' (2)
< #167: '0' (2)
---
> #166: '1' (3)
> #167: '1' (3)
1853,1854c1853,1854
< #170: '0' (2)
< #171: '0' (2)
---
> #170: '1' (3)
> #171: '1' (3)
1857,1858c1857,1858
< #174: '0' (2)
< #175: '0' (2)
---
> #174: '1' (3)
> #175: '1' (3)
1860c1860
< #177: '0' (2)
---
> #177: '1' (3)
1865c1865
< #182: '0' (2)
---
> #182: '1' (3)
1867,1869c1867,1869
< #184: '0' (2)
< #185: '0' (2)
< #186: '0' (2)
---
> #184: '1' (3)
> #185: '1' (3)
> #186: '1' (3)
1871c1871
< #188: '0' (2)
---
> #188: '1' (3)
1875,1876c1875,1876
< #192: '0' (2)
< #193: '0' (2)
---
> #192: '1' (3)
> #193: '1' (3)
1881c1881
< #198: '0' (2)
---
> #198: '1' (3)
1883c1883
< #200: '0' (2)
---
> #200: '1' (3)
1885c1885
< #202: '0' (2)
---
> #202: '1' (3)
1887c1887
< #204: '0' (2)
---
> #204: '1' (3)
1889c1889
< #206: '0' (2)
---
> #206: '1' (3)
1891,1892c1891,1892
< #208: '0' (2)
< #209: '0' (2)
---
> #208: '1' (3)
> #209: '1' (3)
1897c1897
< #214: '0' (2)
---
> #214: '1' (3)
1900,1902c1900,1902
< #217: '0' (2)
< #218: '0' (2)
< #219: '0' (2)
---
> #217: '1' (3)
> #218: '1' (3)
> #219: '1' (3)
1904,1905c1904,1905
< #221: '0' (2)
< #222: '0' (2)
---
> #221: '1' (3)
> #222: '1' (3)
1913c1913
< #230: '0' (2)
---
> #230: '1' (3)
1916c1916
< #233: '0' (2)
---
> #233: '1' (3)
1919c1919
< #236: '0' (2)
---
> #236: '1' (3)
1922c1922
< #239: '0' (2)
---
> #239: '1' (3)
1929c1929
< #246: '0' (2)
---
> #246: '1' (3)
1933c1933
< #250: '0' (2)
---
> #250: '1' (3)
1937c1937
< #254: '0' (2)
---
> #254: '1' (3)
1945c1945
< #262: '0' (2)
---
> #262: '1' (3)
1978,1992c1978,1992
< #19: '0' (2)
< #20: '0' (2)
< #21: '0' (2)
< #22: '0' (2)
< #23: '0' (2)
< #24: '0' (2)
< #25: '0' (2)
< #26: '0' (2)
< #27: '0' (2)
< #28: '0' (2)
< #29: '0' (2)
< #30: '0' (2)
< #31: '0' (2)
< #32: '0' (2)
< #33: '0' (2)
---
> #19: '1' (3)
> #20: '1' (3)
> #21: '1' (3)
> #22: '1' (3)
> #23: '1' (3)
> #24: '1' (3)
> #25: '1' (3)
> #26: '1' (3)
> #27: '1' (3)
> #28: '1' (3)
> #29: '1' (3)
> #30: '1' (3)
> #31: '1' (3)
> #32: '1' (3)
> #33: '1' (3)
1994c1994
< #35: '0' (2)
---
> #35: '1' (3)
2011c2011
< #52: '0' (2)
---
> #52: '1' (3)
2013c2013
< #54: '0' (2)
---
> #54: '1' (3)
2015c2015
< #56: '0' (2)
---
> #56: '1' (3)
2017c2017
< #58: '0' (2)
---
> #58: '1' (3)
2019c2019
< #60: '0' (2)
---
> #60: '1' (3)
2021c2021
< #62: '0' (2)
---
> #62: '1' (3)
2023,2024c2023,2024
< #64: '0' (2)
< #65: '0' (2)
---
> #64: '1' (3)
> #65: '1' (3)
2027c2027
< #68: '0' (2)
---
> #68: '1' (3)
2044,2045c2044,2045
< #85: '0' (2)
< #86: '0' (2)
---
> #85: '1' (3)
> #86: '1' (3)
2048,2049c2048,2049
< #89: '0' (2)
< #90: '0' (2)
---
> #89: '1' (3)
> #90: '1' (3)
2052,2053c2052,2053
< #93: '0' (2)
< #94: '0' (2)
---
> #93: '1' (3)
> #94: '1' (3)
2055c2055
< #96: '0' (2)
---
> #96: '1' (3)
2060c2060
< #101: '0' (2)
---
> #101: '1' (3)
2062c2062
< #103: '0' (2)
---
> #103: '1' (3)
2064c2064
< #105: '0' (2)
---
> #105: '1' (3)
2066c2066
< #107: '0' (2)
---
> #107: '1' (3)
2068c2068
< #109: '0' (2)
---
> #109: '1' (3)
2070c2070
< #111: '0' (2)
---
> #111: '1' (3)
2072c2072
< #113: '0' (2)
---
> #113: '1' (3)
2076c2076
< #117: '0' (2)
---
> #117: '1' (3)
2079c2079
< #120: '0' (2)
---
> #120: '1' (3)
2082c2082
< #123: '0' (2)
---
> #123: '1' (3)
2085c2085
< #126: '0' (2)
---
> #126: '1' (3)
2088c2088
< #129: '0' (2)
---
> #129: '1' (3)
2092c2092
< #133: '0' (2)
---
> #133: '1' (3)
2109,2111c2109,2111
< #150: '0' (2)
< #151: '0' (2)
< #152: '0' (2)
---
> #150: '1' (3)
> #151: '1' (3)
> #152: '1' (3)
2115,2117c2115,2117
< #156: '0' (2)
< #157: '0' (2)
< #158: '0' (2)
---
> #156: '1' (3)
> #157: '1' (3)
> #158: '1' (3)
2120c2120
< #161: '0' (2)
---
> #161: '1' (3)
2125,2126c2125,2126
< #166: '0' (2)
< #167: '0' (2)
---
> #166: '1' (3)
> #167: '1' (3)
2129,2130c2129,2130
< #170: '0' (2)
< #171: '0' (2)
---
> #170: '1' (3)
> #171: '1' (3)
2133,2134c2133,2134
< #174: '0' (2)
< #175: '0' (2)
---
> #174: '1' (3)
> #175: '1' (3)
2136c2136
< #177: '0' (2)
---
> #177: '1' (3)
2141c2141
< #182: '0' (2)
---
> #182: '1' (3)
2143,2145c2143,2145
< #184: '0' (2)
< #185: '0' (2)
< #186: '0' (2)
---
> #184: '1' (3)
> #185: '1' (3)
> #186: '1' (3)
2147c2147
< #188: '0' (2)
---
> #188: '1' (3)
2151,2152c2151,2152
< #192: '0' (2)
< #193: '0' (2)
---
> #192: '1' (3)
> #193: '1' (3)
2157c2157
< #198: '0' (2)
---
> #198: '1' (3)
2159c2159
< #200: '0' (2)
---
> #200: '1' (3)
2161c2161
< #202: '0' (2)
---
> #202: '1' (3)
2163c2163
< #204: '0' (2)
---
> #204: '1' (3)
2165c2165
< #206: '0' (2)
---
> #206: '1' (3)
2167,2168c2167,2168
< #208: '0' (2)
< #209: '0' (2)
---
> #208: '1' (3)
> #209: '1' (3)
2173c2173
< #214: '0' (2)
---
> #214: '1' (3)
2176,2178c2176,2178
< #217: '0' (2)
< #218: '0' (2)
< #219: '0' (2)
---
> #217: '1' (3)
> #218: '1' (3)
> #219: '1' (3)
2180,2181c2180,2181
< #221: '0' (2)
< #222: '0' (2)
---
> #221: '1' (3)
> #222: '1' (3)
2189c2189
< #230: '0' (2)
---
> #230: '1' (3)
2192c2192
< #233: '0' (2)
---
> #233: '1' (3)
2195c2195
< #236: '0' (2)
---
> #236: '1' (3)
2198c2198
< #239: '0' (2)
---
> #239: '1' (3)
2205c2205
< #246: '0' (2)
---
> #246: '1' (3)
2209c2209
< #250: '0' (2)
---
> #250: '1' (3)
2213c2213
< #254: '0' (2)
---
> #254: '1' (3)
2221c2221
< #262: '0' (2)
---
> #262: '1' (3)
2254,2268c2254,2268
< #19: '0' (2)
< #20: '0' (2)
< #21: '0' (2)
< #22: '0' (2)
< #23: '0' (2)
< #24: '0' (2)
< #25: '0' (2)
< #26: '0' (2)
< #27: '0' (2)
< #28: '0' (2)
< #29: '0' (2)
< #30: '0' (2)
< #31: '0' (2)
< #32: '0' (2)
< #33: '0' (2)
---
> #19: '1' (3)
> #20: '1' (3)
> #21: '1' (3)
> #22: '1' (3)
> #23: '1' (3)
> #24: '1' (3)
> #25: '1' (3)
> #26: '1' (3)
> #27: '1' (3)
> #28: '1' (3)
> #29: '1' (3)
> #30: '1' (3)
> #31: '1' (3)
> #32: '1' (3)
> #33: '1' (3)
2270c2270
< #35: '0' (2)
---
> #35: '1' (3)
2287c2287
< #52: '0' (2)
---
> #52: '1' (3)
2289c2289
< #54: '0' (2)
---
> #54: '1' (3)
2291c2291
< #56: '0' (2)
---
> #56: '1' (3)
2293c2293
< #58: '0' (2)
---
> #58: '1' (3)
2295c2295
< #60: '0' (2)
---
> #60: '1' (3)
2297c2297
< #62: '0' (2)
---
> #62: '1' (3)
2299,2300c2299,2300
< #64: '0' (2)
< #65: '0' (2)
---
> #64: '1' (3)
> #65: '1' (3)
2303c2303
< #68: '0' (2)
---
> #68: '1' (3)
2320,2321c2320,2321
< #85: '0' (2)
< #86: '0' (2)
---
> #85: '1' (3)
> #86: '1' (3)
2324,2325c2324,2325
< #89: '0' (2)
< #90: '0' (2)
---
> #89: '1' (3)
> #90: '1' (3)
2328,2329c2328,2329
< #93: '0' (2)
< #94: '0' (2)
---
> #93: '1' (3)
> #94: '1' (3)
2331c2331
< #96: '0' (2)
---
> #96: '1' (3)
2336c2336
< #101: '0' (2)
---
> #101: '1' (3)
2338c2338
< #103: '0' (2)
---
> #103: '1' (3)
2340c2340
< #105: '0' (2)
---
> #105: '1' (3)
2342c2342
< #107: '0' (2)
---
> #107: '1' (3)
2344c2344
< #109: '0' (2)
---
> #109: '1' (3)
2346c2346
< #111: '0' (2)
---
> #111: '1' (3)
2348c2348
< #113: '0' (2)
---
> #113: '1' (3)
2352c2352
< #117: '0' (2)
---
> #117: '1' (3)
2355c2355
< #120: '0' (2)
---
> #120: '1' (3)
2358c2358
< #123: '0' (2)
---
> #123: '1' (3)
2361c2361
< #126: '0' (2)
---
> #126: '1' (3)
2364c2364
< #129: '0' (2)
---
> #129: '1' (3)
2368c2368
< #133: '0' (2)
---
> #133: '1' (3)
2385,2387c2385,2387
< #150: '0' (2)
< #151: '0' (2)
< #152: '0' (2)
---
> #150: '1' (3)
> #151: '1' (3)
> #152: '1' (3)
2391,2393c2391,2393
< #156: '0' (2)
< #157: '0' (2)
< #158: '0' (2)
---
> #156: '1' (3)
> #157: '1' (3)
> #158: '1' (3)
2396c2396
< #161: '0' (2)
---
> #161: '1' (3)
2401,2402c2401,2402
< #166: '0' (2)
< #167: '0' (2)
---
> #166: '1' (3)
> #167: '1' (3)
2405,2406c2405,2406
< #170: '0' (2)
< #171: '0' (2)
---
> #170: '1' (3)
> #171: '1' (3)
2409,2410c2409,2410
< #174: '0' (2)
< #175: '0' (2)
---
> #174: '1' (3)
> #175: '1' (3)
2412c2412
< #177: '0' (2)
---
> #177: '1' (3)
2417c2417
< #182: '0' (2)
---
> #182: '1' (3)
2419,2421c2419,2421
< #184: '0' (2)
< #185: '0' (2)
< #186: '0' (2)
---
> #184: '1' (3)
> #185: '1' (3)
> #186: '1' (3)
2423c2423
< #188: '0' (2)
---
> #188: '1' (3)
2427,2428c2427,2428
< #192: '0' (2)
< #193: '0' (2)
---
> #192: '1' (3)
> #193: '1' (3)
2433c2433
< #198: '0' (2)
---
> #198: '1' (3)
2435c2435
< #200: '0' (2)
---
> #200: '1' (3)
2437c2437
< #202: '0' (2)
---
> #202: '1' (3)
2439c2439
< #204: '0' (2)
---
> #204: '1' (3)
2441c2441
< #206: '0' (2)
---
> #206: '1' (3)
2443,2444c2443,2444
< #208: '0' (2)
< #209: '0' (2)
---
> #208: '1' (3)
> #209: '1' (3)
2449c2449
< #214: '0' (2)
---
> #214: '1' (3)
2452,2454c2452,2454
< #217: '0' (2)
< #218: '0' (2)
< #219: '0' (2)
---
> #217: '1' (3)
> #218: '1' (3)
> #219: '1' (3)
2456,2457c2456,2457
< #221: '0' (2)
< #222: '0' (2)
---
> #221: '1' (3)
> #222: '1' (3)
2465c2465
< #230: '0' (2)
---
> #230: '1' (3)
2468c2468
< #233: '0' (2)
---
> #233: '1' (3)
2471c2471
< #236: '0' (2)
---
> #236: '1' (3)
2474c2474
< #239: '0' (2)
---
> #239: '1' (3)
2481c2481
< #246: '0' (2)
---
> #246: '1' (3)
2485c2485
< #250: '0' (2)
---
> #250: '1' (3)
2489c2489
< #254: '0' (2)
---
> #254: '1' (3)
2497c2497
< #262: '0' (2)
---
> #262: '1' (3)
2530,2544c2530,2544
< #19: '0' (2)
< #20: '0' (2)
< #21: '0' (2)
< #22: '0' (2)
< #23: '0' (2)
< #24: '0' (2)
< #25: '0' (2)
< #26: '0' (2)
< #27: '0' (2)
< #28: '0' (2)
< #29: '0' (2)
< #30: '0' (2)
< #31: '0' (2)
< #32: '0' (2)
< #33: '0' (2)
---
> #19: '1' (3)
> #20: '1' (3)
> #21: '1' (3)
> #22: '1' (3)
> #23: '1' (3)
> #24: '1' (3)
> #25: '1' (3)
> #26: '1' (3)
> #27: '1' (3)
> #28: '1' (3)
> #29: '1' (3)
> #30: '1' (3)
> #31: '1' (3)
> #32: '1' (3)
> #33: '1' (3)
2546c2546
< #35: '0' (2)
---
> #35: '1' (3)
2563c2563
< #52: '0' (2)
---
> #52: '1' (3)
2565c2565
< #54: '0' (2)
---
> #54: '1' (3)
2567c2567
< #56: '0' (2)
---
> #56: '1' (3)
2569c2569
< #58: '0' (2)
---
> #58: '1' (3)
2571c2571
< #60: '0' (2)
---
> #60: '1' (3)
2573c2573
< #62: '0' (2)
---
> #62: '1' (3)
2575,2576c2575,2576
< #64: '0' (2)
< #65: '0' (2)
---
> #64: '1' (3)
> #65: '1' (3)
2579c2579
< #68: '0' (2)
---
> #68: '1' (3)
2596,2597c2596,2597
< #85: '0' (2)
< #86: '0' (2)
---
> #85: '1' (3)
> #86: '1' (3)
2600,2601c2600,2601
< #89: '0' (2)
< #90: '0' (2)
---
> #89: '1' (3)
> #90: '1' (3)
2604,2605c2604,2605
< #93: '0' (2)
< #94: '0' (2)
---
> #93: '1' (3)
> #94: '1' (3)
2607c2607
< #96: '0' (2)
---
> #96: '1' (3)
2612c2612
< #101: '0' (2)
---
> #101: '1' (3)
2614c2614
< #103: '0' (2)
---
> #103: '1' (3)
2616c2616
< #105: '0' (2)
---
> #105: '1' (3)
2618c2618
< #107: '0' (2)
---
> #107: '1' (3)
2620c2620
< #109: '0' (2)
---
> #109: '1' (3)
2622c2622
< #111: '0' (2)
---
> #111: '1' (3)
2624c2624
< #113: '0' (2)
---
> #113: '1' (3)
2628c2628
< #117: '0' (2)
---
> #117: '1' (3)
2631c2631
< #120: '0' (2)
---
> #120: '1' (3)
2634c2634
< #123: '0' (2)
---
> #123: '1' (3)
2637c2637
< #126: '0' (2)
---
> #126: '1' (3)
2640c2640
< #129: '0' (2)
---
> #129: '1' (3)
2644c2644
< #133: '0' (2)
---
> #133: '1' (3)
2661,2663c2661,2663
< #150: '0' (2)
< #151: '0' (2)
< #152: '0' (2)
---
> #150: '1' (3)
> #151: '1' (3)
> #152: '1' (3)
2667,2669c2667,2669
< #156: '0' (2)
< #157: '0' (2)
< #158: '0' (2)
---
> #156: '1' (3)
> #157: '1' (3)
> #158: '1' (3)
2672c2672
< #161: '0' (2)
---
> #161: '1' (3)
2677,2678c2677,2678
< #166: '0' (2)
< #167: '0' (2)
---
> #166: '1' (3)
> #167: '1' (3)
2681,2682c2681,2682
< #170: '0' (2)
< #171: '0' (2)
---
> #170: '1' (3)
> #171: '1' (3)
2685,2686c2685,2686
< #174: '0' (2)
< #175: '0' (2)
---
> #174: '1' (3)
> #175: '1' (3)
2688c2688
< #177: '0' (2)
---
> #177: '1' (3)
2693c2693
< #182: '0' (2)
---
> #182: '1' (3)
2695,2697c2695,2697
< #184: '0' (2)
< #185: '0' (2)
< #186: '0' (2)
---
> #184: '1' (3)
> #185: '1' (3)
> #186: '1' (3)
2699c2699
< #188: '0' (2)
---
> #188: '1' (3)
2703,2704c2703,2704
< #192: '0' (2)
< #193: '0' (2)
---
> #192: '1' (3)
> #193: '1' (3)
2709c2709
< #198: '0' (2)
---
> #198: '1' (3)
2711c2711
< #200: '0' (2)
---
> #200: '1' (3)
2713c2713
< #202: '0' (2)
---
> #202: '1' (3)
2715c2715
< #204: '0' (2)
---
> #204: '1' (3)
2717c2717
< #206: '0' (2)
---
> #206: '1' (3)
2719,2720c2719,2720
< #208: '0' (2)
< #209: '0' (2)
---
> #208: '1' (3)
> #209: '1' (3)
2725c2725
< #214: '0' (2)
---
> #214: '1' (3)
2728,2730c2728,2730
< #217: '0' (2)
< #218: '0' (2)
< #219: '0' (2)
---
> #217: '1' (3)
> #218: '1' (3)
> #219: '1' (3)
2732,2733c2732,2733
< #221: '0' (2)
< #222: '0' (2)
---
> #221: '1' (3)
> #222: '1' (3)
2741c2741
< #230: '0' (2)
---
> #230: '1' (3)
2744c2744
< #233: '0' (2)
---
> #233: '1' (3)
2747c2747
< #236: '0' (2)
---
> #236: '1' (3)
2750c2750
< #239: '0' (2)
---
> #239: '1' (3)
2757c2757
< #246: '0' (2)
---
> #246: '1' (3)
2761c2761
< #250: '0' (2)
---
> #250: '1' (3)
2765c2765
< #254: '0' (2)
---
> #254: '1' (3)
2773c2773
< #262: '0' (2)
---
> #262: '1' (3)
2806,2820c2806,2820
< #19: '0' (2)
< #20: '0' (2)
< #21: '0' (2)
< #22: '0' (2)
< #23: '0' (2)
< #24: '0' (2)
< #25: '0' (2)
< #26: '0' (2)
< #27: '0' (2)
< #28: '0' (2)
< #29: '0' (2)
< #30: '0' (2)
< #31: '0' (2)
< #32: '0' (2)
< #33: '0' (2)
---
> #19: '1' (3)
> #20: '1' (3)
> #21: '1' (3)
> #22: '1' (3)
> #23: '1' (3)
> #24: '1' (3)
> #25: '1' (3)
> #26: '1' (3)
> #27: '1' (3)
> #28: '1' (3)
> #29: '1' (3)
> #30: '1' (3)
> #31: '1' (3)
> #32: '1' (3)
> #33: '1' (3)
2822c2822
< #35: '0' (2)
---
> #35: '1' (3)
2839c2839
< #52: '0' (2)
---
> #52: '1' (3)
2841c2841
< #54: '0' (2)
---
> #54: '1' (3)
2843c2843
< #56: '0' (2)
---
> #56: '1' (3)
2845c2845
< #58: '0' (2)
---
> #58: '1' (3)
2847c2847
< #60: '0' (2)
---
> #60: '1' (3)
2849c2849
< #62: '0' (2)
---
> #62: '1' (3)
2851,2852c2851,2852
< #64: '0' (2)
< #65: '0' (2)
---
> #64: '1' (3)
> #65: '1' (3)
2855c2855
< #68: '0' (2)
---
> #68: '1' (3)
2872,2873c2872,2873
< #85: '0' (2)
< #86: '0' (2)
---
> #85: '1' (3)
> #86: '1' (3)
2876,2877c2876,2877
< #89: '0' (2)
< #90: '0' (2)
---
> #89: '1' (3)
> #90: '1' (3)
2880,2881c2880,2881
< #93: '0' (2)
< #94: '0' (2)
---
> #93: '1' (3)
> #94: '1' (3)
2883c2883
< #96: '0' (2)
---
> #96: '1' (3)
2888c2888
< #101: '0' (2)
---
> #101: '1' (3)
2890c2890
< #103: '0' (2)
---
> #103: '1' (3)
2892c2892
< #105: '0' (2)
---
> #105: '1' (3)
2894c2894
< #107: '0' (2)
---
> #107: '1' (3)
2896c2896
< #109: '0' (2)
---
> #109: '1' (3)
2898c2898
< #111: '0' (2)
---
> #111: '1' (3)
2900c2900
< #113: '0' (2)
---
> #113: '1' (3)
2904c2904
< #117: '0' (2)
---
> #117: '1' (3)
2907c2907
< #120: '0' (2)
---
> #120: '1' (3)
2910c2910
< #123: '0' (2)
---
> #123: '1' (3)
2913c2913
< #126: '0' (2)
---
> #126: '1' (3)
2916c2916
< #129: '0' (2)
---
> #129: '1' (3)
2920c2920
< #133: '0' (2)
---
> #133: '1' (3)
2937,2939c2937,2939
< #150: '0' (2)
< #151: '0' (2)
< #152: '0' (2)
---
> #150: '1' (3)
> #151: '1' (3)
> #152: '1' (3)
2943,2945c2943,2945
< #156: '0' (2)
< #157: '0' (2)
< #158: '0' (2)
---
> #156: '1' (3)
> #157: '1' (3)
> #158: '1' (3)
2948c2948
< #161: '0' (2)
---
> #161: '1' (3)
2953,2954c2953,2954
< #166: '0' (2)
< #167: '0' (2)
---
> #166: '1' (3)
> #167: '1' (3)
2957,2958c2957,2958
< #170: '0' (2)
< #171: '0' (2)
---
> #170: '1' (3)
> #171: '1' (3)
2961,2962c2961,2962
< #174: '0' (2)
< #175: '0' (2)
---
> #174: '1' (3)
> #175: '1' (3)
2964c2964
< #177: '0' (2)
---
> #177: '1' (3)
2969c2969
< #182: '0' (2)
---
> #182: '1' (3)
2971,2973c2971,2973
< #184: '0' (2)
< #185: '0' (2)
< #186: '0' (2)
---
> #184: '1' (3)
> #185: '1' (3)
> #186: '1' (3)
2975c2975
< #188: '0' (2)
---
> #188: '1' (3)
2979,2980c2979,2980
< #192: '0' (2)
< #193: '0' (2)
---
> #192: '1' (3)
> #193: '1' (3)
2985c2985
< #198: '0' (2)
---
> #198: '1' (3)
2987c2987
< #200: '0' (2)
---
> #200: '1' (3)
2989c2989
< #202: '0' (2)
---
> #202: '1' (3)
2991c2991
< #204: '0' (2)
---
> #204: '1' (3)
2993c2993
< #206: '0' (2)
---
> #206: '1' (3)
2995,2996c2995,2996
< #208: '0' (2)
< #209: '0' (2)
---
> #208: '1' (3)
> #209: '1' (3)
3001c3001
< #214: '0' (2)
---
> #214: '1' (3)
3004,3006c3004,3006
< #217: '0' (2)
< #218: '0' (2)
< #219: '0' (2)
---
> #217: '1' (3)
> #218: '1' (3)
> #219: '1' (3)
3008,3009c3008,3009
< #221: '0' (2)
< #222: '0' (2)
---
> #221: '1' (3)
> #222: '1' (3)
3017c3017
< #230: '0' (2)
---
> #230: '1' (3)
3020c3020
< #233: '0' (2)
---
> #233: '1' (3)
3023c3023
< #236: '0' (2)
---
> #236: '1' (3)
3026c3026
< #239: '0' (2)
---
> #239: '1' (3)
3033c3033
< #246: '0' (2)
---
> #246: '1' (3)
3037c3037
< #250: '0' (2)
---
> #250: '1' (3)
3041c3041
< #254: '0' (2)
---
> #254: '1' (3)
3049c3049
< #262: '0' (2)
---
> #262: '1' (3)
3082,3096c3082,3096
< #19: '0' (2)
< #20: '0' (2)
< #21: '0' (2)
< #22: '0' (2)
< #23: '0' (2)
< #24: '0' (2)
< #25: '0' (2)
< #26: '0' (2)
< #27: '0' (2)
< #28: '0' (2)
< #29: '0' (2)
< #30: '0' (2)
< #31: '0' (2)
< #32: '0' (2)
< #33: '0' (2)
---
> #19: '1' (3)
> #20: '1' (3)
> #21: '1' (3)
> #22: '1' (3)
> #23: '1' (3)
> #24: '1' (3)
> #25: '1' (3)
> #26: '1' (3)
> #27: '1' (3)
> #28: '1' (3)
> #29: '1' (3)
> #30: '1' (3)
> #31: '1' (3)
> #32: '1' (3)
> #33: '1' (3)
3098c3098
< #35: '0' (2)
---
> #35: '1' (3)
3115c3115
< #52: '0' (2)
---
> #52: '1' (3)
3117c3117
< #54: '0' (2)
---
> #54: '1' (3)
3119c3119
< #56: '0' (2)
---
> #56: '1' (3)
3121c3121
< #58: '0' (2)
---
> #58: '1' (3)
3123c3123
< #60: '0' (2)
---
> #60: '1' (3)
3125c3125
< #62: '0' (2)
---
> #62: '1' (3)
3127,3128c3127,3128
< #64: '0' (2)
< #65: '0' (2)
---
> #64: '1' (3)
> #65: '1' (3)
3131c3131
< #68: '0' (2)
---
> #68: '1' (3)
3148,3149c3148,3149
< #85: '0' (2)
< #86: '0' (2)
---
> #85: '1' (3)
> #86: '1' (3)
3152,3153c3152,3153
< #89: '0' (2)
< #90: '0' (2)
---
> #89: '1' (3)
> #90: '1' (3)
3156,3157c3156,3157
< #93: '0' (2)
< #94: '0' (2)
---
> #93: '1' (3)
> #94: '1' (3)
3159c3159
< #96: '0' (2)
---
> #96: '1' (3)
3164c3164
< #101: '0' (2)
---
> #101: '1' (3)
3166c3166
< #103: '0' (2)
---
> #103: '1' (3)
3168c3168
< #105: '0' (2)
---
> #105: '1' (3)
3170c3170
< #107: '0' (2)
---
> #107: '1' (3)
3172c3172
< #109: '0' (2)
---
> #109: '1' (3)
3174c3174
< #111: '0' (2)
---
> #111: '1' (3)
3176c3176
< #113: '0' (2)
---
> #113: '1' (3)
3180c3180
< #117: '0' (2)
---
> #117: '1' (3)
3183c3183
< #120: '0' (2)
---
> #120: '1' (3)
3186c3186
< #123: '0' (2)
---
> #123: '1' (3)
3189c3189
< #126: '0' (2)
---
> #126: '1' (3)
3192c3192
< #129: '0' (2)
---
> #129: '1' (3)
3196c3196
< #133: '0' (2)
---
> #133: '1' (3)
3213,3215c3213,3215
< #150: '0' (2)
< #151: '0' (2)
< #152: '0' (2)
---
> #150: '1' (3)
> #151: '1' (3)
> #152: '1' (3)
3219,3221c3219,3221
< #156: '0' (2)
< #157: '0' (2)
< #158: '0' (2)
---
> #156: '1' (3)
> #157: '1' (3)
> #158: '1' (3)
3224c3224
< #161: '0' (2)
---
> #161: '1' (3)
3229,3230c3229,3230
< #166: '0' (2)
< #167: '0' (2)
---
> #166: '1' (3)
> #167: '1' (3)
3233,3234c3233,3234
< #170: '0' (2)
< #171: '0' (2)
---
> #170: '1' (3)
> #171: '1' (3)
3237,3238c3237,3238
< #174: '0' (2)
< #175: '0' (2)
---
> #174: '1' (3)
> #175: '1' (3)
3240c3240
< #177: '0' (2)
---
> #177: '1' (3)
3245c3245
< #182: '0' (2)
---
> #182: '1' (3)
3247,3249c3247,3249
< #184: '0' (2)
< #185: '0' (2)
< #186: '0' (2)
---
> #184: '1' (3)
> #185: '1' (3)
> #186: '1' (3)
3251c3251
< #188: '0' (2)
---
> #188: '1' (3)
3255,3256c3255,3256
< #192: '0' (2)
< #193: '0' (2)
---
> #192: '1' (3)
> #193: '1' (3)
3261c3261
< #198: '0' (2)
---
> #198: '1' (3)
3263c3263
< #200: '0' (2)
---
> #200: '1' (3)
3265c3265
< #202: '0' (2)
---
> #202: '1' (3)
3267c3267
< #204: '0' (2)
---
> #204: '1' (3)
3269c3269
< #206: '0' (2)
---
> #206: '1' (3)
3271,3272c3271,3272
< #208: '0' (2)
< #209: '0' (2)
---
> #208: '1' (3)
> #209: '1' (3)
3277c3277
< #214: '0' (2)
---
> #214: '1' (3)
3280,3282c3280,3282
< #217: '0' (2)
< #218: '0' (2)
< #219: '0' (2)
---
> #217: '1' (3)
> #218: '1' (3)
> #219: '1' (3)
3284,3285c3284,3285
< #221: '0' (2)
< #222: '0' (2)
---
> #221: '1' (3)
> #222: '1' (3)
3293c3293
< #230: '0' (2)
---
> #230: '1' (3)
3296c3296
< #233: '0' (2)
---
> #233: '1' (3)
3299c3299
< #236: '0' (2)
---
> #236: '1' (3)
3302c3302
< #239: '0' (2)
---
> #239: '1' (3)
3309c3309
< #246: '0' (2)
---
> #246: '1' (3)
3313c3313
< #250: '0' (2)
---
> #250: '1' (3)
3317c3317
< #254: '0' (2)
---
> #254: '1' (3)
3325c3325
< #262: '0' (2)
---
> #262: '1' (3)
3358,3372c3358,3372
< #19: '0' (2)
< #20: '0' (2)
< #21: '0' (2)
< #22: '0' (2)
< #23: '0' (2)
< #24: '0' (2)
< #25: '0' (2)
< #26: '0' (2)
< #27: '0' (2)
< #28: '0' (2)
< #29: '0' (2)
< #30: '0' (2)
< #31: '0' (2)
< #32: '0' (2)
< #33: '0' (2)
---
> #19: '1' (3)
> #20: '1' (3)
> #21: '1' (3)
> #22: '1' (3)
> #23: '1' (3)
> #24: '1' (3)
> #25: '1' (3)
> #26: '1' (3)
> #27: '1' (3)
> #28: '1' (3)
> #29: '1' (3)
> #30: '1' (3)
> #31: '1' (3)
> #32: '1' (3)
> #33: '1' (3)
3374c3374
< #35: '0' (2)
---
> #35: '1' (3)
3391c3391
< #52: '0' (2)
---
> #52: '1' (3)
3393c3393
< #54: '0' (2)
---
> #54: '1' (3)
3395c3395
< #56: '0' (2)
---
> #56: '1' (3)
3397c3397
< #58: '0' (2)
---
> #58: '1' (3)
3399c3399
< #60: '0' (2)
---
> #60: '1' (3)
3401c3401
< #62: '0' (2)
---
> #62: '1' (3)
3403,3404c3403,3404
< #64: '0' (2)
< #65: '0' (2)
---
> #64: '1' (3)
> #65: '1' (3)
3407c3407
< #68: '0' (2)
---
> #68: '1' (3)
3424,3425c3424,3425
< #85: '0' (2)
< #86: '0' (2)
---
> #85: '1' (3)
> #86: '1' (3)
3428,3429c3428,3429
< #89: '0' (2)
< #90: '0' (2)
---
> #89: '1' (3)
> #90: '1' (3)
3432,3433c3432,3433
< #93: '0' (2)
< #94: '0' (2)
---
> #93: '1' (3)
> #94: '1' (3)
3435c3435
< #96: '0' (2)
---
> #96: '1' (3)
3440c3440
< #101: '0' (2)
---
> #101: '1' (3)
3442c3442
< #103: '0' (2)
---
> #103: '1' (3)
3444c3444
< #105: '0' (2)
---
> #105: '1' (3)
3446c3446
< #107: '0' (2)
---
> #107: '1' (3)
3448c3448
< #109: '0' (2)
---
> #109: '1' (3)
3450c3450
< #111: '0' (2)
---
> #111: '1' (3)
3452c3452
< #113: '0' (2)
---
> #113: '1' (3)
3456c3456
< #117: '0' (2)
---
> #117: '1' (3)
3459c3459
< #120: '0' (2)
---
> #120: '1' (3)
3462c3462
< #123: '0' (2)
---
> #123: '1' (3)
3465c3465
< #126: '0' (2)
---
> #126: '1' (3)
3468c3468
< #129: '0' (2)
---
> #129: '1' (3)
3472c3472
< #133: '0' (2)
---
> #133: '1' (3)
3489,3491c3489,3491
< #150: '0' (2)
< #151: '0' (2)
< #152: '0' (2)
---
> #150: '1' (3)
> #151: '1' (3)
> #152: '1' (3)
3495,3497c3495,3497
< #156: '0' (2)
< #157: '0' (2)
< #158: '0' (2)
---
> #156: '1' (3)
> #157: '1' (3)
> #158: '1' (3)
3500c3500
< #161: '0' (2)
---
> #161: '1' (3)
3505,3506c3505,3506
< #166: '0' (2)
< #167: '0' (2)
---
> #166: '1' (3)
> #167: '1' (3)
3509,3510c3509,3510
< #170: '0' (2)
< #171: '0' (2)
---
> #170: '1' (3)
> #171: '1' (3)
3513,3514c3513,3514
< #174: '0' (2)
< #175: '0' (2)
---
> #174: '1' (3)
> #175: '1' (3)
3516c3516
< #177: '0' (2)
---
> #177: '1' (3)
3521c3521
< #182: '0' (2)
---
> #182: '1' (3)
3523,3525c3523,3525
< #184: '0' (2)
< #185: '0' (2)
< #186: '0' (2)
---
> #184: '1' (3)
> #185: '1' (3)
> #186: '1' (3)
3527c3527
< #188: '0' (2)
---
> #188: '1' (3)
3531,3532c3531,3532
< #192: '0' (2)
< #193: '0' (2)
---
> #192: '1' (3)
> #193: '1' (3)
3537c3537
< #198: '0' (2)
---
> #198: '1' (3)
3539c3539
< #200: '0' (2)
---
> #200: '1' (3)
3541c3541
< #202: '0' (2)
---
> #202: '1' (3)
3543c3543
< #204: '0' (2)
---
> #204: '1' (3)
3545c3545
< #206: '0' (2)
---
> #206: '1' (3)
3547,3548c3547,3548
< #208: '0' (2)
< #209: '0' (2)
---
> #208: '1' (3)
> #209: '1' (3)
3553c3553
< #214: '0' (2)
---
> #214: '1' (3)
3556,3558c3556,3558
< #217: '0' (2)
< #218: '0' (2)
< #219: '0' (2)
---
> #217: '1' (3)
> #218: '1' (3)
> #219: '1' (3)
3560,3561c3560,3561
< #221: '0' (2)
< #222: '0' (2)
---
> #221: '1' (3)
> #222: '1' (3)
3569c3569
< #230: '0' (2)
---
> #230: '1' (3)
3572c3572
< #233: '0' (2)
---
> #233: '1' (3)
3575c3575
< #236: '0' (2)
---
> #236: '1' (3)
3578c3578
< #239: '0' (2)
---
> #239: '1' (3)
3585c3585
< #246: '0' (2)
---
> #246: '1' (3)
3589c3589
< #250: '0' (2)
---
> #250: '1' (3)
3593c3593
< #254: '0' (2)
---
> #254: '1' (3)
3601c3601
< #262: '0' (2)
---
> #262: '1' (3)
3634,3648c3634,3648
< #19: '0' (2)
< #20: '0' (2)
< #21: '0' (2)
< #22: '0' (2)
< #23: '0' (2)
< #24: '0' (2)
< #25: '0' (2)
< #26: '0' (2)
< #27: '0' (2)
< #28: '0' (2)
< #29: '0' (2)
< #30: '0' (2)
< #31: '0' (2)
< #32: '0' (2)
< #33: '0' (2)
---
> #19: '1' (3)
> #20: '1' (3)
> #21: '1' (3)
> #22: '1' (3)
> #23: '1' (3)
> #24: '1' (3)
> #25: '1' (3)
> #26: '1' (3)
> #27: '1' (3)
> #28: '1' (3)
> #29: '1' (3)
> #30: '1' (3)
> #31: '1' (3)
> #32: '1' (3)
> #33: '1' (3)
3650c3650
< #35: '0' (2)
---
> #35: '1' (3)
3667c3667
< #52: '0' (2)
---
> #52: '1' (3)
3669c3669
< #54: '0' (2)
---
> #54: '1' (3)
3671c3671
< #56: '0' (2)
---
> #56: '1' (3)
3673c3673
< #58: '0' (2)
---
> #58: '1' (3)
3675c3675
< #60: '0' (2)
---
> #60: '1' (3)
3677c3677
< #62: '0' (2)
---
> #62: '1' (3)
3679,3680c3679,3680
< #64: '0' (2)
< #65: '0' (2)
---
> #64: '1' (3)
> #65: '1' (3)
3683c3683
< #68: '0' (2)
---
> #68: '1' (3)
3700,3701c3700,3701
< #85: '0' (2)
< #86: '0' (2)
---
> #85: '1' (3)
> #86: '1' (3)
3704,3705c3704,3705
< #89: '0' (2)
< #90: '0' (2)
---
> #89: '1' (3)
> #90: '1' (3)
3708,3709c3708,3709
< #93: '0' (2)
< #94: '0' (2)
---
> #93: '1' (3)
> #94: '1' (3)
3711c3711
< #96: '0' (2)
---
> #96: '1' (3)
3716c3716
< #101: '0' (2)
---
> #101: '1' (3)
3718c3718
< #103: '0' (2)
---
> #103: '1' (3)
3720c3720
< #105: '0' (2)
---
> #105: '1' (3)
3722c3722
< #107: '0' (2)
---
> #107: '1' (3)
3724c3724
< #109: '0' (2)
---
> #109: '1' (3)
3726c3726
< #111: '0' (2)
---
> #111: '1' (3)
3728c3728
< #113: '0' (2)
---
> #113: '1' (3)
3732c3732
< #117: '0' (2)
---
> #117: '1' (3)
3735c3735
< #120: '0' (2)
---
> #120: '1' (3)
3738c3738
< #123: '0' (2)
---
> #123: '1' (3)
3741c3741
< #126: '0' (2)
---
> #126: '1' (3)
3744c3744
< #129: '0' (2)
---
> #129: '1' (3)
3748c3748
< #133: '0' (2)
---
> #133: '1' (3)
3765,3767c3765,3767
< #150: '0' (2)
< #151: '0' (2)
< #152: '0' (2)
---
> #150: '1' (3)
> #151: '1' (3)
> #152: '1' (3)
3771,3773c3771,3773
< #156: '0' (2)
< #157: '0' (2)
< #158: '0' (2)
---
> #156: '1' (3)
> #157: '1' (3)
> #158: '1' (3)
3776c3776
< #161: '0' (2)
---
> #161: '1' (3)
3781,3782c3781,3782
< #166: '0' (2)
< #167: '0' (2)
---
> #166: '1' (3)
> #167: '1' (3)
3785,3786c3785,3786
< #170: '0' (2)
< #171: '0' (2)
---
> #170: '1' (3)
> #171: '1' (3)
3789,3790c3789,3790
< #174: '0' (2)
< #175: '0' (2)
---
> #174: '1' (3)
> #175: '1' (3)
3792c3792
< #177: '0' (2)
---
> #177: '1' (3)
3797c3797
< #182: '0' (2)
---
> #182: '1' (3)
3799,3801c3799,3801
< #184: '0' (2)
< #185: '0' (2)
< #186: '0' (2)
---
> #184: '1' (3)
> #185: '1' (3)
> #186: '1' (3)
3803c3803
< #188: '0' (2)
---
> #188: '1' (3)
3807,3808c3807,3808
< #192: '0' (2)
< #193: '0' (2)
---
> #192: '1' (3)
> #193: '1' (3)
3813c3813
< #198: '0' (2)
---
> #198: '1' (3)
3815c3815
< #200: '0' (2)
---
> #200: '1' (3)
3817c3817
< #202: '0' (2)
---
> #202: '1' (3)
3819c3819
< #204: '0' (2)
---
> #204: '1' (3)
3821c3821
< #206: '0' (2)
---
> #206: '1' (3)
3823,3824c3823,3824
< #208: '0' (2)
< #209: '0' (2)
---
> #208: '1' (3)
> #209: '1' (3)
3829c3829
< #214: '0' (2)
---
> #214: '1' (3)
3832,3834c3832,3834
< #217: '0' (2)
< #218: '0' (2)
< #219: '0' (2)
---
> #217: '1' (3)
> #218: '1' (3)
> #219: '1' (3)
3836,3837c3836,3837
< #221: '0' (2)
< #222: '0' (2)
---
> #221: '1' (3)
> #222: '1' (3)
3845c3845
< #230: '0' (2)
---
> #230: '1' (3)
3848c3848
< #233: '0' (2)
---
> #233: '1' (3)
3851c3851
< #236: '0' (2)
---
> #236: '1' (3)
3854c3854
< #239: '0' (2)
---
> #239: '1' (3)
3861c3861
< #246: '0' (2)
---
> #246: '1' (3)
3865c3865
< #250: '0' (2)
---
> #250: '1' (3)
3869c3869
< #254: '0' (2)
---
> #254: '1' (3)
3877c3877
< #262: '0' (2)
---
> #262: '1' (3)
3910,3924c3910,3924
< #19: '0' (2)
< #20: '0' (2)
< #21: '0' (2)
< #22: '0' (2)
< #23: '0' (2)
< #24: '0' (2)
< #25: '0' (2)
< #26: '0' (2)
< #27: '0' (2)
< #28: '0' (2)
< #29: '0' (2)
< #30: '0' (2)
< #31: '0' (2)
< #32: '0' (2)
< #33: '0' (2)
---
> #19: '1' (3)
> #20: '1' (3)
> #21: '1' (3)
> #22: '1' (3)
> #23: '1' (3)
> #24: '1' (3)
> #25: '1' (3)
> #26: '1' (3)
> #27: '1' (3)
> #28: '1' (3)
> #29: '1' (3)
> #30: '1' (3)
> #31: '1' (3)
> #32: '1' (3)
> #33: '1' (3)
3926c3926
< #35: '0' (2)
---
> #35: '1' (3)
3943c3943
< #52: '0' (2)
---
> #52: '1' (3)
3945c3945
< #54: '0' (2)
---
> #54: '1' (3)
3947c3947
< #56: '0' (2)
---
> #56: '1' (3)
3949c3949
< #58: '0' (2)
---
> #58: '1' (3)
3951c3951
< #60: '0' (2)
---
> #60: '1' (3)
3953c3953
< #62: '0' (2)
---
> #62: '1' (3)
3955,3956c3955,3956
< #64: '0' (2)
< #65: '0' (2)
---
> #64: '1' (3)
> #65: '1' (3)
3959c3959
< #68: '0' (2)
---
> #68: '1' (3)
3976,3977c3976,3977
< #85: '0' (2)
< #86: '0' (2)
---
> #85: '1' (3)
> #86: '1' (3)
3980,3981c3980,3981
< #89: '0' (2)
< #90: '0' (2)
---
> #89: '1' (3)
> #90: '1' (3)
3984,3985c3984,3985
< #93: '0' (2)
< #94: '0' (2)
---
> #93: '1' (3)
> #94: '1' (3)
3987c3987
< #96: '0' (2)
---
> #96: '1' (3)
3992c3992
< #101: '0' (2)
---
> #101: '1' (3)
3994c3994
< #103: '0' (2)
---
> #103: '1' (3)
3996c3996
< #105: '0' (2)
---
> #105: '1' (3)
3998c3998
< #107: '0' (2)
---
> #107: '1' (3)
4000c4000
< #109: '0' (2)
---
> #109: '1' (3)
4002c4002
< #111: '0' (2)
---
> #111: '1' (3)
4004c4004
< #113: '0' (2)
---
> #113: '1' (3)
4008c4008
< #117: '0' (2)
---
> #117: '1' (3)
4011c4011
< #120: '0' (2)
---
> #120: '1' (3)
4014c4014
< #123: '0' (2)
---
> #123: '1' (3)
4017c4017
< #126: '0' (2)
---
> #126: '1' (3)
4020c4020
< #129: '0' (2)
---
> #129: '1' (3)
4024c4024
< #133: '0' (2)
---
> #133: '1' (3)
4041,4043c4041,4043
< #150: '0' (2)
< #151: '0' (2)
< #152: '0' (2)
---
> #150: '1' (3)
> #151: '1' (3)
> #152: '1' (3)
4047,4049c4047,4049
< #156: '0' (2)
< #157: '0' (2)
< #158: '0' (2)
---
> #156: '1' (3)
> #157: '1' (3)
> #158: '1' (3)
4052c4052
< #161: '0' (2)
---
> #161: '1' (3)
4057,4058c4057,4058
< #166: '0' (2)
< #167: '0' (2)
---
> #166: '1' (3)
> #167: '1' (3)
4061,4062c4061,4062
< #170: '0' (2)
< #171: '0' (2)
---
> #170: '1' (3)
> #171: '1' (3)
4065,4066c4065,4066
< #174: '0' (2)
< #175: '0' (2)
---
> #174: '1' (3)
> #175: '1' (3)
4068c4068
< #177: '0' (2)
---
> #177: '1' (3)
4073c4073
< #182: '0' (2)
---
> #182: '1' (3)
4075,4077c4075,4077
< #184: '0' (2)
< #185: '0' (2)
< #186: '0' (2)
---
> #184: '1' (3)
> #185: '1' (3)
> #186: '1' (3)
4079c4079
< #188: '0' (2)
---
> #188: '1' (3)
4083,4084c4083,4084
< #192: '0' (2)
< #193: '0' (2)
---
> #192: '1' (3)
> #193: '1' (3)
4089c4089
< #198: '0' (2)
---
> #198: '1' (3)
4091c4091
< #200: '0' (2)
---
> #200: '1' (3)
4093c4093
< #202: '0' (2)
---
> #202: '1' (3)
4095c4095
< #204: '0' (2)
---
> #204: '1' (3)
4097c4097
< #206: '0' (2)
---
> #206: '1' (3)
4099,4100c4099,4100
< #208: '0' (2)
< #209: '0' (2)
---
> #208: '1' (3)
> #209: '1' (3)
4105c4105
< #214: '0' (2)
---
> #214: '1' (3)
4108,4110c4108,4110
< #217: '0' (2)
< #218: '0' (2)
< #219: '0' (2)
---
> #217: '1' (3)
> #218: '1' (3)
> #219: '1' (3)
4112,4113c4112,4113
< #221: '0' (2)
< #222: '0' (2)
---
> #221: '1' (3)
> #222: '1' (3)
4121c4121
< #230: '0' (2)
---
> #230: '1' (3)
4124c4124
< #233: '0' (2)
---
> #233: '1' (3)
4127c4127
< #236: '0' (2)
---
> #236: '1' (3)
4130c4130
< #239: '0' (2)
---
> #239: '1' (3)
4137c4137
< #246: '0' (2)
---
> #246: '1' (3)
4141c4141
< #250: '0' (2)
---
> #250: '1' (3)
4145c4145
< #254: '0' (2)
---
> #254: '1' (3)
4153c4153
< #262: '0' (2)
---
> #262: '1' (3)
4186,4200c4186,4200
< #19: '0' (2)
< #20: '0' (2)
< #21: '0' (2)
< #22: '0' (2)
< #23: '0' (2)
< #24: '0' (2)
< #25: '0' (2)
< #26: '0' (2)
< #27: '0' (2)
< #28: '0' (2)
< #29: '0' (2)
< #30: '0' (2)
< #31: '0' (2)
< #32: '0' (2)
< #33: '0' (2)
---
> #19: '1' (3)
> #20: '1' (3)
> #21: '1' (3)
> #22: '1' (3)
> #23: '1' (3)
> #24: '1' (3)
> #25: '1' (3)
> #26: '1' (3)
> #27: '1' (3)
> #28: '1' (3)
> #29: '1' (3)
> #30: '1' (3)
> #31: '1' (3)
> #32: '1' (3)
> #33: '1' (3)
4202c4202
< #35: '0' (2)
---
> #35: '1' (3)
4219c4219
< #52: '0' (2)
---
> #52: '1' (3)
4221c4221
< #54: '0' (2)
---
> #54: '1' (3)
4223c4223
< #56: '0' (2)
---
> #56: '1' (3)
4225c4225
< #58: '0' (2)
---
> #58: '1' (3)
4227c4227
< #60: '0' (2)
---
> #60: '1' (3)
4229c4229
< #62: '0' (2)
---
> #62: '1' (3)
4231,4232c4231,4232
< #64: '0' (2)
< #65: '0' (2)
---
> #64: '1' (3)
> #65: '1' (3)
4235c4235
< #68: '0' (2)
---
> #68: '1' (3)
4252,4253c4252,4253
< #85: '0' (2)
< #86: '0' (2)
---
> #85: '1' (3)
> #86: '1' (3)
4256,4257c4256,4257
< #89: '0' (2)
< #90: '0' (2)
---
> #89: '1' (3)
> #90: '1' (3)
4260,4261c4260,4261
< #93: '0' (2)
< #94: '0' (2)
---
> #93: '1' (3)
> #94: '1' (3)
4263c4263
< #96: '0' (2)
---
> #96: '1' (3)
4268c4268
< #101: '0' (2)
---
> #101: '1' (3)
4270c4270
< #103: '0' (2)
---
> #103: '1' (3)
4272c4272
< #105: '0' (2)
---
> #105: '1' (3)
4274c4274
< #107: '0' (2)
---
> #107: '1' (3)
4276c4276
< #109: '0' (2)
---
> #109: '1' (3)
4278c4278
< #111: '0' (2)
---
> #111: '1' (3)
4280c4280
< #113: '0' (2)
---
> #113: '1' (3)
4284c4284
< #117: '0' (2)
---
> #117: '1' (3)
4287c4287
< #120: '0' (2)
---
> #120: '1' (3)
4290c4290
< #123: '0' (2)
---
> #123: '1' (3)
4293c4293
< #126: '0' (2)
---
> #126: '1' (3)
4296c4296
< #129: '0' (2)
---
> #129: '1' (3)
4300c4300
< #133: '0' (2)
---
> #133: '1' (3)
4317,4319c4317,4319
< #150: '0' (2)
< #151: '0' (2)
< #152: '0' (2)
---
> #150: '1' (3)
> #151: '1' (3)
> #152: '1' (3)
4323,4325c4323,4325
< #156: '0' (2)
< #157: '0' (2)
< #158: '0' (2)
---
> #156: '1' (3)
> #157: '1' (3)
> #158: '1' (3)
4328c4328
< #161: '0' (2)
---
> #161: '1' (3)
4333,4334c4333,4334
< #166: '0' (2)
< #167: '0' (2)
---
> #166: '1' (3)
> #167: '1' (3)
4337,4338c4337,4338
< #170: '0' (2)
< #171: '0' (2)
---
> #170: '1' (3)
> #171: '1' (3)
4341,4342c4341,4342
< #174: '0' (2)
< #175: '0' (2)
---
> #174: '1' (3)
> #175: '1' (3)
4344c4344
< #177: '0' (2)
---
> #177: '1' (3)
4349c4349
< #182: '0' (2)
---
> #182: '1' (3)
4351,4353c4351,4353
< #184: '0' (2)
< #185: '0' (2)
< #186: '0' (2)
---
> #184: '1' (3)
> #185: '1' (3)
> #186: '1' (3)
4355c4355
< #188: '0' (2)
---
> #188: '1' (3)
4359,4360c4359,4360
< #192: '0' (2)
< #193: '0' (2)
---
> #192: '1' (3)
> #193: '1' (3)
4365c4365
< #198: '0' (2)
---
> #198: '1' (3)
4367c4367
< #200: '0' (2)
---
> #200: '1' (3)
4369c4369
< #202: '0' (2)
---
> #202: '1' (3)
4371c4371
< #204: '0' (2)
---
> #204: '1' (3)
4373c4373
< #206: '0' (2)
---
> #206: '1' (3)
4375,4376c4375,4376
< #208: '0' (2)
< #209: '0' (2)
---
> #208: '1' (3)
> #209: '1' (3)
4381c4381
< #214: '0' (2)
---
> #214: '1' (3)
4384,4386c4384,4386
< #217: '0' (2)
< #218: '0' (2)
< #219: '0' (2)
---
> #217: '1' (3)
> #218: '1' (3)
> #219: '1' (3)
4388,4389c4388,4389
< #221: '0' (2)
< #222: '0' (2)
---
> #221: '1' (3)
> #222: '1' (3)
4397c4397
< #230: '0' (2)
---
> #230: '1' (3)
4400c4400
< #233: '0' (2)
---
> #233: '1' (3)
4403c4403
< #236: '0' (2)
---
> #236: '1' (3)
4406c4406
< #239: '0' (2)
---
> #239: '1' (3)
4413c4413
< #246: '0' (2)
---
> #246: '1' (3)
4417c4417
< #250: '0' (2)
---
> #250: '1' (3)
4421c4421
< #254: '0' (2)
---
> #254: '1' (3)
4429c4429
< #262: '0' (2)
---
> #262: '1' (3)
4462,4476c4462,4476
< #19: '0' (2)
< #20: '0' (2)
< #21: '0' (2)
< #22: '0' (2)
< #23: '0' (2)
< #24: '0' (2)
< #25: '0' (2)
< #26: '0' (2)
< #27: '0' (2)
< #28: '0' (2)
< #29: '0' (2)
< #30: '0' (2)
< #31: '0' (2)
< #32: '0' (2)
< #33: '0' (2)
---
> #19: '1' (3)
> #20: '1' (3)
> #21: '1' (3)
> #22: '1' (3)
> #23: '1' (3)
> #24: '1' (3)
> #25: '1' (3)
> #26: '1' (3)
> #27: '1' (3)
> #28: '1' (3)
> #29: '1' (3)
> #30: '1' (3)
> #31: '1' (3)
> #32: '1' (3)
> #33: '1' (3)
4478c4478
< #35: '0' (2)
---
> #35: '1' (3)
4495c4495
< #52: '0' (2)
---
> #52: '1' (3)
4497c4497
< #54: '0' (2)
---
> #54: '1' (3)
4499c4499
< #56: '0' (2)
---
> #56: '1' (3)
4501c4501
< #58: '0' (2)
---
> #58: '1' (3)
4503c4503
< #60: '0' (2)
---
> #60: '1' (3)
4505c4505
< #62: '0' (2)
---
> #62: '1' (3)
4507,4508c4507,4508
< #64: '0' (2)
< #65: '0' (2)
---
> #64: '1' (3)
> #65: '1' (3)
4511c4511
< #68: '0' (2)
---
> #68: '1' (3)
4528,4529c4528,4529
< #85: '0' (2)
< #86: '0' (2)
---
> #85: '1' (3)
> #86: '1' (3)
4532,4533c4532,4533
< #89: '0' (2)
< #90: '0' (2)
---
> #89: '1' (3)
> #90: '1' (3)
4536,4537c4536,4537
< #93: '0' (2)
< #94: '0' (2)
---
> #93: '1' (3)
> #94: '1' (3)
4539c4539
< #96: '0' (2)
---
> #96: '1' (3)
4544c4544
< #101: '0' (2)
---
> #101: '1' (3)
4546c4546
< #103: '0' (2)
---
> #103: '1' (3)
4548c4548
< #105: '0' (2)
---
> #105: '1' (3)
4550c4550
< #107: '0' (2)
---
> #107: '1' (3)
4552c4552
< #109: '0' (2)
---
> #109: '1' (3)
4554c4554
< #111: '0' (2)
---
> #111: '1' (3)
4556c4556
< #113: '0' (2)
---
> #113: '1' (3)
4560c4560
< #117: '0' (2)
---
> #117: '1' (3)
4563c4563
< #120: '0' (2)
---
> #120: '1' (3)
4566c4566
< #123: '0' (2)
---
> #123: '1' (3)
4569c4569
< #126: '0' (2)
---
> #126: '1' (3)
4572c4572
< #129: '0' (2)
---
> #129: '1' (3)
4576c4576
< #133: '0' (2)
---
> #133: '1' (3)
4593,4595c4593,4595
< #150: '0' (2)
< #151: '0' (2)
< #152: '0' (2)
---
> #150: '1' (3)
> #151: '1' (3)
> #152: '1' (3)
4599,4601c4599,4601
< #156: '0' (2)
< #157: '0' (2)
< #158: '0' (2)
---
> #156: '1' (3)
> #157: '1' (3)
> #158: '1' (3)
4604c4604
< #161: '0' (2)
---
> #161: '1' (3)
4609,4610c4609,4610
< #166: '0' (2)
< #167: '0' (2)
---
> #166: '1' (3)
> #167: '1' (3)
4613,4614c4613,4614
< #170: '0' (2)
< #171: '0' (2)
---
> #170: '1' (3)
> #171: '1' (3)
4617,4618c4617,4618
< #174: '0' (2)
< #175: '0' (2)
---
> #174: '1' (3)
> #175: '1' (3)
4620c4620
< #177: '0' (2)
---
> #177: '1' (3)
4625c4625
< #182: '0' (2)
---
> #182: '1' (3)
4627,4629c4627,4629
< #184: '0' (2)
< #185: '0' (2)
< #186: '0' (2)
---
> #184: '1' (3)
> #185: '1' (3)
> #186: '1' (3)
4631c4631
< #188: '0' (2)
---
> #188: '1' (3)
4635,4636c4635,4636
< #192: '0' (2)
< #193: '0' (2)
---
> #192: '1' (3)
> #193: '1' (3)
4641c4641
< #198: '0' (2)
---
> #198: '1' (3)
4643c4643
< #200: '0' (2)
---
> #200: '1' (3)
4645c4645
< #202: '0' (2)
---
> #202: '1' (3)
4647c4647
< #204: '0' (2)
---
> #204: '1' (3)
4649c4649
< #206: '0' (2)
---
> #206: '1' (3)
4651,4652c4651,4652
< #208: '0' (2)
< #209: '0' (2)
---
> #208: '1' (3)
> #209: '1' (3)
4657c4657
< #214: '0' (2)
---
> #214: '1' (3)
4660,4662c4660,4662
< #217: '0' (2)
< #218: '0' (2)
< #219: '0' (2)
---
> #217: '1' (3)
> #218: '1' (3)
> #219: '1' (3)
4664,4665c4664,4665
< #221: '0' (2)
< #222: '0' (2)
---
> #221: '1' (3)
> #222: '1' (3)
4673c4673
< #230: '0' (2)
---
> #230: '1' (3)
4676c4676
< #233: '0' (2)
---
> #233: '1' (3)
4679c4679
< #236: '0' (2)
---
> #236: '1' (3)
4682c4682
< #239: '0' (2)
---
> #239: '1' (3)
4689c4689
< #246: '0' (2)
---
> #246: '1' (3)
4693c4693
< #250: '0' (2)
---
> #250: '1' (3)
4697c4697
< #254: '0' (2)
---
> #254: '1' (3)
4705c4705
< #262: '0' (2)
---
> #262: '1' (3)
4738,4752c4738,4752
< #19: '0' (2)
< #20: '0' (2)
< #21: '0' (2)
< #22: '0' (2)
< #23: '0' (2)
< #24: '0' (2)
< #25: '0' (2)
< #26: '0' (2)
< #27: '0' (2)
< #28: '0' (2)
< #29: '0' (2)
< #30: '0' (2)
< #31: '0' (2)
< #32: '0' (2)
< #33: '0' (2)
---
> #19: '1' (3)
> #20: '1' (3)
> #21: '1' (3)
> #22: '1' (3)
> #23: '1' (3)
> #24: '1' (3)
> #25: '1' (3)
> #26: '1' (3)
> #27: '1' (3)
> #28: '1' (3)
> #29: '1' (3)
> #30: '1' (3)
> #31: '1' (3)
> #32: '1' (3)
> #33: '1' (3)
4754c4754
< #35: '0' (2)
---
> #35: '1' (3)
4771c4771
< #52: '0' (2)
---
> #52: '1' (3)
4773c4773
< #54: '0' (2)
---
> #54: '1' (3)
4775c4775
< #56: '0' (2)
---
> #56: '1' (3)
4777c4777
< #58: '0' (2)
---
> #58: '1' (3)
4779c4779
< #60: '0' (2)
---
> #60: '1' (3)
4781c4781
< #62: '0' (2)
---
> #62: '1' (3)
4783,4784c4783,4784
< #64: '0' (2)
< #65: '0' (2)
---
> #64: '1' (3)
> #65: '1' (3)
4787c4787
< #68: '0' (2)
---
> #68: '1' (3)
4804,4805c4804,4805
< #85: '0' (2)
< #86: '0' (2)
---
> #85: '1' (3)
> #86: '1' (3)
4808,4809c4808,4809
< #89: '0' (2)
< #90: '0' (2)
---
> #89: '1' (3)
> #90: '1' (3)
4812,4813c4812,4813
< #93: '0' (2)
< #94: '0' (2)
---
> #93: '1' (3)
> #94: '1' (3)
4815c4815
< #96: '0' (2)
---
> #96: '1' (3)
4820c4820
< #101: '0' (2)
---
> #101: '1' (3)
4822c4822
< #103: '0' (2)
---
> #103: '1' (3)
4824c4824
< #105: '0' (2)
---
> #105: '1' (3)
4826c4826
< #107: '0' (2)
---
> #107: '1' (3)
4828c4828
< #109: '0' (2)
---
> #109: '1' (3)
4830c4830
< #111: '0' (2)
---
> #111: '1' (3)
4832c4832
< #113: '0' (2)
---
> #113: '1' (3)
4836c4836
< #117: '0' (2)
---
> #117: '1' (3)
4839c4839
< #120: '0' (2)
---
> #120: '1' (3)
4842c4842
< #123: '0' (2)
---
> #123: '1' (3)
4845c4845
< #126: '0' (2)
---
> #126: '1' (3)
4848c4848
< #129: '0' (2)
---
> #129: '1' (3)
4852c4852
< #133: '0' (2)
---
> #133: '1' (3)
4869,4871c4869,4871
< #150: '0' (2)
< #151: '0' (2)
< #152: '0' (2)
---
> #150: '1' (3)
> #151: '1' (3)
> #152: '1' (3)
4875,4877c4875,4877
< #156: '0' (2)
< #157: '0' (2)
< #158: '0' (2)
---
> #156: '1' (3)
> #157: '1' (3)
> #158: '1' (3)
4880c4880
< #161: '0' (2)
---
> #161: '1' (3)
4885,4886c4885,4886
< #166: '0' (2)
< #167: '0' (2)
---
> #166: '1' (3)
> #167: '1' (3)
4889,4890c4889,4890
< #170: '0' (2)
< #171: '0' (2)
---
> #170: '1' (3)
> #171: '1' (3)
4893,4894c4893,4894
< #174: '0' (2)
< #175: '0' (2)
---
> #174: '1' (3)
> #175: '1' (3)
4896c4896
< #177: '0' (2)
---
> #177: '1' (3)
4901c4901
< #182: '0' (2)
---
> #182: '1' (3)
4903,4905c4903,4905
< #184: '0' (2)
< #185: '0' (2)
< #186: '0' (2)
---
> #184: '1' (3)
> #185: '1' (3)
> #186: '1' (3)
4907c4907
< #188: '0' (2)
---
> #188: '1' (3)
4911,4912c4911,4912
< #192: '0' (2)
< #193: '0' (2)
---
> #192: '1' (3)
> #193: '1' (3)
4917c4917
< #198: '0' (2)
---
> #198: '1' (3)
4919c4919
< #200: '0' (2)
---
> #200: '1' (3)
4921c4921
< #202: '0' (2)
---
> #202: '1' (3)
4923c4923
< #204: '0' (2)
---
> #204: '1' (3)
4925c4925
< #206: '0' (2)
---
> #206: '1' (3)
4927,4928c4927,4928
< #208: '0' (2)
< #209: '0' (2)
---
> #208: '1' (3)
> #209: '1' (3)
4933c4933
< #214: '0' (2)
---
> #214: '1' (3)
4936,4938c4936,4938
< #217: '0' (2)
< #218: '0' (2)
< #219: '0' (2)
---
> #217: '1' (3)
> #218: '1' (3)
> #219: '1' (3)
4940,4941c4940,4941
< #221: '0' (2)
< #222: '0' (2)
---
> #221: '1' (3)
> #222: '1' (3)
4949c4949
< #230: '0' (2)
---
> #230: '1' (3)
4952c4952
< #233: '0' (2)
---
> #233: '1' (3)
4955c4955
< #236: '0' (2)
---
> #236: '1' (3)
4958c4958
< #239: '0' (2)
---
> #239: '1' (3)
4965c4965
< #246: '0' (2)
---
> #246: '1' (3)
4969c4969
< #250: '0' (2)
---
> #250: '1' (3)
4973c4973
< #254: '0' (2)
---
> #254: '1' (3)
4981c4981
< #262: '0' (2)
---
> #262: '1' (3)
5014,5028c5014,5028
< #19: '0' (2)
< #20: '0' (2)
< #21: '0' (2)
< #22: '0' (2)
< #23: '0' (2)
< #24: '0' (2)
< #25: '0' (2)
< #26: '0' (2)
< #27: '0' (2)
< #28: '0' (2)
< #29: '0' (2)
< #30: '0' (2)
< #31: '0' (2)
< #32: '0' (2)
< #33: '0' (2)
---
> #19: '1' (3)
> #20: '1' (3)
> #21: '1' (3)
> #22: '1' (3)
> #23: '1' (3)
> #24: '1' (3)
> #25: '1' (3)
> #26: '1' (3)
> #27: '1' (3)
> #28: '1' (3)
> #29: '1' (3)
> #30: '1' (3)
> #31: '1' (3)
> #32: '1' (3)
> #33: '1' (3)
5030c5030
< #35: '0' (2)
---
> #35: '1' (3)
5047c5047
< #52: '0' (2)
---
> #52: '1' (3)
5049c5049
< #54: '0' (2)
---
> #54: '1' (3)
5051c5051
< #56: '0' (2)
---
> #56: '1' (3)
5053c5053
< #58: '0' (2)
---
> #58: '1' (3)
5055c5055
< #60: '0' (2)
---
> #60: '1' (3)
5057c5057
< #62: '0' (2)
---
> #62: '1' (3)
5059,5060c5059,5060
< #64: '0' (2)
< #65: '0' (2)
---
> #64: '1' (3)
> #65: '1' (3)
5063c5063
< #68: '0' (2)
---
> #68: '1' (3)
5080,5081c5080,5081
< #85: '0' (2)
< #86: '0' (2)
---
> #85: '1' (3)
> #86: '1' (3)
5084,5085c5084,5085
< #89: '0' (2)
< #90: '0' (2)
---
> #89: '1' (3)
> #90: '1' (3)
5088,5089c5088,5089
< #93: '0' (2)
< #94: '0' (2)
---
> #93: '1' (3)
> #94: '1' (3)
5091c5091
< #96: '0' (2)
---
> #96: '1' (3)
5096c5096
< #101: '0' (2)
---
> #101: '1' (3)
5098c5098
< #103: '0' (2)
---
> #103: '1' (3)
5100c5100
< #105: '0' (2)
---
> #105: '1' (3)
5102c5102
< #107: '0' (2)
---
> #107: '1' (3)
5104c5104
< #109: '0' (2)
---
> #109: '1' (3)
5106c5106
< #111: '0' (2)
---
> #111: '1' (3)
5108c5108
< #113: '0' (2)
---
> #113: '1' (3)
5112c5112
< #117: '0' (2)
---
> #117: '1' (3)
5115c5115
< #120: '0' (2)
---
> #120: '1' (3)
5118c5118
< #123: '0' (2)
---
> #123: '1' (3)
5121c5121
< #126: '0' (2)
---
> #126: '1' (3)
5124c5124
< #129: '0' (2)
---
> #129: '1' (3)
5128c5128
< #133: '0' (2)
---
> #133: '1' (3)
5145,5147c5145,5147
< #150: '0' (2)
< #151: '0' (2)
< #152: '0' (2)
---
> #150: '1' (3)
> #151: '1' (3)
> #152: '1' (3)
5151,5153c5151,5153
< #156: '0' (2)
< #157: '0' (2)
< #158: '0' (2)
---
> #156: '1' (3)
> #157: '1' (3)
> #158: '1' (3)
5156c5156
< #161: '0' (2)
---
> #161: '1' (3)
5161,5162c5161,5162
< #166: '0' (2)
< #167: '0' (2)
---
> #166: '1' (3)
> #167: '1' (3)
5165,5166c5165,5166
< #170: '0' (2)
< #171: '0' (2)
---
> #170: '1' (3)
> #171: '1' (3)
5169,5170c5169,5170
< #174: '0' (2)
< #175: '0' (2)
---
> #174: '1' (3)
> #175: '1' (3)
5172c5172
< #177: '0' (2)
---
> #177: '1' (3)
5177c5177
< #182: '0' (2)
---
> #182: '1' (3)
5179,5181c5179,5181
< #184: '0' (2)
< #185: '0' (2)
< #186: '0' (2)
---
> #184: '1' (3)
> #185: '1' (3)
> #186: '1' (3)
5183c5183
< #188: '0' (2)
---
> #188: '1' (3)
5187,5188c5187,5188
< #192: '0' (2)
< #193: '0' (2)
---
> #192: '1' (3)
> #193: '1' (3)
5193c5193
< #198: '0' (2)
---
> #198: '1' (3)
5195c5195
< #200: '0' (2)
---
> #200: '1' (3)
5197c5197
< #202: '0' (2)
---
> #202: '1' (3)
5199c5199
< #204: '0' (2)
---
> #204: '1' (3)
5201c5201
< #206: '0' (2)
---
> #206: '1' (3)
5203,5204c5203,5204
< #208: '0' (2)
< #209: '0' (2)
---
> #208: '1' (3)
> #209: '1' (3)
5209c5209
< #214: '0' (2)
---
> #214: '1' (3)
5212,5214c5212,5214
< #217: '0' (2)
< #218: '0' (2)
< #219: '0' (2)
---
> #217: '1' (3)
> #218: '1' (3)
> #219: '1' (3)
5216,5217c5216,5217
< #221: '0' (2)
< #222: '0' (2)
---
> #221: '1' (3)
> #222: '1' (3)
5225c5225
< #230: '0' (2)
---
> #230: '1' (3)
5228c5228
< #233: '0' (2)
---
> #233: '1' (3)
5231c5231
< #236: '0' (2)
---
> #236: '1' (3)
5234c5234
< #239: '0' (2)
---
> #239: '1' (3)
5241c5241
< #246: '0' (2)
---
> #246: '1' (3)
5245c5245
< #250: '0' (2)
---
> #250: '1' (3)
5249c5249
< #254: '0' (2)
---
> #254: '1' (3)
5257c5257
< #262: '0' (2)
---
> #262: '1' (3)
5290,5304c5290,5304
< #19: '0' (2)
< #20: '0' (2)
< #21: '0' (2)
< #22: '0' (2)
< #23: '0' (2)
< #24: '0' (2)
< #25: '0' (2)
< #26: '0' (2)
< #27: '0' (2)
< #28: '0' (2)
< #29: '0' (2)
< #30: '0' (2)
< #31: '0' (2)
< #32: '0' (2)
< #33: '0' (2)
---
> #19: '1' (3)
> #20: '1' (3)
> #21: '1' (3)
> #22: '1' (3)
> #23: '1' (3)
> #24: '1' (3)
> #25: '1' (3)
> #26: '1' (3)
> #27: '1' (3)
> #28: '1' (3)
> #29: '1' (3)
> #30: '1' (3)
> #31: '1' (3)
> #32: '1' (3)
> #33: '1' (3)
5306c5306
< #35: '0' (2)
---
> #35: '1' (3)
5323c5323
< #52: '0' (2)
---
> #52: '1' (3)
5325c5325
< #54: '0' (2)
---
> #54: '1' (3)
5327c5327
< #56: '0' (2)
---
> #56: '1' (3)
5329c5329
< #58: '0' (2)
---
> #58: '1' (3)
5331c5331
< #60: '0' (2)
---
> #60: '1' (3)
5333c5333
< #62: '0' (2)
---
> #62: '1' (3)
5335,5336c5335,5336
< #64: '0' (2)
< #65: '0' (2)
---
> #64: '1' (3)
> #65: '1' (3)
5339c5339
< #68: '0' (2)
---
> #68: '1' (3)
5356,5357c5356,5357
< #85: '0' (2)
< #86: '0' (2)
---
> #85: '1' (3)
> #86: '1' (3)
5360,5361c5360,5361
< #89: '0' (2)
< #90: '0' (2)
---
> #89: '1' (3)
> #90: '1' (3)
5364,5365c5364,5365
< #93: '0' (2)
< #94: '0' (2)
---
> #93: '1' (3)
> #94: '1' (3)
5367c5367
< #96: '0' (2)
---
> #96: '1' (3)
5372c5372
< #101: '0' (2)
---
> #101: '1' (3)
5374c5374
< #103: '0' (2)
---
> #103: '1' (3)
5376c5376
< #105: '0' (2)
---
> #105: '1' (3)
5378c5378
< #107: '0' (2)
---
> #107: '1' (3)
5380c5380
< #109: '0' (2)
---
> #109: '1' (3)
5382c5382
< #111: '0' (2)
---
> #111: '1' (3)
5384c5384
< #113: '0' (2)
---
> #113: '1' (3)
5388c5388
< #117: '0' (2)
---
> #117: '1' (3)
5391c5391
< #120: '0' (2)
---
> #120: '1' (3)
5394c5394
< #123: '0' (2)
---
> #123: '1' (3)
5397c5397
< #126: '0' (2)
---
> #126: '1' (3)
5400c5400
< #129: '0' (2)
---
> #129: '1' (3)
5404c5404
< #133: '0' (2)
---
> #133: '1' (3)
5421,5423c5421,5423
< #150: '0' (2)
< #151: '0' (2)
< #152: '0' (2)
---
> #150: '1' (3)
> #151: '1' (3)
> #152: '1' (3)
5427,5429c5427,5429
< #156: '0' (2)
< #157: '0' (2)
< #158: '0' (2)
---
> #156: '1' (3)
> #157: '1' (3)
> #158: '1' (3)
5432c5432
< #161: '0' (2)
---
> #161: '1' (3)
5437,5438c5437,5438
< #166: '0' (2)
< #167: '0' (2)
---
> #166: '1' (3)
> #167: '1' (3)
5441,5442c5441,5442
< #170: '0' (2)
< #171: '0' (2)
---
> #170: '1' (3)
> #171: '1' (3)
5445,5446c5445,5446
< #174: '0' (2)
< #175: '0' (2)
---
> #174: '1' (3)
> #175: '1' (3)
5448c5448
< #177: '0' (2)
---
> #177: '1' (3)
5453c5453
< #182: '0' (2)
---
> #182: '1' (3)
5455,5457c5455,5457
< #184: '0' (2)
< #185: '0' (2)
< #186: '0' (2)
---
> #184: '1' (3)
> #185: '1' (3)
> #186: '1' (3)
5459c5459
< #188: '0' (2)
---
> #188: '1' (3)
5463,5464c5463,5464
< #192: '0' (2)
< #193: '0' (2)
---
> #192: '1' (3)
> #193: '1' (3)
5469c5469
< #198: '0' (2)
---
> #198: '1' (3)
5471c5471
< #200: '0' (2)
---
> #200: '1' (3)
5473c5473
< #202: '0' (2)
---
> #202: '1' (3)
5475c5475
< #204: '0' (2)
---
> #204: '1' (3)
5477c5477
< #206: '0' (2)
---
> #206: '1' (3)
5479,5480c5479,5480
< #208: '0' (2)
< #209: '0' (2)
---
> #208: '1' (3)
> #209: '1' (3)
5485c5485
< #214: '0' (2)
---
> #214: '1' (3)
5488,5490c5488,5490
< #217: '0' (2)
< #218: '0' (2)
< #219: '0' (2)
---
> #217: '1' (3)
> #218: '1' (3)
> #219: '1' (3)
5492,5493c5492,5493
< #221: '0' (2)
< #222: '0' (2)
---
> #221: '1' (3)
> #222: '1' (3)
5501c5501
< #230: '0' (2)
---
> #230: '1' (3)
5504c5504
< #233: '0' (2)
---
> #233: '1' (3)
5507c5507
< #236: '0' (2)
---
> #236: '1' (3)
5510c5510
< #239: '0' (2)
---
> #239: '1' (3)
5517c5517
< #246: '0' (2)
---
> #246: '1' (3)
5521c5521
< #250: '0' (2)
---
> #250: '1' (3)
5525c5525
< #254: '0' (2)
---
> #254: '1' (3)
5533c5533
< #262: '0' (2)
---
> #262: '1' (3)
5566,5580c5566,5580
< #19: '0' (2)
< #20: '0' (2)
< #21: '0' (2)
< #22: '0' (2)
< #23: '0' (2)
< #24: '0' (2)
< #25: '0' (2)
< #26: '0' (2)
< #27: '0' (2)
< #28: '0' (2)
< #29: '0' (2)
< #30: '0' (2)
< #31: '0' (2)
< #32: '0' (2)
< #33: '0' (2)
---
> #19: '1' (3)
> #20: '1' (3)
> #21: '1' (3)
> #22: '1' (3)
> #23: '1' (3)
> #24: '1' (3)
> #25: '1' (3)
> #26: '1' (3)
> #27: '1' (3)
> #28: '1' (3)
> #29: '1' (3)
> #30: '1' (3)
> #31: '1' (3)
> #32: '1' (3)
> #33: '1' (3)
5582c5582
< #35: '0' (2)
---
> #35: '1' (3)
5599c5599
< #52: '0' (2)
---
> #52: '1' (3)
5601c5601
< #54: '0' (2)
---
> #54: '1' (3)
5603c5603
< #56: '0' (2)
---
> #56: '1' (3)
5605c5605
< #58: '0' (2)
---
> #58: '1' (3)
5607c5607
< #60: '0' (2)
---
> #60: '1' (3)
5609c5609
< #62: '0' (2)
---
> #62: '1' (3)
5611,5612c5611,5612
< #64: '0' (2)
< #65: '0' (2)
---
> #64: '1' (3)
> #65: '1' (3)
5615c5615
< #68: '0' (2)
---
> #68: '1' (3)
5632,5633c5632,5633
< #85: '0' (2)
< #86: '0' (2)
---
> #85: '1' (3)
> #86: '1' (3)
5636,5637c5636,5637
< #89: '0' (2)
< #90: '0' (2)
---
> #89: '1' (3)
> #90: '1' (3)
5640,5641c5640,5641
< #93: '0' (2)
< #94: '0' (2)
---
> #93: '1' (3)
> #94: '1' (3)
5643c5643
< #96: '0' (2)
---
> #96: '1' (3)
5648c5648
< #101: '0' (2)
---
> #101: '1' (3)
5650c5650
< #103: '0' (2)
---
> #103: '1' (3)
5652c5652
< #105: '0' (2)
---
> #105: '1' (3)
5654c5654
< #107: '0' (2)
---
> #107: '1' (3)
5656c5656
< #109: '0' (2)
---
> #109: '1' (3)
5658c5658
< #111: '0' (2)
---
> #111: '1' (3)
5660c5660
< #113: '0' (2)
---
> #113: '1' (3)
5664c5664
< #117: '0' (2)
---
> #117: '1' (3)
5667c5667
< #120: '0' (2)
---
> #120: '1' (3)
5670c5670
< #123: '0' (2)
---
> #123: '1' (3)
5673c5673
< #126: '0' (2)
---
> #126: '1' (3)
5676c5676
< #129: '0' (2)
---
> #129: '1' (3)
5680c5680
< #133: '0' (2)
---
> #133: '1' (3)
5697,5699c5697,5699
< #150: '0' (2)
< #151: '0' (2)
< #152: '0' (2)
---
> #150: '1' (3)
> #151: '1' (3)
> #152: '1' (3)
5703,5705c5703,5705
< #156: '0' (2)
< #157: '0' (2)
< #158: '0' (2)
---
> #156: '1' (3)
> #157: '1' (3)
> #158: '1' (3)
5708c5708
< #161: '0' (2)
---
> #161: '1' (3)
5713,5714c5713,5714
< #166: '0' (2)
< #167: '0' (2)
---
> #166: '1' (3)
> #167: '1' (3)
5717,5718c5717,5718
< #170: '0' (2)
< #171: '0' (2)
---
> #170: '1' (3)
> #171: '1' (3)
5721,5722c5721,5722
< #174: '0' (2)
< #175: '0' (2)
---
> #174: '1' (3)
> #175: '1' (3)
5724c5724
< #177: '0' (2)
---
> #177: '1' (3)
5729c5729
< #182: '0' (2)
---
> #182: '1' (3)
5731,5733c5731,5733
< #184: '0' (2)
< #185: '0' (2)
< #186: '0' (2)
---
> #184: '1' (3)
> #185: '1' (3)
> #186: '1' (3)
5735c5735
< #188: '0' (2)
---
> #188: '1' (3)
5739,5740c5739,5740
< #192: '0' (2)
< #193: '0' (2)
---
> #192: '1' (3)
> #193: '1' (3)
5745c5745
< #198: '0' (2)
---
> #198: '1' (3)
5747c5747
< #200: '0' (2)
---
> #200: '1' (3)
5749c5749
< #202: '0' (2)
---
> #202: '1' (3)
5751c5751
< #204: '0' (2)
---
> #204: '1' (3)
5753c5753
< #206: '0' (2)
---
> #206: '1' (3)
5755,5756c5755,5756
< #208: '0' (2)
< #209: '0' (2)
---
> #208: '1' (3)
> #209: '1' (3)
5761c5761
< #214: '0' (2)
---
> #214: '1' (3)
5764,5766c5764,5766
< #217: '0' (2)
< #218: '0' (2)
< #219: '0' (2)
---
> #217: '1' (3)
> #218: '1' (3)
> #219: '1' (3)
5768,5769c5768,5769
< #221: '0' (2)
< #222: '0' (2)
---
> #221: '1' (3)
> #222: '1' (3)
5777c5777
< #230: '0' (2)
---
> #230: '1' (3)
5780c5780
< #233: '0' (2)
---
> #233: '1' (3)
5783c5783
< #236: '0' (2)
---
> #236: '1' (3)
5786c5786
< #239: '0' (2)
---
> #239: '1' (3)
5793c5793
< #246: '0' (2)
---
> #246: '1' (3)
5797c5797
< #250: '0' (2)
---
> #250: '1' (3)
5801c5801
< #254: '0' (2)
---
> #254: '1' (3)
5809c5809
< #262: '0' (2)
---
> #262: '1' (3)
5842,5856c5842,5856
< #19: '0' (2)
< #20: '0' (2)
< #21: '0' (2)
< #22: '0' (2)
< #23: '0' (2)
< #24: '0' (2)
< #25: '0' (2)
< #26: '0' (2)
< #27: '0' (2)
< #28: '0' (2)
< #29: '0' (2)
< #30: '0' (2)
< #31: '0' (2)
< #32: '0' (2)
< #33: '0' (2)
---
> #19: '1' (3)
> #20: '1' (3)
> #21: '1' (3)
> #22: '1' (3)
> #23: '1' (3)
> #24: '1' (3)
> #25: '1' (3)
> #26: '1' (3)
> #27: '1' (3)
> #28: '1' (3)
> #29: '1' (3)
> #30: '1' (3)
> #31: '1' (3)
> #32: '1' (3)
> #33: '1' (3)
5858c5858
< #35: '0' (2)
---
> #35: '1' (3)
5875c5875
< #52: '0' (2)
---
> #52: '1' (3)
5877c5877
< #54: '0' (2)
---
> #54: '1' (3)
5879c5879
< #56: '0' (2)
---
> #56: '1' (3)
5881c5881
< #58: '0' (2)
---
> #58: '1' (3)
5883c5883
< #60: '0' (2)
---
> #60: '1' (3)
5885c5885
< #62: '0' (2)
---
> #62: '1' (3)
5887,5888c5887,5888
< #64: '0' (2)
< #65: '0' (2)
---
> #64: '1' (3)
> #65: '1' (3)
5891c5891
< #68: '0' (2)
---
> #68: '1' (3)
5908,5909c5908,5909
< #85: '0' (2)
< #86: '0' (2)
---
> #85: '1' (3)
> #86: '1' (3)
5912,5913c5912,5913
< #89: '0' (2)
< #90: '0' (2)
---
> #89: '1' (3)
> #90: '1' (3)
5916,5917c5916,5917
< #93: '0' (2)
< #94: '0' (2)
---
> #93: '1' (3)
> #94: '1' (3)
5919c5919
< #96: '0' (2)
---
> #96: '1' (3)
5924c5924
< #101: '0' (2)
---
> #101: '1' (3)
5926c5926
< #103: '0' (2)
---
> #103: '1' (3)
5928c5928
< #105: '0' (2)
---
> #105: '1' (3)
5930c5930
< #107: '0' (2)
---
> #107: '1' (3)
5932c5932
< #109: '0' (2)
---
> #109: '1' (3)
5934c5934
< #111: '0' (2)
---
> #111: '1' (3)
5936c5936
< #113: '0' (2)
---
> #113: '1' (3)
5940c5940
< #117: '0' (2)
---
> #117: '1' (3)
5943c5943
< #120: '0' (2)
---
> #120: '1' (3)
5946c5946
< #123: '0' (2)
---
> #123: '1' (3)
5949c5949
< #126: '0' (2)
---
> #126: '1' (3)
5952c5952
< #129: '0' (2)
---
> #129: '1' (3)
5956c5956
< #133: '0' (2)
---
> #133: '1' (3)
5973,5975c5973,5975
< #150: '0' (2)
< #151: '0' (2)
< #152: '0' (2)
---
> #150: '1' (3)
> #151: '1' (3)
> #152: '1' (3)
5979,5981c5979,5981
< #156: '0' (2)
< #157: '0' (2)
< #158: '0' (2)
---
> #156: '1' (3)
> #157: '1' (3)
> #158: '1' (3)
5984c5984
< #161: '0' (2)
---
> #161: '1' (3)
5989,5990c5989,5990
< #166: '0' (2)
< #167: '0' (2)
---
> #166: '1' (3)
> #167: '1' (3)
5993,5994c5993,5994
< #170: '0' (2)
< #171: '0' (2)
---
> #170: '1' (3)
> #171: '1' (3)
5997,5998c5997,5998
< #174: '0' (2)
< #175: '0' (2)
---
> #174: '1' (3)
> #175: '1' (3)
6000c6000
< #177: '0' (2)
---
> #177: '1' (3)
6005c6005
< #182: '0' (2)
---
> #182: '1' (3)
6007,6009c6007,6009
< #184: '0' (2)
< #185: '0' (2)
< #186: '0' (2)
---
> #184: '1' (3)
> #185: '1' (3)
> #186: '1' (3)
6011c6011
< #188: '0' (2)
---
> #188: '1' (3)
6015,6016c6015,6016
< #192: '0' (2)
< #193: '0' (2)
---
> #192: '1' (3)
> #193: '1' (3)
6021c6021
< #198: '0' (2)
---
> #198: '1' (3)
6023c6023
< #200: '0' (2)
---
> #200: '1' (3)
6025c6025
< #202: '0' (2)
---
> #202: '1' (3)
6027c6027
< #204: '0' (2)
---
> #204: '1' (3)
6029c6029
< #206: '0' (2)
---
> #206: '1' (3)
6031,6032c6031,6032
< #208: '0' (2)
< #209: '0' (2)
---
> #208: '1' (3)
> #209: '1' (3)
6037c6037
< #214: '0' (2)
---
> #214: '1' (3)
6040,6042c6040,6042
< #217: '0' (2)
< #218: '0' (2)
< #219: '0' (2)
---
> #217: '1' (3)
> #218: '1' (3)
> #219: '1' (3)
6044,6045c6044,6045
< #221: '0' (2)
< #222: '0' (2)
---
> #221: '1' (3)
> #222: '1' (3)
6053c6053
< #230: '0' (2)
---
> #230: '1' (3)
6056c6056
< #233: '0' (2)
---
> #233: '1' (3)
6059c6059
< #236: '0' (2)
---
> #236: '1' (3)
6062c6062
< #239: '0' (2)
---
> #239: '1' (3)
6069c6069
< #246: '0' (2)
---
> #246: '1' (3)
6073c6073
< #250: '0' (2)
---
> #250: '1' (3)
6077c6077
< #254: '0' (2)
---
> #254: '1' (3)
6085c6085
< #262: '0' (2)
---
> #262: '1' (3)
6118,6132c6118,6132
< #19: '0' (2)
< #20: '0' (2)
< #21: '0' (2)
< #22: '0' (2)
< #23: '0' (2)
< #24: '0' (2)
< #25: '0' (2)
< #26: '0' (2)
< #27: '0' (2)
< #28: '0' (2)
< #29: '0' (2)
< #30: '0' (2)
< #31: '0' (2)
< #32: '0' (2)
< #33: '0' (2)
---
> #19: '1' (3)
> #20: '1' (3)
> #21: '1' (3)
> #22: '1' (3)
> #23: '1' (3)
> #24: '1' (3)
> #25: '1' (3)
> #26: '1' (3)
> #27: '1' (3)
> #28: '1' (3)
> #29: '1' (3)
> #30: '1' (3)
> #31: '1' (3)
> #32: '1' (3)
> #33: '1' (3)
6134c6134
< #35: '0' (2)
---
> #35: '1' (3)
6151c6151
< #52: '0' (2)
---
> #52: '1' (3)
6153c6153
< #54: '0' (2)
---
> #54: '1' (3)
6155c6155
< #56: '0' (2)
---
> #56: '1' (3)
6157c6157
< #58: '0' (2)
---
> #58: '1' (3)
6159c6159
< #60: '0' (2)
---
> #60: '1' (3)
6161c6161
< #62: '0' (2)
---
> #62: '1' (3)
6163,6164c6163,6164
< #64: '0' (2)
< #65: '0' (2)
---
> #64: '1' (3)
> #65: '1' (3)
6167c6167
< #68: '0' (2)
---
> #68: '1' (3)
6184,6185c6184,6185
< #85: '0' (2)
< #86: '0' (2)
---
> #85: '1' (3)
> #86: '1' (3)
6188,6189c6188,6189
< #89: '0' (2)
< #90: '0' (2)
---
> #89: '1' (3)
> #90: '1' (3)
6192,6193c6192,6193
< #93: '0' (2)
< #94: '0' (2)
---
> #93: '1' (3)
> #94: '1' (3)
6195c6195
< #96: '0' (2)
---
> #96: '1' (3)
6200c6200
< #101: '0' (2)
---
> #101: '1' (3)
6202c6202
< #103: '0' (2)
---
> #103: '1' (3)
6204c6204
< #105: '0' (2)
---
> #105: '1' (3)
6206c6206
< #107: '0' (2)
---
> #107: '1' (3)
6208c6208
< #109: '0' (2)
---
> #109: '1' (3)
6210c6210
< #111: '0' (2)
---
> #111: '1' (3)
6212c6212
< #113: '0' (2)
---
> #113: '1' (3)
6216c6216
< #117: '0' (2)
---
> #117: '1' (3)
6219c6219
< #120: '0' (2)
---
> #120: '1' (3)
6222c6222
< #123: '0' (2)
---
> #123: '1' (3)
6225c6225
< #126: '0' (2)
---
> #126: '1' (3)
6228c6228
< #129: '0' (2)
---
> #129: '1' (3)
6232c6232
< #133: '0' (2)
---
> #133: '1' (3)
6249,6251c6249,6251
< #150: '0' (2)
< #151: '0' (2)
< #152: '0' (2)
---
> #150: '1' (3)
> #151: '1' (3)
> #152: '1' (3)
6255,6257c6255,6257
< #156: '0' (2)
< #157: '0' (2)
< #158: '0' (2)
---
> #156: '1' (3)
> #157: '1' (3)
> #158: '1' (3)
6260c6260
< #161: '0' (2)
---
> #161: '1' (3)
6265,6266c6265,6266
< #166: '0' (2)
< #167: '0' (2)
---
> #166: '1' (3)
> #167: '1' (3)
6269,6270c6269,6270
< #170: '0' (2)
< #171: '0' (2)
---
> #170: '1' (3)
> #171: '1' (3)
6273,6274c6273,6274
< #174: '0' (2)
< #175: '0' (2)
---
> #174: '1' (3)
> #175: '1' (3)
6276c6276
< #177: '0' (2)
---
> #177: '1' (3)
6281c6281
< #182: '0' (2)
---
> #182: '1' (3)
6283,6285c6283,6285
< #184: '0' (2)
< #185: '0' (2)
< #186: '0' (2)
---
> #184: '1' (3)
> #185: '1' (3)
> #186: '1' (3)
6287c6287
< #188: '0' (2)
---
> #188: '1' (3)
6291,6292c6291,6292
< #192: '0' (2)
< #193: '0' (2)
---
> #192: '1' (3)
> #193: '1' (3)
6297c6297
< #198: '0' (2)
---
> #198: '1' (3)
6299c6299
< #200: '0' (2)
---
> #200: '1' (3)
6301c6301
< #202: '0' (2)
---
> #202: '1' (3)
6303c6303
< #204: '0' (2)
---
> #204: '1' (3)
6305c6305
< #206: '0' (2)
---
> #206: '1' (3)
6307,6308c6307,6308
< #208: '0' (2)
< #209: '0' (2)
---
> #208: '1' (3)
> #209: '1' (3)
6313c6313
< #214: '0' (2)
---
> #214: '1' (3)
6316,6318c6316,6318
< #217: '0' (2)
< #218: '0' (2)
< #219: '0' (2)
---
> #217: '1' (3)
> #218: '1' (3)
> #219: '1' (3)
6320,6321c6320,6321
< #221: '0' (2)
< #222: '0' (2)
---
> #221: '1' (3)
> #222: '1' (3)
6329c6329
< #230: '0' (2)
---
> #230: '1' (3)
6332c6332
< #233: '0' (2)
---
> #233: '1' (3)
6335c6335
< #236: '0' (2)
---
> #236: '1' (3)
6338c6338
< #239: '0' (2)
---
> #239: '1' (3)
6345c6345
< #246: '0' (2)
---
> #246: '1' (3)
6349c6349
< #250: '0' (2)
---
> #250: '1' (3)
6353c6353
< #254: '0' (2)
---
> #254: '1' (3)
6361c6361
< #262: '0' (2)
---
> #262: '1' (3)
6394,6408c6394,6408
< #19: '0' (2)
< #20: '0' (2)
< #21: '0' (2)
< #22: '0' (2)
< #23: '0' (2)
< #24: '0' (2)
< #25: '0' (2)
< #26: '0' (2)
< #27: '0' (2)
< #28: '0' (2)
< #29: '0' (2)
< #30: '0' (2)
< #31: '0' (2)
< #32: '0' (2)
< #33: '0' (2)
---
> #19: '1' (3)
> #20: '1' (3)
> #21: '1' (3)
> #22: '1' (3)
> #23: '1' (3)
> #24: '1' (3)
> #25: '1' (3)
> #26: '1' (3)
> #27: '1' (3)
> #28: '1' (3)
> #29: '1' (3)
> #30: '1' (3)
> #31: '1' (3)
> #32: '1' (3)
> #33: '1' (3)
6410c6410
< #35: '0' (2)
---
> #35: '1' (3)
6427c6427
< #52: '0' (2)
---
> #52: '1' (3)
6429c6429
< #54: '0' (2)
---
> #54: '1' (3)
6431c6431
< #56: '0' (2)
---
> #56: '1' (3)
6433c6433
< #58: '0' (2)
---
> #58: '1' (3)
6435c6435
< #60: '0' (2)
---
> #60: '1' (3)
6437c6437
< #62: '0' (2)
---
> #62: '1' (3)
6439,6440c6439,6440
< #64: '0' (2)
< #65: '0' (2)
---
> #64: '1' (3)
> #65: '1' (3)
6443c6443
< #68: '0' (2)
---
> #68: '1' (3)
6460,6461c6460,6461
< #85: '0' (2)
< #86: '0' (2)
---
> #85: '1' (3)
> #86: '1' (3)
6464,6465c6464,6465
< #89: '0' (2)
< #90: '0' (2)
---
> #89: '1' (3)
> #90: '1' (3)
6468,6469c6468,6469
< #93: '0' (2)
< #94: '0' (2)
---
> #93: '1' (3)
> #94: '1' (3)
6471c6471
< #96: '0' (2)
---
> #96: '1' (3)
6476c6476
< #101: '0' (2)
---
> #101: '1' (3)
6478c6478
< #103: '0' (2)
---
> #103: '1' (3)
6480c6480
< #105: '0' (2)
---
> #105: '1' (3)
6482c6482
< #107: '0' (2)
---
> #107: '1' (3)
6484c6484
< #109: '0' (2)
---
> #109: '1' (3)
6486c6486
< #111: '0' (2)
---
> #111: '1' (3)
6488c6488
< #113: '0' (2)
---
> #113: '1' (3)
6492c6492
< #117: '0' (2)
---
> #117: '1' (3)
6495c6495
< #120: '0' (2)
---
> #120: '1' (3)
6498c6498
< #123: '0' (2)
---
> #123: '1' (3)
6501c6501
< #126: '0' (2)
---
> #126: '1' (3)
6504c6504
< #129: '0' (2)
---
> #129: '1' (3)
6508c6508
< #133: '0' (2)
---
> #133: '1' (3)
6525,6527c6525,6527
< #150: '0' (2)
< #151: '0' (2)
< #152: '0' (2)
---
> #150: '1' (3)
> #151: '1' (3)
> #152: '1' (3)
6531,6533c6531,6533
< #156: '0' (2)
< #157: '0' (2)
< #158: '0' (2)
---
> #156: '1' (3)
> #157: '1' (3)
> #158: '1' (3)
6536c6536
< #161: '0' (2)
---
> #161: '1' (3)
6541,6542c6541,6542
< #166: '0' (2)
< #167: '0' (2)
---
> #166: '1' (3)
> #167: '1' (3)
6545,6546c6545,6546
< #170: '0' (2)
< #171: '0' (2)
---
> #170: '1' (3)
> #171: '1' (3)
6549,6550c6549,6550
< #174: '0' (2)
< #175: '0' (2)
---
> #174: '1' (3)
> #175: '1' (3)
6552c6552
< #177: '0' (2)
---
> #177: '1' (3)
6557c6557
< #182: '0' (2)
---
> #182: '1' (3)
6559,6561c6559,6561
< #184: '0' (2)
< #185: '0' (2)
< #186: '0' (2)
---
> #184: '1' (3)
> #185: '1' (3)
> #186: '1' (3)
6563c6563
< #188: '0' (2)
---
> #188: '1' (3)
6567,6568c6567,6568
< #192: '0' (2)
< #193: '0' (2)
---
> #192: '1' (3)
> #193: '1' (3)
6573c6573
< #198: '0' (2)
---
> #198: '1' (3)
6575c6575
< #200: '0' (2)
---
> #200: '1' (3)
6577c6577
< #202: '0' (2)
---
> #202: '1' (3)
6579c6579
< #204: '0' (2)
---
> #204: '1' (3)
6581c6581
< #206: '0' (2)
---
> #206: '1' (3)
6583,6584c6583,6584
< #208: '0' (2)
< #209: '0' (2)
---
> #208: '1' (3)
> #209: '1' (3)
6589c6589
< #214: '0' (2)
---
> #214: '1' (3)
6592,6594c6592,6594
< #217: '0' (2)
< #218: '0' (2)
< #219: '0' (2)
---
> #217: '1' (3)
> #218: '1' (3)
> #219: '1' (3)
6596,6597c6596,6597
< #221: '0' (2)
< #222: '0' (2)
---
> #221: '1' (3)
> #222: '1' (3)
6605c6605
< #230: '0' (2)
---
> #230: '1' (3)
6608c6608
< #233: '0' (2)
---
> #233: '1' (3)
6611c6611
< #236: '0' (2)
---
> #236: '1' (3)
6614c6614
< #239: '0' (2)
---
> #239: '1' (3)
6621c6621
< #246: '0' (2)
---
> #246: '1' (3)
6625c6625
< #250: '0' (2)
---
> #250: '1' (3)
6629c6629
< #254: '0' (2)
---
> #254: '1' (3)
6637c6637
< #262: '0' (2)
---
> #262: '1' (3)
6670,6684c6670,6684
< #19: '0' (2)
< #20: '0' (2)
< #21: '0' (2)
< #22: '0' (2)
< #23: '0' (2)
< #24: '0' (2)
< #25: '0' (2)
< #26: '0' (2)
< #27: '0' (2)
< #28: '0' (2)
< #29: '0' (2)
< #30: '0' (2)
< #31: '0' (2)
< #32: '0' (2)
< #33: '0' (2)
---
> #19: '1' (3)
> #20: '1' (3)
> #21: '1' (3)
> #22: '1' (3)
> #23: '1' (3)
> #24: '1' (3)
> #25: '1' (3)
> #26: '1' (3)
> #27: '1' (3)
> #28: '1' (3)
> #29: '1' (3)
> #30: '1' (3)
> #31: '1' (3)
> #32: '1' (3)
> #33: '1' (3)
6686c6686
< #35: '0' (2)
---
> #35: '1' (3)
6703c6703
< #52: '0' (2)
---
> #52: '1' (3)
6705c6705
< #54: '0' (2)
---
> #54: '1' (3)
6707c6707
< #56: '0' (2)
---
> #56: '1' (3)
6709c6709
< #58: '0' (2)
---
> #58: '1' (3)
6711c6711
< #60: '0' (2)
---
> #60: '1' (3)
6713c6713
< #62: '0' (2)
---
> #62: '1' (3)
6715,6716c6715,6716
< #64: '0' (2)
< #65: '0' (2)
---
> #64: '1' (3)
> #65: '1' (3)
6719c6719
< #68: '0' (2)
---
> #68: '1' (3)
6736,6737c6736,6737
< #85: '0' (2)
< #86: '0' (2)
---
> #85: '1' (3)
> #86: '1' (3)
6740,6741c6740,6741
< #89: '0' (2)
< #90: '0' (2)
---
> #89: '1' (3)
> #90: '1' (3)
6744,6745c6744,6745
< #93: '0' (2)
< #94: '0' (2)
---
> #93: '1' (3)
> #94: '1' (3)
6747c6747
< #96: '0' (2)
---
> #96: '1' (3)
6752c6752
< #101: '0' (2)
---
> #101: '1' (3)
6754c6754
< #103: '0' (2)
---
> #103: '1' (3)
6756c6756
< #105: '0' (2)
---
> #105: '1' (3)
6758c6758
< #107: '0' (2)
---
> #107: '1' (3)
6760c6760
< #109: '0' (2)
---
> #109: '1' (3)
6762c6762
< #111: '0' (2)
---
> #111: '1' (3)
6764c6764
< #113: '0' (2)
---
> #113: '1' (3)
6768c6768
< #117: '0' (2)
---
> #117: '1' (3)
6771c6771
< #120: '0' (2)
---
> #120: '1' (3)
6774c6774
< #123: '0' (2)
---
> #123: '1' (3)
6777c6777
< #126: '0' (2)
---
> #126: '1' (3)
6780c6780
< #129: '0' (2)
---
> #129: '1' (3)
6784c6784
< #133: '0' (2)
---
> #133: '1' (3)
6801,6803c6801,6803
< #150: '0' (2)
< #151: '0' (2)
< #152: '0' (2)
---
> #150: '1' (3)
> #151: '1' (3)
> #152: '1' (3)
6807,6809c6807,6809
< #156: '0' (2)
< #157: '0' (2)
< #158: '0' (2)
---
> #156: '1' (3)
> #157: '1' (3)
> #158: '1' (3)
6812c6812
< #161: '0' (2)
---
> #161: '1' (3)
6817,6818c6817,6818
< #166: '0' (2)
< #167: '0' (2)
---
> #166: '1' (3)
> #167: '1' (3)
6821,6822c6821,6822
< #170: '0' (2)
< #171: '0' (2)
---
> #170: '1' (3)
> #171: '1' (3)
6825,6826c6825,6826
< #174: '0' (2)
< #175: '0' (2)
---
> #174: '1' (3)
> #175: '1' (3)
6828c6828
< #177: '0' (2)
---
> #177: '1' (3)
6833c6833
< #182: '0' (2)
---
> #182: '1' (3)
6835,6837c6835,6837
< #184: '0' (2)
< #185: '0' (2)
< #186: '0' (2)
---
> #184: '1' (3)
> #185: '1' (3)
> #186: '1' (3)
6839c6839
< #188: '0' (2)
---
> #188: '1' (3)
6843,6844c6843,6844
< #192: '0' (2)
< #193: '0' (2)
---
> #192: '1' (3)
> #193: '1' (3)
6849c6849
< #198: '0' (2)
---
> #198: '1' (3)
6851c6851
< #200: '0' (2)
---
> #200: '1' (3)
6853c6853
< #202: '0' (2)
---
> #202: '1' (3)
6855c6855
< #204: '0' (2)
---
> #204: '1' (3)
6857c6857
< #206: '0' (2)
---
> #206: '1' (3)
6859,6860c6859,6860
< #208: '0' (2)
< #209: '0' (2)
---
> #208: '1' (3)
> #209: '1' (3)
6865c6865
< #214: '0' (2)
---
> #214: '1' (3)
6868,6870c6868,6870
< #217: '0' (2)
< #218: '0' (2)
< #219: '0' (2)
---
> #217: '1' (3)
> #218: '1' (3)
> #219: '1' (3)
6872,6873c6872,6873
< #221: '0' (2)
< #222: '0' (2)
---
> #221: '1' (3)
> #222: '1' (3)
6881c6881
< #230: '0' (2)
---
> #230: '1' (3)
6884c6884
< #233: '0' (2)
---
> #233: '1' (3)
6887c6887
< #236: '0' (2)
---
> #236: '1' (3)
6890c6890
< #239: '0' (2)
---
> #239: '1' (3)
6897c6897
< #246: '0' (2)
---
> #246: '1' (3)
6901c6901
< #250: '0' (2)
---
> #250: '1' (3)
6905c6905
< #254: '0' (2)
---
> #254: '1' (3)
6913c6913
< #262: '0' (2)
---
> #262: '1' (3)
6946,6960c6946,6960
< #19: '0' (2)
< #20: '0' (2)
< #21: '0' (2)
< #22: '0' (2)
< #23: '0' (2)
< #24: '0' (2)
< #25: '0' (2)
< #26: '0' (2)
< #27: '0' (2)
< #28: '0' (2)
< #29: '0' (2)
< #30: '0' (2)
< #31: '0' (2)
< #32: '0' (2)
< #33: '0' (2)
---
> #19: '1' (3)
> #20: '1' (3)
> #21: '1' (3)
> #22: '1' (3)
> #23: '1' (3)
> #24: '1' (3)
> #25: '1' (3)
> #26: '1' (3)
> #27: '1' (3)
> #28: '1' (3)
> #29: '1' (3)
> #30: '1' (3)
> #31: '1' (3)
> #32: '1' (3)
> #33: '1' (3)
6962c6962
< #35: '0' (2)
---
> #35: '1' (3)
6979c6979
< #52: '0' (2)
---
> #52: '1' (3)
6981c6981
< #54: '0' (2)
---
> #54: '1' (3)
6983c6983
< #56: '0' (2)
---
> #56: '1' (3)
6985c6985
< #58: '0' (2)
---
> #58: '1' (3)
6987c6987
< #60: '0' (2)
---
> #60: '1' (3)
6989c6989
< #62: '0' (2)
---
> #62: '1' (3)
6991,6992c6991,6992
< #64: '0' (2)
< #65: '0' (2)
---
> #64: '1' (3)
> #65: '1' (3)
6995c6995
< #68: '0' (2)
---
> #68: '1' (3)
7012,7013c7012,7013
< #85: '0' (2)
< #86: '0' (2)
---
> #85: '1' (3)
> #86: '1' (3)
7016,7017c7016,7017
< #89: '0' (2)
< #90: '0' (2)
---
> #89: '1' (3)
> #90: '1' (3)
7020,7021c7020,7021
< #93: '0' (2)
< #94: '0' (2)
---
> #93: '1' (3)
> #94: '1' (3)
7023c7023
< #96: '0' (2)
---
> #96: '1' (3)
7028c7028
< #101: '0' (2)
---
> #101: '1' (3)
7030c7030
< #103: '0' (2)
---
> #103: '1' (3)
7032c7032
< #105: '0' (2)
---
> #105: '1' (3)
7034c7034
< #107: '0' (2)
---
> #107: '1' (3)
7036c7036
< #109: '0' (2)
---
> #109: '1' (3)
7038c7038
< #111: '0' (2)
---
> #111: '1' (3)
7040c7040
< #113: '0' (2)
---
> #113: '1' (3)
7044c7044
< #117: '0' (2)
---
> #117: '1' (3)
7047c7047
< #120: '0' (2)
---
> #120: '1' (3)
7050c7050
< #123: '0' (2)
---
> #123: '1' (3)
7053c7053
< #126: '0' (2)
---
> #126: '1' (3)
7056c7056
< #129: '0' (2)
---
> #129: '1' (3)
7060c7060
< #133: '0' (2)
---
> #133: '1' (3)
7077,7079c7077,7079
< #150: '0' (2)
< #151: '0' (2)
< #152: '0' (2)
---
> #150: '1' (3)
> #151: '1' (3)
> #152: '1' (3)
7083,7085c7083,7085
< #156: '0' (2)
< #157: '0' (2)
< #158: '0' (2)
---
> #156: '1' (3)
> #157: '1' (3)
> #158: '1' (3)
7088c7088
< #161: '0' (2)
---
> #161: '1' (3)
7093,7094c7093,7094
< #166: '0' (2)
< #167: '0' (2)
---
> #166: '1' (3)
> #167: '1' (3)
7097,7098c7097,7098
< #170: '0' (2)
< #171: '0' (2)
---
> #170: '1' (3)
> #171: '1' (3)
7101,7102c7101,7102
< #174: '0' (2)
< #175: '0' (2)
---
> #174: '1' (3)
> #175: '1' (3)
7104c7104
< #177: '0' (2)
---
> #177: '1' (3)
7109c7109
< #182: '0' (2)
---
> #182: '1' (3)
7111,7113c7111,7113
< #184: '0' (2)
< #185: '0' (2)
< #186: '0' (2)
---
> #184: '1' (3)
> #185: '1' (3)
> #186: '1' (3)
7115c7115
< #188: '0' (2)
---
> #188: '1' (3)
7119,7120c7119,7120
< #192: '0' (2)
< #193: '0' (2)
---
> #192: '1' (3)
> #193: '1' (3)
7125c7125
< #198: '0' (2)
---
> #198: '1' (3)
7127c7127
< #200: '0' (2)
---
> #200: '1' (3)
7129c7129
< #202: '0' (2)
---
> #202: '1' (3)
7131c7131
< #204: '0' (2)
---
> #204: '1' (3)
7133c7133
< #206: '0' (2)
---
> #206: '1' (3)
7135,7136c7135,7136
< #208: '0' (2)
< #209: '0' (2)
---
> #208: '1' (3)
> #209: '1' (3)
7141c7141
< #214: '0' (2)
---
> #214: '1' (3)
7144,7146c7144,7146
< #217: '0' (2)
< #218: '0' (2)
< #219: '0' (2)
---
> #217: '1' (3)
> #218: '1' (3)
> #219: '1' (3)
7148,7149c7148,7149
< #221: '0' (2)
< #222: '0' (2)
---
> #221: '1' (3)
> #222: '1' (3)
7157c7157
< #230: '0' (2)
---
> #230: '1' (3)
7160c7160
< #233: '0' (2)
---
> #233: '1' (3)
7163c7163
< #236: '0' (2)
---
> #236: '1' (3)
7166c7166
< #239: '0' (2)
---
> #239: '1' (3)
7173c7173
< #246: '0' (2)
---
> #246: '1' (3)
7177c7177
< #250: '0' (2)
---
> #250: '1' (3)
7181c7181
< #254: '0' (2)
---
> #254: '1' (3)
7189c7189
< #262: '0' (2)
---
> #262: '1' (3)
7222,7236c7222,7236
< #19: '0' (2)
< #20: '0' (2)
< #21: '0' (2)
< #22: '0' (2)
< #23: '0' (2)
< #24: '0' (2)
< #25: '0' (2)
< #26: '0' (2)
< #27: '0' (2)
< #28: '0' (2)
< #29: '0' (2)
< #30: '0' (2)
< #31: '0' (2)
< #32: '0' (2)
< #33: '0' (2)
---
> #19: '1' (3)
> #20: '1' (3)
> #21: '1' (3)
> #22: '1' (3)
> #23: '1' (3)
> #24: '1' (3)
> #25: '1' (3)
> #26: '1' (3)
> #27: '1' (3)
> #28: '1' (3)
> #29: '1' (3)
> #30: '1' (3)
> #31: '1' (3)
> #32: '1' (3)
> #33: '1' (3)
7238c7238
< #35: '0' (2)
---
> #35: '1' (3)
7255c7255
< #52: '0' (2)
---
> #52: '1' (3)
7257c7257
< #54: '0' (2)
---
> #54: '1' (3)
7259c7259
< #56: '0' (2)
---
> #56: '1' (3)
7261c7261
< #58: '0' (2)
---
> #58: '1' (3)
7263c7263
< #60: '0' (2)
---
> #60: '1' (3)
7265c7265
< #62: '0' (2)
---
> #62: '1' (3)
7267,7268c7267,7268
< #64: '0' (2)
< #65: '0' (2)
---
> #64: '1' (3)
> #65: '1' (3)
7271c7271
< #68: '0' (2)
---
> #68: '1' (3)
7288,7289c7288,7289
< #85: '0' (2)
< #86: '0' (2)
---
> #85: '1' (3)
> #86: '1' (3)
7292,7293c7292,7293
< #89: '0' (2)
< #90: '0' (2)
---
> #89: '1' (3)
> #90: '1' (3)
7296,7297c7296,7297
< #93: '0' (2)
< #94: '0' (2)
---
> #93: '1' (3)
> #94: '1' (3)
7299c7299
< #96: '0' (2)
---
> #96: '1' (3)
7304c7304
< #101: '0' (2)
---
> #101: '1' (3)
7306c7306
< #103: '0' (2)
---
> #103: '1' (3)
7308c7308
< #105: '0' (2)
---
> #105: '1' (3)
7310c7310
< #107: '0' (2)
---
> #107: '1' (3)
7312c7312
< #109: '0' (2)
---
> #109: '1' (3)
7314c7314
< #111: '0' (2)
---
> #111: '1' (3)
7316c7316
< #113: '0' (2)
---
> #113: '1' (3)
7320c7320
< #117: '0' (2)
---
> #117: '1' (3)
7323c7323
< #120: '0' (2)
---
> #120: '1' (3)
7326c7326
< #123: '0' (2)
---
> #123: '1' (3)
7329c7329
< #126: '0' (2)
---
> #126: '1' (3)
7332c7332
< #129: '0' (2)
---
> #129: '1' (3)
7336c7336
< #133: '0' (2)
---
> #133: '1' (3)
7353,7355c7353,7355
< #150: '0' (2)
< #151: '0' (2)
< #152: '0' (2)
---
> #150: '1' (3)
> #151: '1' (3)
> #152: '1' (3)
7359,7361c7359,7361
< #156: '0' (2)
< #157: '0' (2)
< #158: '0' (2)
---
> #156: '1' (3)
> #157: '1' (3)
> #158: '1' (3)
7364c7364
< #161: '0' (2)
---
> #161: '1' (3)
7369,7370c7369,7370
< #166: '0' (2)
< #167: '0' (2)
---
> #166: '1' (3)
> #167: '1' (3)
7373,7374c7373,7374
< #170: '0' (2)
< #171: '0' (2)
---
> #170: '1' (3)
> #171: '1' (3)
7377,7378c7377,7378
< #174: '0' (2)
< #175: '0' (2)
---
> #174: '1' (3)
> #175: '1' (3)
7380c7380
< #177: '0' (2)
---
> #177: '1' (3)
7385c7385
< #182: '0' (2)
---
> #182: '1' (3)
7387,7389c7387,7389
< #184: '0' (2)
< #185: '0' (2)
< #186: '0' (2)
---
> #184: '1' (3)
> #185: '1' (3)
> #186: '1' (3)
7391c7391
< #188: '0' (2)
---
> #188: '1' (3)
7395,7396c7395,7396
< #192: '0' (2)
< #193: '0' (2)
---
> #192: '1' (3)
> #193: '1' (3)
7401c7401
< #198: '0' (2)
---
> #198: '1' (3)
7403c7403
< #200: '0' (2)
---
> #200: '1' (3)
7405c7405
< #202: '0' (2)
---
> #202: '1' (3)
7407c7407
< #204: '0' (2)
---
> #204: '1' (3)
7409c7409
< #206: '0' (2)
---
> #206: '1' (3)
7411,7412c7411,7412
< #208: '0' (2)
< #209: '0' (2)
---
> #208: '1' (3)
> #209: '1' (3)
7417c7417
< #214: '0' (2)
---
> #214: '1' (3)
7420,7422c7420,7422
< #217: '0' (2)
< #218: '0' (2)
< #219: '0' (2)
---
> #217: '1' (3)
> #218: '1' (3)
> #219: '1' (3)
7424,7425c7424,7425
< #221: '0' (2)
< #222: '0' (2)
---
> #221: '1' (3)
> #222: '1' (3)
7433c7433
< #230: '0' (2)
---
> #230: '1' (3)
7436c7436
< #233: '0' (2)
---
> #233: '1' (3)
7439c7439
< #236: '0' (2)
---
> #236: '1' (3)
7442c7442
< #239: '0' (2)
---
> #239: '1' (3)
7449c7449
< #246: '0' (2)
---
> #246: '1' (3)
7453c7453
< #250: '0' (2)
---
> #250: '1' (3)
7457c7457
< #254: '0' (2)
---
> #254: '1' (3)
7465c7465
< #262: '0' (2)
---
> #262: '1' (3)
7498,7512c7498,7512
< #19: '0' (2)
< #20: '0' (2)
< #21: '0' (2)
< #22: '0' (2)
< #23: '0' (2)
< #24: '0' (2)
< #25: '0' (2)
< #26: '0' (2)
< #27: '0' (2)
< #28: '0' (2)
< #29: '0' (2)
< #30: '0' (2)
< #31: '0' (2)
< #32: '0' (2)
< #33: '0' (2)
---
> #19: '1' (3)
> #20: '1' (3)
> #21: '1' (3)
> #22: '1' (3)
> #23: '1' (3)
> #24: '1' (3)
> #25: '1' (3)
> #26: '1' (3)
> #27: '1' (3)
> #28: '1' (3)
> #29: '1' (3)
> #30: '1' (3)
> #31: '1' (3)
> #32: '1' (3)
> #33: '1' (3)
7514c7514
< #35: '0' (2)
---
> #35: '1' (3)
7531c7531
< #52: '0' (2)
---
> #52: '1' (3)
7533c7533
< #54: '0' (2)
---
> #54: '1' (3)
7535c7535
< #56: '0' (2)
---
> #56: '1' (3)
7537c7537
< #58: '0' (2)
---
> #58: '1' (3)
7539c7539
< #60: '0' (2)
---
> #60: '1' (3)
7541c7541
< #62: '0' (2)
---
> #62: '1' (3)
7543,7544c7543,7544
< #64: '0' (2)
< #65: '0' (2)
---
> #64: '1' (3)
> #65: '1' (3)
7547c7547
< #68: '0' (2)
---
> #68: '1' (3)
7564,7565c7564,7565
< #85: '0' (2)
< #86: '0' (2)
---
> #85: '1' (3)
> #86: '1' (3)
7568,7569c7568,7569
< #89: '0' (2)
< #90: '0' (2)
---
> #89: '1' (3)
> #90: '1' (3)
7572,7573c7572,7573
< #93: '0' (2)
< #94: '0' (2)
---
> #93: '1' (3)
> #94: '1' (3)
7575c7575
< #96: '0' (2)
---
> #96: '1' (3)
7580c7580
< #101: '0' (2)
---
> #101: '1' (3)
7582c7582
< #103: '0' (2)
---
> #103: '1' (3)
7584c7584
< #105: '0' (2)
---
> #105: '1' (3)
7586c7586
< #107: '0' (2)
---
> #107: '1' (3)
7588c7588
< #109: '0' (2)
---
> #109: '1' (3)
7590c7590
< #111: '0' (2)
---
> #111: '1' (3)
7592c7592
< #113: '0' (2)
---
> #113: '1' (3)
7596c7596
< #117: '0' (2)
---
> #117: '1' (3)
7599c7599
< #120: '0' (2)
---
> #120: '1' (3)
7602c7602
< #123: '0' (2)
---
> #123: '1' (3)
7605c7605
< #126: '0' (2)
---
> #126: '1' (3)
7608c7608
< #129: '0' (2)
---
> #129: '1' (3)
7612c7612
< #133: '0' (2)
---
> #133: '1' (3)
7629,7631c7629,7631
< #150: '0' (2)
< #151: '0' (2)
< #152: '0' (2)
---
> #150: '1' (3)
> #151: '1' (3)
> #152: '1' (3)
7635,7637c7635,7637
< #156: '0' (2)
< #157: '0' (2)
< #158: '0' (2)
---
> #156: '1' (3)
> #157: '1' (3)
> #158: '1' (3)
7640c7640
< #161: '0' (2)
---
> #161: '1' (3)
7645,7646c7645,7646
< #166: '0' (2)
< #167: '0' (2)
---
> #166: '1' (3)
> #167: '1' (3)
7649,7650c7649,7650
< #170: '0' (2)
< #171: '0' (2)
---
> #170: '1' (3)
> #171: '1' (3)
7653,7654c7653,7654
< #174: '0' (2)
< #175: '0' (2)
---
> #174: '1' (3)
> #175: '1' (3)
7656c7656
< #177: '0' (2)
---
> #177: '1' (3)
7661c7661
< #182: '0' (2)
---
> #182: '1' (3)
7663,7665c7663,7665
< #184: '0' (2)
< #185: '0' (2)
< #186: '0' (2)
---
> #184: '1' (3)
> #185: '1' (3)
> #186: '1' (3)
7667c7667
< #188: '0' (2)
---
> #188: '1' (3)
7671,7672c7671,7672
< #192: '0' (2)
< #193: '0' (2)
---
> #192: '1' (3)
> #193: '1' (3)
7677c7677
< #198: '0' (2)
---
> #198: '1' (3)
7679c7679
< #200: '0' (2)
---
> #200: '1' (3)
7681c7681
< #202: '0' (2)
---
> #202: '1' (3)
7683c7683
< #204: '0' (2)
---
> #204: '1' (3)
7685c7685
< #206: '0' (2)
---
> #206: '1' (3)
7687,7688c7687,7688
< #208: '0' (2)
< #209: '0' (2)
---
> #208: '1' (3)
> #209: '1' (3)
7693c7693
< #214: '0' (2)
---
> #214: '1' (3)
7696,7698c7696,7698
< #217: '0' (2)
< #218: '0' (2)
< #219: '0' (2)
---
> #217: '1' (3)
> #218: '1' (3)
> #219: '1' (3)
7700,7701c7700,7701
< #221: '0' (2)
< #222: '0' (2)
---
> #221: '1' (3)
> #222: '1' (3)
7709c7709
< #230: '0' (2)
---
> #230: '1' (3)
7712c7712
< #233: '0' (2)
---
> #233: '1' (3)
7715c7715
< #236: '0' (2)
---
> #236: '1' (3)
7718c7718
< #239: '0' (2)
---
> #239: '1' (3)
7725c7725
< #246: '0' (2)
---
> #246: '1' (3)
7729c7729
< #250: '0' (2)
---
> #250: '1' (3)
7733c7733
< #254: '0' (2)
---
> #254: '1' (3)
7741c7741
< #262: '0' (2)
---
> #262: '1' (3)
7774,7788c7774,7788
< #19: '0' (2)
< #20: '0' (2)
< #21: '0' (2)
< #22: '0' (2)
< #23: '0' (2)
< #24: '0' (2)
< #25: '0' (2)
< #26: '0' (2)
< #27: '0' (2)
< #28: '0' (2)
< #29: '0' (2)
< #30: '0' (2)
< #31: '0' (2)
< #32: '0' (2)
< #33: '0' (2)
---
> #19: '1' (3)
> #20: '1' (3)
> #21: '1' (3)
> #22: '1' (3)
> #23: '1' (3)
> #24: '1' (3)
> #25: '1' (3)
> #26: '1' (3)
> #27: '1' (3)
> #28: '1' (3)
> #29: '1' (3)
> #30: '1' (3)
> #31: '1' (3)
> #32: '1' (3)
> #33: '1' (3)
7790c7790
< #35: '0' (2)
---
> #35: '1' (3)
7807c7807
< #52: '0' (2)
---
> #52: '1' (3)
7809c7809
< #54: '0' (2)
---
> #54: '1' (3)
7811c7811
< #56: '0' (2)
---
> #56: '1' (3)
7813c7813
< #58: '0' (2)
---
> #58: '1' (3)
7815c7815
< #60: '0' (2)
---
> #60: '1' (3)
7817c7817
< #62: '0' (2)
---
> #62: '1' (3)
7819,7820c7819,7820
< #64: '0' (2)
< #65: '0' (2)
---
> #64: '1' (3)
> #65: '1' (3)
7823c7823
< #68: '0' (2)
---
> #68: '1' (3)
7840,7841c7840,7841
< #85: '0' (2)
< #86: '0' (2)
---
> #85: '1' (3)
> #86: '1' (3)
7844,7845c7844,7845
< #89: '0' (2)
< #90: '0' (2)
---
> #89: '1' (3)
> #90: '1' (3)
7848,7849c7848,7849
< #93: '0' (2)
< #94: '0' (2)
---
> #93: '1' (3)
> #94: '1' (3)
7851c7851
< #96: '0' (2)
---
> #96: '1' (3)
7856c7856
< #101: '0' (2)
---
> #101: '1' (3)
7858c7858
< #103: '0' (2)
---
> #103: '1' (3)
7860c7860
< #105: '0' (2)
---
> #105: '1' (3)
7862c7862
< #107: '0' (2)
---
> #107: '1' (3)
7864c7864
< #109: '0' (2)
---
> #109: '1' (3)
7866c7866
< #111: '0' (2)
---
> #111: '1' (3)
7868c7868
< #113: '0' (2)
---
> #113: '1' (3)
7872c7872
< #117: '0' (2)
---
> #117: '1' (3)
7875c7875
< #120: '0' (2)
---
> #120: '1' (3)
7878c7878
< #123: '0' (2)
---
> #123: '1' (3)
7881c7881
< #126: '0' (2)
---
> #126: '1' (3)
7884c7884
< #129: '0' (2)
---
> #129: '1' (3)
7888c7888
< #133: '0' (2)
---
> #133: '1' (3)
7905,7907c7905,7907
< #150: '0' (2)
< #151: '0' (2)
< #152: '0' (2)
---
> #150: '1' (3)
> #151: '1' (3)
> #152: '1' (3)
7911,7913c7911,7913
< #156: '0' (2)
< #157: '0' (2)
< #158: '0' (2)
---
> #156: '1' (3)
> #157: '1' (3)
> #158: '1' (3)
7916c7916
< #161: '0' (2)
---
> #161: '1' (3)
7921,7922c7921,7922
< #166: '0' (2)
< #167: '0' (2)
---
> #166: '1' (3)
> #167: '1' (3)
7925,7926c7925,7926
< #170: '0' (2)
< #171: '0' (2)
---
> #170: '1' (3)
> #171: '1' (3)
7929,7930c7929,7930
< #174: '0' (2)
< #175: '0' (2)
---
> #174: '1' (3)
> #175: '1' (3)
7932c7932
< #177: '0' (2)
---
> #177: '1' (3)
7937c7937
< #182: '0' (2)
---
> #182: '1' (3)
7939,7941c7939,7941
< #184: '0' (2)
< #185: '0' (2)
< #186: '0' (2)
---
> #184: '1' (3)
> #185: '1' (3)
> #186: '1' (3)
7943c7943
< #188: '0' (2)
---
> #188: '1' (3)
7947,7948c7947,7948
< #192: '0' (2)
< #193: '0' (2)
---
> #192: '1' (3)
> #193: '1' (3)
7953c7953
< #198: '0' (2)
---
> #198: '1' (3)
7955c7955
< #200: '0' (2)
---
> #200: '1' (3)
7957c7957
< #202: '0' (2)
---
> #202: '1' (3)
7959c7959
< #204: '0' (2)
---
> #204: '1' (3)
7961c7961
< #206: '0' (2)
---
> #206: '1' (3)
7963,7964c7963,7964
< #208: '0' (2)
< #209: '0' (2)
---
> #208: '1' (3)
> #209: '1' (3)
7969c7969
< #214: '0' (2)
---
> #214: '1' (3)
7972,7974c7972,7974
< #217: '0' (2)
< #218: '0' (2)
< #219: '0' (2)
---
> #217: '1' (3)
> #218: '1' (3)
> #219: '1' (3)
7976,7977c7976,7977
< #221: '0' (2)
< #222: '0' (2)
---
> #221: '1' (3)
> #222: '1' (3)
7985c7985
< #230: '0' (2)
---
> #230: '1' (3)
7988c7988
< #233: '0' (2)
---
> #233: '1' (3)
7991c7991
< #236: '0' (2)
---
> #236: '1' (3)
7994c7994
< #239: '0' (2)
---
> #239: '1' (3)
8001c8001
< #246: '0' (2)
---
> #246: '1' (3)
8005c8005
< #250: '0' (2)
---
> #250: '1' (3)
8009c8009
< #254: '0' (2)
---
> #254: '1' (3)
8017c8017
< #262: '0' (2)
---
> #262: '1' (3)
8050,8064c8050,8064
< #19: '0' (2)
< #20: '0' (2)
< #21: '0' (2)
< #22: '0' (2)
< #23: '0' (2)
< #24: '0' (2)
< #25: '0' (2)
< #26: '0' (2)
< #27: '0' (2)
< #28: '0' (2)
< #29: '0' (2)
< #30: '0' (2)
< #31: '0' (2)
< #32: '0' (2)
< #33: '0' (2)
---
> #19: '1' (3)
> #20: '1' (3)
> #21: '1' (3)
> #22: '1' (3)
> #23: '1' (3)
> #24: '1' (3)
> #25: '1' (3)
> #26: '1' (3)
> #27: '1' (3)
> #28: '1' (3)
> #29: '1' (3)
> #30: '1' (3)
> #31: '1' (3)
> #32: '1' (3)
> #33: '1' (3)
8066c8066
< #35: '0' (2)
---
> #35: '1' (3)
8083c8083
< #52: '0' (2)
---
> #52: '1' (3)
8085c8085
< #54: '0' (2)
---
> #54: '1' (3)
8087c8087
< #56: '0' (2)
---
> #56: '1' (3)
8089c8089
< #58: '0' (2)
---
> #58: '1' (3)
8091c8091
< #60: '0' (2)
---
> #60: '1' (3)
8093c8093
< #62: '0' (2)
---
> #62: '1' (3)
8095,8096c8095,8096
< #64: '0' (2)
< #65: '0' (2)
---
> #64: '1' (3)
> #65: '1' (3)
8099c8099
< #68: '0' (2)
---
> #68: '1' (3)
8116,8117c8116,8117
< #85: '0' (2)
< #86: '0' (2)
---
> #85: '1' (3)
> #86: '1' (3)
8120,8121c8120,8121
< #89: '0' (2)
< #90: '0' (2)
---
> #89: '1' (3)
> #90: '1' (3)
8124,8125c8124,8125
< #93: '0' (2)
< #94: '0' (2)
---
> #93: '1' (3)
> #94: '1' (3)
8127c8127
< #96: '0' (2)
---
> #96: '1' (3)
8132c8132
< #101: '0' (2)
---
> #101: '1' (3)
8134c8134
< #103: '0' (2)
---
> #103: '1' (3)
8136c8136
< #105: '0' (2)
---
> #105: '1' (3)
8138c8138
< #107: '0' (2)
---
> #107: '1' (3)
8140c8140
< #109: '0' (2)
---
> #109: '1' (3)
8142c8142
< #111: '0' (2)
---
> #111: '1' (3)
8144c8144
< #113: '0' (2)
---
> #113: '1' (3)
8148c8148
< #117: '0' (2)
---
> #117: '1' (3)
8151c8151
< #120: '0' (2)
---
> #120: '1' (3)
8154c8154
< #123: '0' (2)
---
> #123: '1' (3)
8157c8157
< #126: '0' (2)
---
> #126: '1' (3)
8160c8160
< #129: '0' (2)
---
> #129: '1' (3)
8164c8164
< #133: '0' (2)
---
> #133: '1' (3)
8181,8183c8181,8183
< #150: '0' (2)
< #151: '0' (2)
< #152: '0' (2)
---
> #150: '1' (3)
> #151: '1' (3)
> #152: '1' (3)
8187,8189c8187,8189
< #156: '0' (2)
< #157: '0' (2)
< #158: '0' (2)
---
> #156: '1' (3)
> #157: '1' (3)
> #158: '1' (3)
8192c8192
< #161: '0' (2)
---
> #161: '1' (3)
8197,8198c8197,8198
< #166: '0' (2)
< #167: '0' (2)
---
> #166: '1' (3)
> #167: '1' (3)
8201,8202c8201,8202
< #170: '0' (2)
< #171: '0' (2)
---
> #170: '1' (3)
> #171: '1' (3)
8205,8206c8205,8206
< #174: '0' (2)
< #175: '0' (2)
---
> #174: '1' (3)
> #175: '1' (3)
8208c8208
< #177: '0' (2)
---
> #177: '1' (3)
8213c8213
< #182: '0' (2)
---
> #182: '1' (3)
8215,8217c8215,8217
< #184: '0' (2)
< #185: '0' (2)
< #186: '0' (2)
---
> #184: '1' (3)
> #185: '1' (3)
> #186: '1' (3)
8219c8219
< #188: '0' (2)
---
> #188: '1' (3)
8223,8224c8223,8224
< #192: '0' (2)
< #193: '0' (2)
---
> #192: '1' (3)
> #193: '1' (3)
8229c8229
< #198: '0' (2)
---
> #198: '1' (3)
8231c8231
< #200: '0' (2)
---
> #200: '1' (3)
8233c8233
< #202: '0' (2)
---
> #202: '1' (3)
8235c8235
< #204: '0' (2)
---
> #204: '1' (3)
8237c8237
< #206: '0' (2)
---
> #206: '1' (3)
8239,8240c8239,8240
< #208: '0' (2)
< #209: '0' (2)
---
> #208: '1' (3)
> #209: '1' (3)
8245c8245
< #214: '0' (2)
---
> #214: '1' (3)
8248,8250c8248,8250
< #217: '0' (2)
< #218: '0' (2)
< #219: '0' (2)
---
> #217: '1' (3)
> #218: '1' (3)
> #219: '1' (3)
8252,8253c8252,8253
< #221: '0' (2)
< #222: '0' (2)
---
> #221: '1' (3)
> #222: '1' (3)
8261c8261
< #230: '0' (2)
---
> #230: '1' (3)
8264c8264
< #233: '0' (2)
---
> #233: '1' (3)
8267c8267
< #236: '0' (2)
---
> #236: '1' (3)
8270c8270
< #239: '0' (2)
---
> #239: '1' (3)
8277c8277
< #246: '0' (2)
---
> #246: '1' (3)
8281c8281
< #250: '0' (2)
---
> #250: '1' (3)
8285c8285
< #254: '0' (2)
---
> #254: '1' (3)
8293c8293
< #262: '0' (2)
---
> #262: '1' (3)
8326,8340c8326,8340
< #19: '0' (2)
< #20: '0' (2)
< #21: '0' (2)
< #22: '0' (2)
< #23: '0' (2)
< #24: '0' (2)
< #25: '0' (2)
< #26: '0' (2)
< #27: '0' (2)
< #28: '0' (2)
< #29: '0' (2)
< #30: '0' (2)
< #31: '0' (2)
< #32: '0' (2)
< #33: '0' (2)
---
> #19: '1' (3)
> #20: '1' (3)
> #21: '1' (3)
> #22: '1' (3)
> #23: '1' (3)
> #24: '1' (3)
> #25: '1' (3)
> #26: '1' (3)
> #27: '1' (3)
> #28: '1' (3)
> #29: '1' (3)
> #30: '1' (3)
> #31: '1' (3)
> #32: '1' (3)
> #33: '1' (3)
8342c8342
< #35: '0' (2)
---
> #35: '1' (3)
8359c8359
< #52: '0' (2)
---
> #52: '1' (3)
8361c8361
< #54: '0' (2)
---
> #54: '1' (3)
8363c8363
< #56: '0' (2)
---
> #56: '1' (3)
8365c8365
< #58: '0' (2)
---
> #58: '1' (3)
8367c8367
< #60: '0' (2)
---
> #60: '1' (3)
8369c8369
< #62: '0' (2)
---
> #62: '1' (3)
8371,8372c8371,8372
< #64: '0' (2)
< #65: '0' (2)
---
> #64: '1' (3)
> #65: '1' (3)
8375c8375
< #68: '0' (2)
---
> #68: '1' (3)
8392,8393c8392,8393
< #85: '0' (2)
< #86: '0' (2)
---
> #85: '1' (3)
> #86: '1' (3)
8396,8397c8396,8397
< #89: '0' (2)
< #90: '0' (2)
---
> #89: '1' (3)
> #90: '1' (3)
8400,8401c8400,8401
< #93: '0' (2)
< #94: '0' (2)
---
> #93: '1' (3)
> #94: '1' (3)
8403c8403
< #96: '0' (2)
---
> #96: '1' (3)
8408c8408
< #101: '0' (2)
---
> #101: '1' (3)
8410c8410
< #103: '0' (2)
---
> #103: '1' (3)
8412c8412
< #105: '0' (2)
---
> #105: '1' (3)
8414c8414
< #107: '0' (2)
---
> #107: '1' (3)
8416c8416
< #109: '0' (2)
---
> #109: '1' (3)
8418c8418
< #111: '0' (2)
---
> #111: '1' (3)
8420c8420
< #113: '0' (2)
---
> #113: '1' (3)
8424c8424
< #117: '0' (2)
---
> #117: '1' (3)
8427c8427
< #120: '0' (2)
---
> #120: '1' (3)
8430c8430
< #123: '0' (2)
---
> #123: '1' (3)
8433c8433
< #126: '0' (2)
---
> #126: '1' (3)
8436c8436
< #129: '0' (2)
---
> #129: '1' (3)
8440c8440
< #133: '0' (2)
---
> #133: '1' (3)
8457,8459c8457,8459
< #150: '0' (2)
< #151: '0' (2)
< #152: '0' (2)
---
> #150: '1' (3)
> #151: '1' (3)
> #152: '1' (3)
8463,8465c8463,8465
< #156: '0' (2)
< #157: '0' (2)
< #158: '0' (2)
---
> #156: '1' (3)
> #157: '1' (3)
> #158: '1' (3)
8468c8468
< #161: '0' (2)
---
> #161: '1' (3)
8473,8474c8473,8474
< #166: '0' (2)
< #167: '0' (2)
---
> #166: '1' (3)
> #167: '1' (3)
8477,8478c8477,8478
< #170: '0' (2)
< #171: '0' (2)
---
> #170: '1' (3)
> #171: '1' (3)
8481,8482c8481,8482
< #174: '0' (2)
< #175: '0' (2)
---
> #174: '1' (3)
> #175: '1' (3)
8484c8484
< #177: '0' (2)
---
> #177: '1' (3)
8489c8489
< #182: '0' (2)
---
> #182: '1' (3)
8491,8493c8491,8493
< #184: '0' (2)
< #185: '0' (2)
< #186: '0' (2)
---
> #184: '1' (3)
> #185: '1' (3)
> #186: '1' (3)
8495c8495
< #188: '0' (2)
---
> #188: '1' (3)
8499,8500c8499,8500
< #192: '0' (2)
< #193: '0' (2)
---
> #192: '1' (3)
> #193: '1' (3)
8505c8505
< #198: '0' (2)
---
> #198: '1' (3)
8507c8507
< #200: '0' (2)
---
> #200: '1' (3)
8509c8509
< #202: '0' (2)
---
> #202: '1' (3)
8511c8511
< #204: '0' (2)
---
> #204: '1' (3)
8513c8513
< #206: '0' (2)
---
> #206: '1' (3)
8515,8516c8515,8516
< #208: '0' (2)
< #209: '0' (2)
---
> #208: '1' (3)
> #209: '1' (3)
8521c8521
< #214: '0' (2)
---
> #214: '1' (3)
8524,8526c8524,8526
< #217: '0' (2)
< #218: '0' (2)
< #219: '0' (2)
---
> #217: '1' (3)
> #218: '1' (3)
> #219: '1' (3)
8528,8529c8528,8529
< #221: '0' (2)
< #222: '0' (2)
---
> #221: '1' (3)
> #222: '1' (3)
8537c8537
< #230: '0' (2)
---
> #230: '1' (3)
8540c8540
< #233: '0' (2)
---
> #233: '1' (3)
8543c8543
< #236: '0' (2)
---
> #236: '1' (3)
8546c8546
< #239: '0' (2)
---
> #239: '1' (3)
8553c8553
< #246: '0' (2)
---
> #246: '1' (3)
8557c8557
< #250: '0' (2)
---
> #250: '1' (3)
8561c8561
< #254: '0' (2)
---
> #254: '1' (3)
8569c8569
< #262: '0' (2)
---
> #262: '1' (3)
8602,8616c8602,8616
< #19: '0' (2)
< #20: '0' (2)
< #21: '0' (2)
< #22: '0' (2)
< #23: '0' (2)
< #24: '0' (2)
< #25: '0' (2)
< #26: '0' (2)
< #27: '0' (2)
< #28: '0' (2)
< #29: '0' (2)
< #30: '0' (2)
< #31: '0' (2)
< #32: '0' (2)
< #33: '0' (2)
---
> #19: '1' (3)
> #20: '1' (3)
> #21: '1' (3)
> #22: '1' (3)
> #23: '1' (3)
> #24: '1' (3)
> #25: '1' (3)
> #26: '1' (3)
> #27: '1' (3)
> #28: '1' (3)
> #29: '1' (3)
> #30: '1' (3)
> #31: '1' (3)
> #32: '1' (3)
> #33: '1' (3)
8618c8618
< #35: '0' (2)
---
> #35: '1' (3)
8635c8635
< #52: '0' (2)
---
> #52: '1' (3)
8637c8637
< #54: '0' (2)
---
> #54: '1' (3)
8639c8639
< #56: '0' (2)
---
> #56: '1' (3)
8641c8641
< #58: '0' (2)
---
> #58: '1' (3)
8643c8643
< #60: '0' (2)
---
> #60: '1' (3)
8645c8645
< #62: '0' (2)
---
> #62: '1' (3)
8647,8648c8647,8648
< #64: '0' (2)
< #65: '0' (2)
---
> #64: '1' (3)
> #65: '1' (3)
8651c8651
< #68: '0' (2)
---
> #68: '1' (3)
8668,8669c8668,8669
< #85: '0' (2)
< #86: '0' (2)
---
> #85: '1' (3)
> #86: '1' (3)
8672,8673c8672,8673
< #89: '0' (2)
< #90: '0' (2)
---
> #89: '1' (3)
> #90: '1' (3)
8676,8677c8676,8677
< #93: '0' (2)
< #94: '0' (2)
---
> #93: '1' (3)
> #94: '1' (3)
8679c8679
< #96: '0' (2)
---
> #96: '1' (3)
8684c8684
< #101: '0' (2)
---
> #101: '1' (3)
8686c8686
< #103: '0' (2)
---
> #103: '1' (3)
8688c8688
< #105: '0' (2)
---
> #105: '1' (3)
8690c8690
< #107: '0' (2)
---
> #107: '1' (3)
8692c8692
< #109: '0' (2)
---
> #109: '1' (3)
8694c8694
< #111: '0' (2)
---
> #111: '1' (3)
8696c8696
< #113: '0' (2)
---
> #113: '1' (3)
8700c8700
< #117: '0' (2)
---
> #117: '1' (3)
8703c8703
< #120: '0' (2)
---
> #120: '1' (3)
8706c8706
< #123: '0' (2)
---
> #123: '1' (3)
8709c8709
< #126: '0' (2)
---
> #126: '1' (3)
8712c8712
< #129: '0' (2)
---
> #129: '1' (3)
8716c8716
< #133: '0' (2)
---
> #133: '1' (3)
8733,8735c8733,8735
< #150: '0' (2)
< #151: '0' (2)
< #152: '0' (2)
---
> #150: '1' (3)
> #151: '1' (3)
> #152: '1' (3)
8739,8741c8739,8741
< #156: '0' (2)
< #157: '0' (2)
< #158: '0' (2)
---
> #156: '1' (3)
> #157: '1' (3)
> #158: '1' (3)
8744c8744
< #161: '0' (2)
---
> #161: '1' (3)
8749,8750c8749,8750
< #166: '0' (2)
< #167: '0' (2)
---
> #166: '1' (3)
> #167: '1' (3)
8753,8754c8753,8754
< #170: '0' (2)
< #171: '0' (2)
---
> #170: '1' (3)
> #171: '1' (3)
8757,8758c8757,8758
< #174: '0' (2)
< #175: '0' (2)
---
> #174: '1' (3)
> #175: '1' (3)
8760c8760
< #177: '0' (2)
---
> #177: '1' (3)
8765c8765
< #182: '0' (2)
---
> #182: '1' (3)
8767,8769c8767,8769
< #184: '0' (2)
< #185: '0' (2)
< #186: '0' (2)
---
> #184: '1' (3)
> #185: '1' (3)
> #186: '1' (3)
8771c8771
< #188: '0' (2)
---
> #188: '1' (3)
8775,8776c8775,8776
< #192: '0' (2)
< #193: '0' (2)
---
> #192: '1' (3)
> #193: '1' (3)
8781c8781
< #198: '0' (2)
---
> #198: '1' (3)
8783c8783
< #200: '0' (2)
---
> #200: '1' (3)
8785c8785
< #202: '0' (2)
---
> #202: '1' (3)
8787c8787
< #204: '0' (2)
---
> #204: '1' (3)
8789c8789
< #206: '0' (2)
---
> #206: '1' (3)
8791,8792c8791,8792
< #208: '0' (2)
< #209: '0' (2)
---
> #208: '1' (3)
> #209: '1' (3)
8797c8797
< #214: '0' (2)
---
> #214: '1' (3)
8800,8802c8800,8802
< #217: '0' (2)
< #218: '0' (2)
< #219: '0' (2)
---
> #217: '1' (3)
> #218: '1' (3)
> #219: '1' (3)
8804,8805c8804,8805
< #221: '0' (2)
< #222: '0' (2)
---
> #221: '1' (3)
> #222: '1' (3)
8813c8813
< #230: '0' (2)
---
> #230: '1' (3)
8816c8816
< #233: '0' (2)
---
> #233: '1' (3)
8819c8819
< #236: '0' (2)
---
> #236: '1' (3)
8822c8822
< #239: '0' (2)
---
> #239: '1' (3)
8829c8829
< #246: '0' (2)
---
> #246: '1' (3)
8833c8833
< #250: '0' (2)
---
> #250: '1' (3)
8837c8837
< #254: '0' (2)
---
> #254: '1' (3)
8845c8845
< #262: '0' (2)
---
> #262: '1' (3)
8878,8892c8878,8892
< #19: '0' (2)
< #20: '0' (2)
< #21: '0' (2)
< #22: '0' (2)
< #23: '0' (2)
< #24: '0' (2)
< #25: '0' (2)
< #26: '0' (2)
< #27: '0' (2)
< #28: '0' (2)
< #29: '0' (2)
< #30: '0' (2)
< #31: '0' (2)
< #32: '0' (2)
< #33: '0' (2)
---
> #19: '1' (3)
> #20: '1' (3)
> #21: '1' (3)
> #22: '1' (3)
> #23: '1' (3)
> #24: '1' (3)
> #25: '1' (3)
> #26: '1' (3)
> #27: '1' (3)
> #28: '1' (3)
> #29: '1' (3)
> #30: '1' (3)
> #31: '1' (3)
> #32: '1' (3)
> #33: '1' (3)
8894c8894
< #35: '0' (2)
---
> #35: '1' (3)
8911c8911
< #52: '0' (2)
---
> #52: '1' (3)
8913c8913
< #54: '0' (2)
---
> #54: '1' (3)
8915c8915
< #56: '0' (2)
---
> #56: '1' (3)
8917c8917
< #58: '0' (2)
---
> #58: '1' (3)
8919c8919
< #60: '0' (2)
---
> #60: '1' (3)
8921c8921
< #62: '0' (2)
---
> #62: '1' (3)
8923,8924c8923,8924
< #64: '0' (2)
< #65: '0' (2)
---
> #64: '1' (3)
> #65: '1' (3)
8927c8927
< #68: '0' (2)
---
> #68: '1' (3)
8944,8945c8944,8945
< #85: '0' (2)
< #86: '0' (2)
---
> #85: '1' (3)
> #86: '1' (3)
8948,8949c8948,8949
< #89: '0' (2)
< #90: '0' (2)
---
> #89: '1' (3)
> #90: '1' (3)
8952,8953c8952,8953
< #93: '0' (2)
< #94: '0' (2)
---
> #93: '1' (3)
> #94: '1' (3)
8955c8955
< #96: '0' (2)
---
> #96: '1' (3)
8960c8960
< #101: '0' (2)
---
> #101: '1' (3)
8962c8962
< #103: '0' (2)
---
> #103: '1' (3)
8964c8964
< #105: '0' (2)
---
> #105: '1' (3)
8966c8966
< #107: '0' (2)
---
> #107: '1' (3)
8968c8968
< #109: '0' (2)
---
> #109: '1' (3)
8970c8970
< #111: '0' (2)
---
> #111: '1' (3)
8972c8972
< #113: '0' (2)
---
> #113: '1' (3)
8976c8976
< #117: '0' (2)
---
> #117: '1' (3)
8979c8979
< #120: '0' (2)
---
> #120: '1' (3)
8982c8982
< #123: '0' (2)
---
> #123: '1' (3)
8985c8985
< #126: '0' (2)
---
> #126: '1' (3)
8988c8988
< #129: '0' (2)
---
> #129: '1' (3)
8992c8992
< #133: '0' (2)
---
> #133: '1' (3)
9009,9011c9009,9011
< #150: '0' (2)
< #151: '0' (2)
< #152: '0' (2)
---
> #150: '1' (3)
> #151: '1' (3)
> #152: '1' (3)
9015,9017c9015,9017
< #156: '0' (2)
< #157: '0' (2)
< #158: '0' (2)
---
> #156: '1' (3)
> #157: '1' (3)
> #158: '1' (3)
9020c9020
< #161: '0' (2)
---
> #161: '1' (3)
9025,9026c9025,9026
< #166: '0' (2)
< #167: '0' (2)
---
> #166: '1' (3)
> #167: '1' (3)
9029,9030c9029,9030
< #170: '0' (2)
< #171: '0' (2)
---
> #170: '1' (3)
> #171: '1' (3)
9033,9034c9033,9034
< #174: '0' (2)
< #175: '0' (2)
---
> #174: '1' (3)
> #175: '1' (3)
9036c9036
< #177: '0' (2)
---
> #177: '1' (3)
9041c9041
< #182: '0' (2)
---
> #182: '1' (3)
9043,9045c9043,9045
< #184: '0' (2)
< #185: '0' (2)
< #186: '0' (2)
---
> #184: '1' (3)
> #185: '1' (3)
> #186: '1' (3)
9047c9047
< #188: '0' (2)
---
> #188: '1' (3)
9051,9052c9051,9052
< #192: '0' (2)
< #193: '0' (2)
---
> #192: '1' (3)
> #193: '1' (3)
9057c9057
< #198: '0' (2)
---
> #198: '1' (3)
9059c9059
< #200: '0' (2)
---
> #200: '1' (3)
9061c9061
< #202: '0' (2)
---
> #202: '1' (3)
9063c9063
< #204: '0' (2)
---
> #204: '1' (3)
9065c9065
< #206: '0' (2)
---
> #206: '1' (3)
9067,9068c9067,9068
< #208: '0' (2)
< #209: '0' (2)
---
> #208: '1' (3)
> #209: '1' (3)
9073c9073
< #214: '0' (2)
---
> #214: '1' (3)
9076,9078c9076,9078
< #217: '0' (2)
< #218: '0' (2)
< #219: '0' (2)
---
> #217: '1' (3)
> #218: '1' (3)
> #219: '1' (3)
9080,9081c9080,9081
< #221: '0' (2)
< #222: '0' (2)
---
> #221: '1' (3)
> #222: '1' (3)
9089c9089
< #230: '0' (2)
---
> #230: '1' (3)
9092c9092
< #233: '0' (2)
---
> #233: '1' (3)
9095c9095
< #236: '0' (2)
---
> #236: '1' (3)
9098c9098
< #239: '0' (2)
---
> #239: '1' (3)
9105c9105
< #246: '0' (2)
---
> #246: '1' (3)
9109c9109
< #250: '0' (2)
---
> #250: '1' (3)
9113c9113
< #254: '0' (2)
---
> #254: '1' (3)
9121c9121
< #262: '0' (2)
---
> #262: '1' (3)
9154,9168c9154,9168
< #19: '0' (2)
< #20: '0' (2)
< #21: '0' (2)
< #22: '0' (2)
< #23: '0' (2)
< #24: '0' (2)
< #25: '0' (2)
< #26: '0' (2)
< #27: '0' (2)
< #28: '0' (2)
< #29: '0' (2)
< #30: '0' (2)
< #31: '0' (2)
< #32: '0' (2)
< #33: '0' (2)
---
> #19: '1' (3)
> #20: '1' (3)
> #21: '1' (3)
> #22: '1' (3)
> #23: '1' (3)
> #24: '1' (3)
> #25: '1' (3)
> #26: '1' (3)
> #27: '1' (3)
> #28: '1' (3)
> #29: '1' (3)
> #30: '1' (3)
> #31: '1' (3)
> #32: '1' (3)
> #33: '1' (3)
9170c9170
< #35: '0' (2)
---
> #35: '1' (3)
9187c9187
< #52: '0' (2)
---
> #52: '1' (3)
9189c9189
< #54: '0' (2)
---
> #54: '1' (3)
9191c9191
< #56: '0' (2)
---
> #56: '1' (3)
9193c9193
< #58: '0' (2)
---
> #58: '1' (3)
9195c9195
< #60: '0' (2)
---
> #60: '1' (3)
9197c9197
< #62: '0' (2)
---
> #62: '1' (3)
9199,9200c9199,9200
< #64: '0' (2)
< #65: '0' (2)
---
> #64: '1' (3)
> #65: '1' (3)
9203c9203
< #68: '0' (2)
---
> #68: '1' (3)
9220,9221c9220,9221
< #85: '0' (2)
< #86: '0' (2)
---
> #85: '1' (3)
> #86: '1' (3)
9224,9225c9224,9225
< #89: '0' (2)
< #90: '0' (2)
---
> #89: '1' (3)
> #90: '1' (3)
9228,9229c9228,9229
< #93: '0' (2)
< #94: '0' (2)
---
> #93: '1' (3)
> #94: '1' (3)
9231c9231
< #96: '0' (2)
---
> #96: '1' (3)
9236c9236
< #101: '0' (2)
---
> #101: '1' (3)
9238c9238
< #103: '0' (2)
---
> #103: '1' (3)
9240c9240
< #105: '0' (2)
---
> #105: '1' (3)
9242c9242
< #107: '0' (2)
---
> #107: '1' (3)
9244c9244
< #109: '0' (2)
---
> #109: '1' (3)
9246c9246
< #111: '0' (2)
---
> #111: '1' (3)
9248c9248
< #113: '0' (2)
---
> #113: '1' (3)
9252c9252
< #117: '0' (2)
---
> #117: '1' (3)
9255c9255
< #120: '0' (2)
---
> #120: '1' (3)
9258c9258
< #123: '0' (2)
---
> #123: '1' (3)
9261c9261
< #126: '0' (2)
---
> #126: '1' (3)
9264c9264
< #129: '0' (2)
---
> #129: '1' (3)
9268c9268
< #133: '0' (2)
---
> #133: '1' (3)
9285,9287c9285,9287
< #150: '0' (2)
< #151: '0' (2)
< #152: '0' (2)
---
> #150: '1' (3)
> #151: '1' (3)
> #152: '1' (3)
9291,9293c9291,9293
< #156: '0' (2)
< #157: '0' (2)
< #158: '0' (2)
---
> #156: '1' (3)
> #157: '1' (3)
> #158: '1' (3)
9296c9296
< #161: '0' (2)
---
> #161: '1' (3)
9301,9302c9301,9302
< #166: '0' (2)
< #167: '0' (2)
---
> #166: '1' (3)
> #167: '1' (3)
9305,9306c9305,9306
< #170: '0' (2)
< #171: '0' (2)
---
> #170: '1' (3)
> #171: '1' (3)
9309,9310c9309,9310
< #174: '0' (2)
< #175: '0' (2)
---
> #174: '1' (3)
> #175: '1' (3)
9312c9312
< #177: '0' (2)
---
> #177: '1' (3)
9317c9317
< #182: '0' (2)
---
> #182: '1' (3)
9319,9321c9319,9321
< #184: '0' (2)
< #185: '0' (2)
< #186: '0' (2)
---
> #184: '1' (3)
> #185: '1' (3)
> #186: '1' (3)
9323c9323
< #188: '0' (2)
---
> #188: '1' (3)
9327,9328c9327,9328
< #192: '0' (2)
< #193: '0' (2)
---
> #192: '1' (3)
> #193: '1' (3)
9333c9333
< #198: '0' (2)
---
> #198: '1' (3)
9335c9335
< #200: '0' (2)
---
> #200: '1' (3)
9337c9337
< #202: '0' (2)
---
> #202: '1' (3)
9339c9339
< #204: '0' (2)
---
> #204: '1' (3)
9341c9341
< #206: '0' (2)
---
> #206: '1' (3)
9343,9344c9343,9344
< #208: '0' (2)
< #209: '0' (2)
---
> #208: '1' (3)
> #209: '1' (3)
9349c9349
< #214: '0' (2)
---
> #214: '1' (3)
9352,9354c9352,9354
< #217: '0' (2)
< #218: '0' (2)
< #219: '0' (2)
---
> #217: '1' (3)
> #218: '1' (3)
> #219: '1' (3)
9356,9357c9356,9357
< #221: '0' (2)
< #222: '0' (2)
---
> #221: '1' (3)
> #222: '1' (3)
9365c9365
< #230: '0' (2)
---
> #230: '1' (3)
9368c9368
< #233: '0' (2)
---
> #233: '1' (3)
9371c9371
< #236: '0' (2)
---
> #236: '1' (3)
9374c9374
< #239: '0' (2)
---
> #239: '1' (3)
9381c9381
< #246: '0' (2)
---
> #246: '1' (3)
9385c9385
< #250: '0' (2)
---
> #250: '1' (3)
9389c9389
< #254: '0' (2)
---
> #254: '1' (3)
9397c9397
< #262: '0' (2)
---
> #262: '1' (3)
9430,9444c9430,9444
< #19: '0' (2)
< #20: '0' (2)
< #21: '0' (2)
< #22: '0' (2)
< #23: '0' (2)
< #24: '0' (2)
< #25: '0' (2)
< #26: '0' (2)
< #27: '0' (2)
< #28: '0' (2)
< #29: '0' (2)
< #30: '0' (2)
< #31: '0' (2)
< #32: '0' (2)
< #33: '0' (2)
---
> #19: '1' (3)
> #20: '1' (3)
> #21: '1' (3)
> #22: '1' (3)
> #23: '1' (3)
> #24: '1' (3)
> #25: '1' (3)
> #26: '1' (3)
> #27: '1' (3)
> #28: '1' (3)
> #29: '1' (3)
> #30: '1' (3)
> #31: '1' (3)
> #32: '1' (3)
> #33: '1' (3)
9446c9446
< #35: '0' (2)
---
> #35: '1' (3)
9463c9463
< #52: '0' (2)
---
> #52: '1' (3)
9465c9465
< #54: '0' (2)
---
> #54: '1' (3)
9467c9467
< #56: '0' (2)
---
> #56: '1' (3)
9469c9469
< #58: '0' (2)
---
> #58: '1' (3)
9471c9471
< #60: '0' (2)
---
> #60: '1' (3)
9473c9473
< #62: '0' (2)
---
> #62: '1' (3)
9475,9476c9475,9476
< #64: '0' (2)
< #65: '0' (2)
---
> #64: '1' (3)
> #65: '1' (3)
9479c9479
< #68: '0' (2)
---
> #68: '1' (3)
9496,9497c9496,9497
< #85: '0' (2)
< #86: '0' (2)
---
> #85: '1' (3)
> #86: '1' (3)
9500,9501c9500,9501
< #89: '0' (2)
< #90: '0' (2)
---
> #89: '1' (3)
> #90: '1' (3)
9504,9505c9504,9505
< #93: '0' (2)
< #94: '0' (2)
---
> #93: '1' (3)
> #94: '1' (3)
9507c9507
< #96: '0' (2)
---
> #96: '1' (3)
9512c9512
< #101: '0' (2)
---
> #101: '1' (3)
9514c9514
< #103: '0' (2)
---
> #103: '1' (3)
9516c9516
< #105: '0' (2)
---
> #105: '1' (3)
9518c9518
< #107: '0' (2)
---
> #107: '1' (3)
9520c9520
< #109: '0' (2)
---
> #109: '1' (3)
9522c9522
< #111: '0' (2)
---
> #111: '1' (3)
9524c9524
< #113: '0' (2)
---
> #113: '1' (3)
9528c9528
< #117: '0' (2)
---
> #117: '1' (3)
9531c9531
< #120: '0' (2)
---
> #120: '1' (3)
9534c9534
< #123: '0' (2)
---
> #123: '1' (3)
9537c9537
< #126: '0' (2)
---
> #126: '1' (3)
9540c9540
< #129: '0' (2)
---
> #129: '1' (3)
9544c9544
< #133: '0' (2)
---
> #133: '1' (3)
9561,9563c9561,9563
< #150: '0' (2)
< #151: '0' (2)
< #152: '0' (2)
---
> #150: '1' (3)
> #151: '1' (3)
> #152: '1' (3)
9567,9569c9567,9569
< #156: '0' (2)
< #157: '0' (2)
< #158: '0' (2)
---
> #156: '1' (3)
> #157: '1' (3)
> #158: '1' (3)
9572c9572
< #161: '0' (2)
---
> #161: '1' (3)
9577,9578c9577,9578
< #166: '0' (2)
< #167: '0' (2)
---
> #166: '1' (3)
> #167: '1' (3)
9581,9582c9581,9582
< #170: '0' (2)
< #171: '0' (2)
---
> #170: '1' (3)
> #171: '1' (3)
9585,9586c9585,9586
< #174: '0' (2)
< #175: '0' (2)
---
> #174: '1' (3)
> #175: '1' (3)
9588c9588
< #177: '0' (2)
---
> #177: '1' (3)
9593c9593
< #182: '0' (2)
---
> #182: '1' (3)
9595,9597c9595,9597
< #184: '0' (2)
< #185: '0' (2)
< #186: '0' (2)
---
> #184: '1' (3)
> #185: '1' (3)
> #186: '1' (3)
9599c9599
< #188: '0' (2)
---
> #188: '1' (3)
9603,9604c9603,9604
< #192: '0' (2)
< #193: '0' (2)
---
> #192: '1' (3)
> #193: '1' (3)
9609c9609
< #198: '0' (2)
---
> #198: '1' (3)
9611c9611
< #200: '0' (2)
---
> #200: '1' (3)
9613c9613
< #202: '0' (2)
---
> #202: '1' (3)
9615c9615
< #204: '0' (2)
---
> #204: '1' (3)
9617c9617
< #206: '0' (2)
---
> #206: '1' (3)
9619,9620c9619,9620
< #208: '0' (2)
< #209: '0' (2)
---
> #208: '1' (3)
> #209: '1' (3)
9625c9625
< #214: '0' (2)
---
> #214: '1' (3)
9628,9630c9628,9630
< #217: '0' (2)
< #218: '0' (2)
< #219: '0' (2)
---
> #217: '1' (3)
> #218: '1' (3)
> #219: '1' (3)
9632,9633c9632,9633
< #221: '0' (2)
< #222: '0' (2)
---
> #221: '1' (3)
> #222: '1' (3)
9641c9641
< #230: '0' (2)
---
> #230: '1' (3)
9644c9644
< #233: '0' (2)
---
> #233: '1' (3)
9647c9647
< #236: '0' (2)
---
> #236: '1' (3)
9650c9650
< #239: '0' (2)
---
> #239: '1' (3)
9657c9657
< #246: '0' (2)
---
> #246: '1' (3)
9661c9661
< #250: '0' (2)
---
> #250: '1' (3)
9665c9665
< #254: '0' (2)
---
> #254: '1' (3)
9673c9673
< #262: '0' (2)
---
> #262: '1' (3)
9706,9720c9706,9720
< #19: '0' (2)
< #20: '0' (2)
< #21: '0' (2)
< #22: '0' (2)
< #23: '0' (2)
< #24: '0' (2)
< #25: '0' (2)
< #26: '0' (2)
< #27: '0' (2)
< #28: '0' (2)
< #29: '0' (2)
< #30: '0' (2)
< #31: '0' (2)
< #32: '0' (2)
< #33: '0' (2)
---
> #19: '1' (3)
> #20: '1' (3)
> #21: '1' (3)
> #22: '1' (3)
> #23: '1' (3)
> #24: '1' (3)
> #25: '1' (3)
> #26: '1' (3)
> #27: '1' (3)
> #28: '1' (3)
> #29: '1' (3)
> #30: '1' (3)
> #31: '1' (3)
> #32: '1' (3)
> #33: '1' (3)
9722c9722
< #35: '0' (2)
---
> #35: '1' (3)
9739c9739
< #52: '0' (2)
---
> #52: '1' (3)
9741c9741
< #54: '0' (2)
---
> #54: '1' (3)
9743c9743
< #56: '0' (2)
---
> #56: '1' (3)
9745c9745
< #58: '0' (2)
---
> #58: '1' (3)
9747c9747
< #60: '0' (2)
---
> #60: '1' (3)
9749c9749
< #62: '0' (2)
---
> #62: '1' (3)
9751,9752c9751,9752
< #64: '0' (2)
< #65: '0' (2)
---
> #64: '1' (3)
> #65: '1' (3)
9755c9755
< #68: '0' (2)
---
> #68: '1' (3)
9772,9773c9772,9773
< #85: '0' (2)
< #86: '0' (2)
---
> #85: '1' (3)
> #86: '1' (3)
9776,9777c9776,9777
< #89: '0' (2)
< #90: '0' (2)
---
> #89: '1' (3)
> #90: '1' (3)
9780,9781c9780,9781
< #93: '0' (2)
< #94: '0' (2)
---
> #93: '1' (3)
> #94: '1' (3)
9783c9783
< #96: '0' (2)
---
> #96: '1' (3)
9788c9788
< #101: '0' (2)
---
> #101: '1' (3)
9790c9790
< #103: '0' (2)
---
> #103: '1' (3)
9792c9792
< #105: '0' (2)
---
> #105: '1' (3)
9794c9794
< #107: '0' (2)
---
> #107: '1' (3)
9796c9796
< #109: '0' (2)
---
> #109: '1' (3)
9798c9798
< #111: '0' (2)
---
> #111: '1' (3)
9800c9800
< #113: '0' (2)
---
> #113: '1' (3)
9804c9804
< #117: '0' (2)
---
> #117: '1' (3)
9807c9807
< #120: '0' (2)
---
> #120: '1' (3)
9810c9810
< #123: '0' (2)
---
> #123: '1' (3)
9813c9813
< #126: '0' (2)
---
> #126: '1' (3)
9816c9816
< #129: '0' (2)
---
> #129: '1' (3)
9820c9820
< #133: '0' (2)
---
> #133: '1' (3)
9837,9839c9837,9839
< #150: '0' (2)
< #151: '0' (2)
< #152: '0' (2)
---
> #150: '1' (3)
> #151: '1' (3)
> #152: '1' (3)
9843,9845c9843,9845
< #156: '0' (2)
< #157: '0' (2)
< #158: '0' (2)
---
> #156: '1' (3)
> #157: '1' (3)
> #158: '1' (3)
9848c9848
< #161: '0' (2)
---
> #161: '1' (3)
9853,9854c9853,9854
< #166: '0' (2)
< #167: '0' (2)
---
> #166: '1' (3)
> #167: '1' (3)
9857,9858c9857,9858
< #170: '0' (2)
< #171: '0' (2)
---
> #170: '1' (3)
> #171: '1' (3)
9861,9862c9861,9862
< #174: '0' (2)
< #175: '0' (2)
---
> #174: '1' (3)
> #175: '1' (3)
9864c9864
< #177: '0' (2)
---
> #177: '1' (3)
9869c9869
< #182: '0' (2)
---
> #182: '1' (3)
9871,9873c9871,9873
< #184: '0' (2)
< #185: '0' (2)
< #186: '0' (2)
---
> #184: '1' (3)
> #185: '1' (3)
> #186: '1' (3)
9875c9875
< #188: '0' (2)
---
> #188: '1' (3)
9879,9880c9879,9880
< #192: '0' (2)
< #193: '0' (2)
---
> #192: '1' (3)
> #193: '1' (3)
9885c9885
< #198: '0' (2)
---
> #198: '1' (3)
9887c9887
< #200: '0' (2)
---
> #200: '1' (3)
9889c9889
< #202: '0' (2)
---
> #202: '1' (3)
9891c9891
< #204: '0' (2)
---
> #204: '1' (3)
9893c9893
< #206: '0' (2)
---
> #206: '1' (3)
9895,9896c9895,9896
< #208: '0' (2)
< #209: '0' (2)
---
> #208: '1' (3)
> #209: '1' (3)
9901c9901
< #214: '0' (2)
---
> #214: '1' (3)
9904,9906c9904,9906
< #217: '0' (2)
< #218: '0' (2)
< #219: '0' (2)
---
> #217: '1' (3)
> #218: '1' (3)
> #219: '1' (3)
9908,9909c9908,9909
< #221: '0' (2)
< #222: '0' (2)
---
> #221: '1' (3)
> #222: '1' (3)
9917c9917
< #230: '0' (2)
---
> #230: '1' (3)
9920c9920
< #233: '0' (2)
---
> #233: '1' (3)
9923c9923
< #236: '0' (2)
---
> #236: '1' (3)
9926c9926
< #239: '0' (2)
---
> #239: '1' (3)
9933c9933
< #246: '0' (2)
---
> #246: '1' (3)
9937c9937
< #250: '0' (2)
---
> #250: '1' (3)
9941c9941
< #254: '0' (2)
---
> #254: '1' (3)
9949c9949
< #262: '0' (2)
---
> #262: '1' (3)
9982,9996c9982,9996
< #19: '0' (2)
< #20: '0' (2)
< #21: '0' (2)
< #22: '0' (2)
< #23: '0' (2)
< #24: '0' (2)
< #25: '0' (2)
< #26: '0' (2)
< #27: '0' (2)
< #28: '0' (2)
< #29: '0' (2)
< #30: '0' (2)
< #31: '0' (2)
< #32: '0' (2)
< #33: '0' (2)
---
> #19: '1' (3)
> #20: '1' (3)
> #21: '1' (3)
> #22: '1' (3)
> #23: '1' (3)
> #24: '1' (3)
> #25: '1' (3)
> #26: '1' (3)
> #27: '1' (3)
> #28: '1' (3)
> #29: '1' (3)
> #30: '1' (3)
> #31: '1' (3)
> #32: '1' (3)
> #33: '1' (3)
9998c9998
< #35: '0' (2)
---
> #35: '1' (3)
10015c10015
< #52: '0' (2)
---
> #52: '1' (3)
10017c10017
< #54: '0' (2)
---
> #54: '1' (3)
10019c10019
< #56: '0' (2)
---
> #56: '1' (3)
10021c10021
< #58: '0' (2)
---
> #58: '1' (3)
10023c10023
< #60: '0' (2)
---
> #60: '1' (3)
10025c10025
< #62: '0' (2)
---
> #62: '1' (3)
10027,10028c10027,10028
< #64: '0' (2)
< #65: '0' (2)
---
> #64: '1' (3)
> #65: '1' (3)
10031c10031
< #68: '0' (2)
---
> #68: '1' (3)
10048,10049c10048,10049
< #85: '0' (2)
< #86: '0' (2)
---
> #85: '1' (3)
> #86: '1' (3)
10052,10053c10052,10053
< #89: '0' (2)
< #90: '0' (2)
---
> #89: '1' (3)
> #90: '1' (3)
10056,10057c10056,10057
< #93: '0' (2)
< #94: '0' (2)
---
> #93: '1' (3)
> #94: '1' (3)
10059c10059
< #96: '0' (2)
---
> #96: '1' (3)
10064c10064
< #101: '0' (2)
---
> #101: '1' (3)
10066c10066
< #103: '0' (2)
---
> #103: '1' (3)
10068c10068
< #105: '0' (2)
---
> #105: '1' (3)
10070c10070
< #107: '0' (2)
---
> #107: '1' (3)
10072c10072
< #109: '0' (2)
---
> #109: '1' (3)
10074c10074
< #111: '0' (2)
---
> #111: '1' (3)
10076c10076
< #113: '0' (2)
---
> #113: '1' (3)
10080c10080
< #117: '0' (2)
---
> #117: '1' (3)
10083c10083
< #120: '0' (2)
---
> #120: '1' (3)
10086c10086
< #123: '0' (2)
---
> #123: '1' (3)
10089c10089
< #126: '0' (2)
---
> #126: '1' (3)
10092c10092
< #129: '0' (2)
---
> #129: '1' (3)
10096c10096
< #133: '0' (2)
---
> #133: '1' (3)
10113,10115c10113,10115
< #150: '0' (2)
< #151: '0' (2)
< #152: '0' (2)
---
> #150: '1' (3)
> #151: '1' (3)
> #152: '1' (3)
10119,10121c10119,10121
< #156: '0' (2)
< #157: '0' (2)
< #158: '0' (2)
---
> #156: '1' (3)
> #157: '1' (3)
> #158: '1' (3)
10124c10124
< #161: '0' (2)
---
> #161: '1' (3)
10129,10130c10129,10130
< #166: '0' (2)
< #167: '0' (2)
---
> #166: '1' (3)
> #167: '1' (3)
10133,10134c10133,10134
< #170: '0' (2)
< #171: '0' (2)
---
> #170: '1' (3)
> #171: '1' (3)
10137,10138c10137,10138
< #174: '0' (2)
< #175: '0' (2)
---
> #174: '1' (3)
> #175: '1' (3)
10140c10140
< #177: '0' (2)
---
> #177: '1' (3)
10145c10145
< #182: '0' (2)
---
> #182: '1' (3)
10147,10149c10147,10149
< #184: '0' (2)
< #185: '0' (2)
< #186: '0' (2)
---
> #184: '1' (3)
> #185: '1' (3)
> #186: '1' (3)
10151c10151
< #188: '0' (2)
---
> #188: '1' (3)
10155,10156c10155,10156
< #192: '0' (2)
< #193: '0' (2)
---
> #192: '1' (3)
> #193: '1' (3)
10161c10161
< #198: '0' (2)
---
> #198: '1' (3)
10163c10163
< #200: '0' (2)
---
> #200: '1' (3)
10165c10165
< #202: '0' (2)
---
> #202: '1' (3)
10167c10167
< #204: '0' (2)
---
> #204: '1' (3)
10169c10169
< #206: '0' (2)
---
> #206: '1' (3)
10171,10172c10171,10172
< #208: '0' (2)
< #209: '0' (2)
---
> #208: '1' (3)
> #209: '1' (3)
10177c10177
< #214: '0' (2)
---
> #214: '1' (3)
10180,10182c10180,10182
< #217: '0' (2)
< #218: '0' (2)
< #219: '0' (2)
---
> #217: '1' (3)
> #218: '1' (3)
> #219: '1' (3)
10184,10185c10184,10185
< #221: '0' (2)
< #222: '0' (2)
---
> #221: '1' (3)
> #222: '1' (3)
10193c10193
< #230: '0' (2)
---
> #230: '1' (3)
10196c10196
< #233: '0' (2)
---
> #233: '1' (3)
10199c10199
< #236: '0' (2)
---
> #236: '1' (3)
10202c10202
< #239: '0' (2)
---
> #239: '1' (3)
10209c10209
< #246: '0' (2)
---
> #246: '1' (3)
10213c10213
< #250: '0' (2)
---
> #250: '1' (3)
10217c10217
< #254: '0' (2)
---
> #254: '1' (3)
10225c10225
< #262: '0' (2)
---
> #262: '1' (3)
10258,10272c10258,10272
< #19: '0' (2)
< #20: '0' (2)
< #21: '0' (2)
< #22: '0' (2)
< #23: '0' (2)
< #24: '0' (2)
< #25: '0' (2)
< #26: '0' (2)
< #27: '0' (2)
< #28: '0' (2)
< #29: '0' (2)
< #30: '0' (2)
< #31: '0' (2)
< #32: '0' (2)
< #33: '0' (2)
---
> #19: '1' (3)
> #20: '1' (3)
> #21: '1' (3)
> #22: '1' (3)
> #23: '1' (3)
> #24: '1' (3)
> #25: '1' (3)
> #26: '1' (3)
> #27: '1' (3)
> #28: '1' (3)
> #29: '1' (3)
> #30: '1' (3)
> #31: '1' (3)
> #32: '1' (3)
> #33: '1' (3)
10274c10274
< #35: '0' (2)
---
> #35: '1' (3)
10291c10291
< #52: '0' (2)
---
> #52: '1' (3)
10293c10293
< #54: '0' (2)
---
> #54: '1' (3)
10295c10295
< #56: '0' (2)
---
> #56: '1' (3)
10297c10297
< #58: '0' (2)
---
> #58: '1' (3)
10299c10299
< #60: '0' (2)
---
> #60: '1' (3)
10301c10301
< #62: '0' (2)
---
> #62: '1' (3)
10303,10304c10303,10304
< #64: '0' (2)
< #65: '0' (2)
---
> #64: '1' (3)
> #65: '1' (3)
10307c10307
< #68: '0' (2)
---
> #68: '1' (3)
10324,10325c10324,10325
< #85: '0' (2)
< #86: '0' (2)
---
> #85: '1' (3)
> #86: '1' (3)
10328,10329c10328,10329
< #89: '0' (2)
< #90: '0' (2)
---
> #89: '1' (3)
> #90: '1' (3)
10332,10333c10332,10333
< #93: '0' (2)
< #94: '0' (2)
---
> #93: '1' (3)
> #94: '1' (3)
10335c10335
< #96: '0' (2)
---
> #96: '1' (3)
10340c10340
< #101: '0' (2)
---
> #101: '1' (3)
10342c10342
< #103: '0' (2)
---
> #103: '1' (3)
10344c10344
< #105: '0' (2)
---
> #105: '1' (3)
10346c10346
< #107: '0' (2)
---
> #107: '1' (3)
10348c10348
< #109: '0' (2)
---
> #109: '1' (3)
10350c10350
< #111: '0' (2)
---
> #111: '1' (3)
10352c10352
< #113: '0' (2)
---
> #113: '1' (3)
10356c10356
< #117: '0' (2)
---
> #117: '1' (3)
10359c10359
< #120: '0' (2)
---
> #120: '1' (3)
10362c10362
< #123: '0' (2)
---
> #123: '1' (3)
10365c10365
< #126: '0' (2)
---
> #126: '1' (3)
10368c10368
< #129: '0' (2)
---
> #129: '1' (3)
10372c10372
< #133: '0' (2)
---
> #133: '1' (3)
10389,10391c10389,10391
< #150: '0' (2)
< #151: '0' (2)
< #152: '0' (2)
---
> #150: '1' (3)
> #151: '1' (3)
> #152: '1' (3)
10395,10397c10395,10397
< #156: '0' (2)
< #157: '0' (2)
< #158: '0' (2)
---
> #156: '1' (3)
> #157: '1' (3)
> #158: '1' (3)
10400c10400
< #161: '0' (2)
---
> #161: '1' (3)
10405,10406c10405,10406
< #166: '0' (2)
< #167: '0' (2)
---
> #166: '1' (3)
> #167: '1' (3)
10409,10410c10409,10410
< #170: '0' (2)
< #171: '0' (2)
---
> #170: '1' (3)
> #171: '1' (3)
10413,10414c10413,10414
< #174: '0' (2)
< #175: '0' (2)
---
> #174: '1' (3)
> #175: '1' (3)
10416c10416
< #177: '0' (2)
---
> #177: '1' (3)
10421c10421
< #182: '0' (2)
---
> #182: '1' (3)
10423,10425c10423,10425
< #184: '0' (2)
< #185: '0' (2)
< #186: '0' (2)
---
> #184: '1' (3)
> #185: '1' (3)
> #186: '1' (3)
10427c10427
< #188: '0' (2)
---
> #188: '1' (3)
10431,10432c10431,10432
< #192: '0' (2)
< #193: '0' (2)
---
> #192: '1' (3)
> #193: '1' (3)
10437c10437
< #198: '0' (2)
---
> #198: '1' (3)
10439c10439
< #200: '0' (2)
---
> #200: '1' (3)
10441c10441
< #202: '0' (2)
---
> #202: '1' (3)
10443c10443
< #204: '0' (2)
---
> #204: '1' (3)
10445c10445
< #206: '0' (2)
---
> #206: '1' (3)
10447,10448c10447,10448
< #208: '0' (2)
< #209: '0' (2)
---
> #208: '1' (3)
> #209: '1' (3)
10453c10453
< #214: '0' (2)
---
> #214: '1' (3)
10456,10458c10456,10458
< #217: '0' (2)
< #218: '0' (2)
< #219: '0' (2)
---
> #217: '1' (3)
> #218: '1' (3)
> #219: '1' (3)
10460,10461c10460,10461
< #221: '0' (2)
< #222: '0' (2)
---
> #221: '1' (3)
> #222: '1' (3)
10469c10469
< #230: '0' (2)
---
> #230: '1' (3)
10472c10472
< #233: '0' (2)
---
> #233: '1' (3)
10475c10475
< #236: '0' (2)
---
> #236: '1' (3)
10478c10478
< #239: '0' (2)
---
> #239: '1' (3)
10485c10485
< #246: '0' (2)
---
> #246: '1' (3)
10489c10489
< #250: '0' (2)
---
> #250: '1' (3)
10493c10493
< #254: '0' (2)
---
> #254: '1' (3)
10501c10501
< #262: '0' (2)
---
> #262: '1' (3)
10534,10548c10534,10548
< #19: '0' (2)
< #20: '0' (2)
< #21: '0' (2)
< #22: '0' (2)
< #23: '0' (2)
< #24: '0' (2)
< #25: '0' (2)
< #26: '0' (2)
< #27: '0' (2)
< #28: '0' (2)
< #29: '0' (2)
< #30: '0' (2)
< #31: '0' (2)
< #32: '0' (2)
< #33: '0' (2)
---
> #19: '1' (3)
> #20: '1' (3)
> #21: '1' (3)
> #22: '1' (3)
> #23: '1' (3)
> #24: '1' (3)
> #25: '1' (3)
> #26: '1' (3)
> #27: '1' (3)
> #28: '1' (3)
> #29: '1' (3)
> #30: '1' (3)
> #31: '1' (3)
> #32: '1' (3)
> #33: '1' (3)
10550c10550
< #35: '0' (2)
---
> #35: '1' (3)
10567c10567
< #52: '0' (2)
---
> #52: '1' (3)
10569c10569
< #54: '0' (2)
---
> #54: '1' (3)
10571c10571
< #56: '0' (2)
---
> #56: '1' (3)
10573c10573
< #58: '0' (2)
---
> #58: '1' (3)
10575c10575
< #60: '0' (2)
---
> #60: '1' (3)
10577c10577
< #62: '0' (2)
---
> #62: '1' (3)
10579,10580c10579,10580
< #64: '0' (2)
< #65: '0' (2)
---
> #64: '1' (3)
> #65: '1' (3)
10583c10583
< #68: '0' (2)
---
> #68: '1' (3)
10600,10601c10600,10601
< #85: '0' (2)
< #86: '0' (2)
---
> #85: '1' (3)
> #86: '1' (3)
10604,10605c10604,10605
< #89: '0' (2)
< #90: '0' (2)
---
> #89: '1' (3)
> #90: '1' (3)
10608,10609c10608,10609
< #93: '0' (2)
< #94: '0' (2)
---
> #93: '1' (3)
> #94: '1' (3)
10611c10611
< #96: '0' (2)
---
> #96: '1' (3)
10616c10616
< #101: '0' (2)
---
> #101: '1' (3)
10618c10618
< #103: '0' (2)
---
> #103: '1' (3)
10620c10620
< #105: '0' (2)
---
> #105: '1' (3)
10622c10622
< #107: '0' (2)
---
> #107: '1' (3)
10624c10624
< #109: '0' (2)
---
> #109: '1' (3)
10626c10626
< #111: '0' (2)
---
> #111: '1' (3)
10628c10628
< #113: '0' (2)
---
> #113: '1' (3)
10632c10632
< #117: '0' (2)
---
> #117: '1' (3)
10635c10635
< #120: '0' (2)
---
> #120: '1' (3)
10638c10638
< #123: '0' (2)
---
> #123: '1' (3)
10641c10641
< #126: '0' (2)
---
> #126: '1' (3)
10644c10644
< #129: '0' (2)
---
> #129: '1' (3)
10648c10648
< #133: '0' (2)
---
> #133: '1' (3)
10665,10667c10665,10667
< #150: '0' (2)
< #151: '0' (2)
< #152: '0' (2)
---
> #150: '1' (3)
> #151: '1' (3)
> #152: '1' (3)
10671,10673c10671,10673
< #156: '0' (2)
< #157: '0' (2)
< #158: '0' (2)
---
> #156: '1' (3)
> #157: '1' (3)
> #158: '1' (3)
10676c10676
< #161: '0' (2)
---
> #161: '1' (3)
10681,10682c10681,10682
< #166: '0' (2)
< #167: '0' (2)
---
> #166: '1' (3)
> #167: '1' (3)
10685,10686c10685,10686
< #170: '0' (2)
< #171: '0' (2)
---
> #170: '1' (3)
> #171: '1' (3)
10689,10690c10689,10690
< #174: '0' (2)
< #175: '0' (2)
---
> #174: '1' (3)
> #175: '1' (3)
10692c10692
< #177: '0' (2)
---
> #177: '1' (3)
10697c10697
< #182: '0' (2)
---
> #182: '1' (3)
10699,10701c10699,10701
< #184: '0' (2)
< #185: '0' (2)
< #186: '0' (2)
---
> #184: '1' (3)
> #185: '1' (3)
> #186: '1' (3)
10703c10703
< #188: '0' (2)
---
> #188: '1' (3)
10707,10708c10707,10708
< #192: '0' (2)
< #193: '0' (2)
---
> #192: '1' (3)
> #193: '1' (3)
10713c10713
< #198: '0' (2)
---
> #198: '1' (3)
10715c10715
< #200: '0' (2)
---
> #200: '1' (3)
10717c10717
< #202: '0' (2)
---
> #202: '1' (3)
10719c10719
< #204: '0' (2)
---
> #204: '1' (3)
10721c10721
< #206: '0' (2)
---
> #206: '1' (3)
10723,10724c10723,10724
< #208: '0' (2)
< #209: '0' (2)
---
> #208: '1' (3)
> #209: '1' (3)
10729c10729
< #214: '0' (2)
---
> #214: '1' (3)
10732,10734c10732,10734
< #217: '0' (2)
< #218: '0' (2)
< #219: '0' (2)
---
> #217: '1' (3)
> #218: '1' (3)
> #219: '1' (3)
10736,10737c10736,10737
< #221: '0' (2)
< #222: '0' (2)
---
> #221: '1' (3)
> #222: '1' (3)
10745c10745
< #230: '0' (2)
---
> #230: '1' (3)
10748c10748
< #233: '0' (2)
---
> #233: '1' (3)
10751c10751
< #236: '0' (2)
---
> #236: '1' (3)
10754c10754
< #239: '0' (2)
---
> #239: '1' (3)
10761c10761
< #246: '0' (2)
---
> #246: '1' (3)
10765c10765
< #250: '0' (2)
---
> #250: '1' (3)
10769c10769
< #254: '0' (2)
---
> #254: '1' (3)
10777c10777
< #262: '0' (2)
---
> #262: '1' (3)
10810,10824c10810,10824
< #19: '0' (2)
< #20: '0' (2)
< #21: '0' (2)
< #22: '0' (2)
< #23: '0' (2)
< #24: '0' (2)
< #25: '0' (2)
< #26: '0' (2)
< #27: '0' (2)
< #28: '0' (2)
< #29: '0' (2)
< #30: '0' (2)
< #31: '0' (2)
< #32: '0' (2)
< #33: '0' (2)
---
> #19: '1' (3)
> #20: '1' (3)
> #21: '1' (3)
> #22: '1' (3)
> #23: '1' (3)
> #24: '1' (3)
> #25: '1' (3)
> #26: '1' (3)
> #27: '1' (3)
> #28: '1' (3)
> #29: '1' (3)
> #30: '1' (3)
> #31: '1' (3)
> #32: '1' (3)
> #33: '1' (3)
10826c10826
< #35: '0' (2)
---
> #35: '1' (3)
10843c10843
< #52: '0' (2)
---
> #52: '1' (3)
10845c10845
< #54: '0' (2)
---
> #54: '1' (3)
10847c10847
< #56: '0' (2)
---
> #56: '1' (3)
10849c10849
< #58: '0' (2)
---
> #58: '1' (3)
10851c10851
< #60: '0' (2)
---
> #60: '1' (3)
10853c10853
< #62: '0' (2)
---
> #62: '1' (3)
10855,10856c10855,10856
< #64: '0' (2)
< #65: '0' (2)
---
> #64: '1' (3)
> #65: '1' (3)
10859c10859
< #68: '0' (2)
---
> #68: '1' (3)
10876,10877c10876,10877
< #85: '0' (2)
< #86: '0' (2)
---
> #85: '1' (3)
> #86: '1' (3)
10880,10881c10880,10881
< #89: '0' (2)
< #90: '0' (2)
---
> #89: '1' (3)
> #90: '1' (3)
10884,10885c10884,10885
< #93: '0' (2)
< #94: '0' (2)
---
> #93: '1' (3)
> #94: '1' (3)
10887c10887
< #96: '0' (2)
---
> #96: '1' (3)
10892c10892
< #101: '0' (2)
---
> #101: '1' (3)
10894c10894
< #103: '0' (2)
---
> #103: '1' (3)
10896c10896
< #105: '0' (2)
---
> #105: '1' (3)
10898c10898
< #107: '0' (2)
---
> #107: '1' (3)
10900c10900
< #109: '0' (2)
---
> #109: '1' (3)
10902c10902
< #111: '0' (2)
---
> #111: '1' (3)
10904c10904
< #113: '0' (2)
---
> #113: '1' (3)
10908c10908
< #117: '0' (2)
---
> #117: '1' (3)
10911c10911
< #120: '0' (2)
---
> #120: '1' (3)
10914c10914
< #123: '0' (2)
---
> #123: '1' (3)
10917c10917
< #126: '0' (2)
---
> #126: '1' (3)
10920c10920
< #129: '0' (2)
---
> #129: '1' (3)
10924c10924
< #133: '0' (2)
---
> #133: '1' (3)
10941,10943c10941,10943
< #150: '0' (2)
< #151: '0' (2)
< #152: '0' (2)
---
> #150: '1' (3)
> #151: '1' (3)
> #152: '1' (3)
10947,10949c10947,10949
< #156: '0' (2)
< #157: '0' (2)
< #158: '0' (2)
---
> #156: '1' (3)
> #157: '1' (3)
> #158: '1' (3)
10952c10952
< #161: '0' (2)
---
> #161: '1' (3)
10957,10958c10957,10958
< #166: '0' (2)
< #167: '0' (2)
---
> #166: '1' (3)
> #167: '1' (3)
10961,10962c10961,10962
< #170: '0' (2)
< #171: '0' (2)
---
> #170: '1' (3)
> #171: '1' (3)
10965,10966c10965,10966
< #174: '0' (2)
< #175: '0' (2)
---
> #174: '1' (3)
> #175: '1' (3)
10968c10968
< #177: '0' (2)
---
> #177: '1' (3)
10973c10973
< #182: '0' (2)
---
> #182: '1' (3)
10975,10977c10975,10977
< #184: '0' (2)
< #185: '0' (2)
< #186: '0' (2)
---
> #184: '1' (3)
> #185: '1' (3)
> #186: '1' (3)
10979c10979
< #188: '0' (2)
---
> #188: '1' (3)
10983,10984c10983,10984
< #192: '0' (2)
< #193: '0' (2)
---
> #192: '1' (3)
> #193: '1' (3)
10989c10989
< #198: '0' (2)
---
> #198: '1' (3)
10991c10991
< #200: '0' (2)
---
> #200: '1' (3)
10993c10993
< #202: '0' (2)
---
> #202: '1' (3)
10995c10995
< #204: '0' (2)
---
> #204: '1' (3)
10997c10997
< #206: '0' (2)
---
> #206: '1' (3)
10999,11000c10999,11000
< #208: '0' (2)
< #209: '0' (2)
---
> #208: '1' (3)
> #209: '1' (3)
11005c11005
< #214: '0' (2)
---
> #214: '1' (3)
11008,11010c11008,11010
< #217: '0' (2)
< #218: '0' (2)
< #219: '0' (2)
---
> #217: '1' (3)
> #218: '1' (3)
> #219: '1' (3)
11012,11013c11012,11013
< #221: '0' (2)
< #222: '0' (2)
---
> #221: '1' (3)
> #222: '1' (3)
11021c11021
< #230: '0' (2)
---
> #230: '1' (3)
11024c11024
< #233: '0' (2)
---
> #233: '1' (3)
11027c11027
< #236: '0' (2)
---
> #236: '1' (3)
11030c11030
< #239: '0' (2)
---
> #239: '1' (3)
11037c11037
< #246: '0' (2)
---
> #246: '1' (3)
11041c11041
< #250: '0' (2)
---
> #250: '1' (3)
11045c11045
< #254: '0' (2)
---
> #254: '1' (3)
11053c11053
< #262: '0' (2)
---
> #262: '1' (3)
11086,11100c11086,11100
< #19: '0' (2)
< #20: '0' (2)
< #21: '0' (2)
< #22: '0' (2)
< #23: '0' (2)
< #24: '0' (2)
< #25: '0' (2)
< #26: '0' (2)
< #27: '0' (2)
< #28: '0' (2)
< #29: '0' (2)
< #30: '0' (2)
< #31: '0' (2)
< #32: '0' (2)
< #33: '0' (2)
---
> #19: '1' (3)
> #20: '1' (3)
> #21: '1' (3)
> #22: '1' (3)
> #23: '1' (3)
> #24: '1' (3)
> #25: '1' (3)
> #26: '1' (3)
> #27: '1' (3)
> #28: '1' (3)
> #29: '1' (3)
> #30: '1' (3)
> #31: '1' (3)
> #32: '1' (3)
> #33: '1' (3)
11102c11102
< #35: '0' (2)
---
> #35: '1' (3)
11119c11119
< #52: '0' (2)
---
> #52: '1' (3)
11121c11121
< #54: '0' (2)
---
> #54: '1' (3)
11123c11123
< #56: '0' (2)
---
> #56: '1' (3)
11125c11125
< #58: '0' (2)
---
> #58: '1' (3)
11127c11127
< #60: '0' (2)
---
> #60: '1' (3)
11129c11129
< #62: '0' (2)
---
> #62: '1' (3)
11131,11132c11131,11132
< #64: '0' (2)
< #65: '0' (2)
---
> #64: '1' (3)
> #65: '1' (3)
11135c11135
< #68: '0' (2)
---
> #68: '1' (3)
11152,11153c11152,11153
< #85: '0' (2)
< #86: '0' (2)
---
> #85: '1' (3)
> #86: '1' (3)
11156,11157c11156,11157
< #89: '0' (2)
< #90: '0' (2)
---
> #89: '1' (3)
> #90: '1' (3)
11160,11161c11160,11161
< #93: '0' (2)
< #94: '0' (2)
---
> #93: '1' (3)
> #94: '1' (3)
11163c11163
< #96: '0' (2)
---
> #96: '1' (3)
11168c11168
< #101: '0' (2)
---
> #101: '1' (3)
11170c11170
< #103: '0' (2)
---
> #103: '1' (3)
11172c11172
< #105: '0' (2)
---
> #105: '1' (3)
11174c11174
< #107: '0' (2)
---
> #107: '1' (3)
11176c11176
< #109: '0' (2)
---
> #109: '1' (3)
11178c11178
< #111: '0' (2)
---
> #111: '1' (3)
11180c11180
< #113: '0' (2)
---
> #113: '1' (3)
11184c11184
< #117: '0' (2)
---
> #117: '1' (3)
11187c11187
< #120: '0' (2)
---
> #120: '1' (3)
11190c11190
< #123: '0' (2)
---
> #123: '1' (3)
11193c11193
< #126: '0' (2)
---
> #126: '1' (3)
11196c11196
< #129: '0' (2)
---
> #129: '1' (3)
11200c11200
< #133: '0' (2)
---
> #133: '1' (3)
11217,11219c11217,11219
< #150: '0' (2)
< #151: '0' (2)
< #152: '0' (2)
---
> #150: '1' (3)
> #151: '1' (3)
> #152: '1' (3)
11223,11225c11223,11225
< #156: '0' (2)
< #157: '0' (2)
< #158: '0' (2)
---
> #156: '1' (3)
> #157: '1' (3)
> #158: '1' (3)
11228c11228
< #161: '0' (2)
---
> #161: '1' (3)
11233,11234c11233,11234
< #166: '0' (2)
< #167: '0' (2)
---
> #166: '1' (3)
> #167: '1' (3)
11237,11238c11237,11238
< #170: '0' (2)
< #171: '0' (2)
---
> #170: '1' (3)
> #171: '1' (3)
11241,11242c11241,11242
< #174: '0' (2)
< #175: '0' (2)
---
> #174: '1' (3)
> #175: '1' (3)
11244c11244
< #177: '0' (2)
---
> #177: '1' (3)
11249c11249
< #182: '0' (2)
---
> #182: '1' (3)
11251,11253c11251,11253
< #184: '0' (2)
< #185: '0' (2)
< #186: '0' (2)
---
> #184: '1' (3)
> #185: '1' (3)
> #186: '1' (3)
11255c11255
< #188: '0' (2)
---
> #188: '1' (3)
11259,11260c11259,11260
< #192: '0' (2)
< #193: '0' (2)
---
> #192: '1' (3)
> #193: '1' (3)
11265c11265
< #198: '0' (2)
---
> #198: '1' (3)
11267c11267
< #200: '0' (2)
---
> #200: '1' (3)
11269c11269
< #202: '0' (2)
---
> #202: '1' (3)
11271c11271
< #204: '0' (2)
---
> #204: '1' (3)
11273c11273
< #206: '0' (2)
---
> #206: '1' (3)
11275,11276c11275,11276
< #208: '0' (2)
< #209: '0' (2)
---
> #208: '1' (3)
> #209: '1' (3)
11281c11281
< #214: '0' (2)
---
> #214: '1' (3)
11284,11286c11284,11286
< #217: '0' (2)
< #218: '0' (2)
< #219: '0' (2)
---
> #217: '1' (3)
> #218: '1' (3)
> #219: '1' (3)
11288,11289c11288,11289
< #221: '0' (2)
< #222: '0' (2)
---
> #221: '1' (3)
> #222: '1' (3)
11297c11297
< #230: '0' (2)
---
> #230: '1' (3)
11300c11300
< #233: '0' (2)
---
> #233: '1' (3)
11303c11303
< #236: '0' (2)
---
> #236: '1' (3)
11306c11306
< #239: '0' (2)
---
> #239: '1' (3)
11313c11313
< #246: '0' (2)
---
> #246: '1' (3)
11317c11317
< #250: '0' (2)
---
> #250: '1' (3)
11321c11321
< #254: '0' (2)
---
> #254: '1' (3)
11329c11329
< #262: '0' (2)
---
> #262: '1' (3)
11362,11376c11362,11376
< #19: '0' (2)
< #20: '0' (2)
< #21: '0' (2)
< #22: '0' (2)
< #23: '0' (2)
< #24: '0' (2)
< #25: '0' (2)
< #26: '0' (2)
< #27: '0' (2)
< #28: '0' (2)
< #29: '0' (2)
< #30: '0' (2)
< #31: '0' (2)
< #32: '0' (2)
< #33: '0' (2)
---
> #19: '1' (3)
> #20: '1' (3)
> #21: '1' (3)
> #22: '1' (3)
> #23: '1' (3)
> #24: '1' (3)
> #25: '1' (3)
> #26: '1' (3)
> #27: '1' (3)
> #28: '1' (3)
> #29: '1' (3)
> #30: '1' (3)
> #31: '1' (3)
> #32: '1' (3)
> #33: '1' (3)
11378c11378
< #35: '0' (2)
---
> #35: '1' (3)
11395c11395
< #52: '0' (2)
---
> #52: '1' (3)
11397c11397
< #54: '0' (2)
---
> #54: '1' (3)
11399c11399
< #56: '0' (2)
---
> #56: '1' (3)
11401c11401
< #58: '0' (2)
---
> #58: '1' (3)
11403c11403
< #60: '0' (2)
---
> #60: '1' (3)
11405c11405
< #62: '0' (2)
---
> #62: '1' (3)
11407,11408c11407,11408
< #64: '0' (2)
< #65: '0' (2)
---
> #64: '1' (3)
> #65: '1' (3)
11411c11411
< #68: '0' (2)
---
> #68: '1' (3)
11428,11429c11428,11429
< #85: '0' (2)
< #86: '0' (2)
---
> #85: '1' (3)
> #86: '1' (3)
11432,11433c11432,11433
< #89: '0' (2)
< #90: '0' (2)
---
> #89: '1' (3)
> #90: '1' (3)
11436,11437c11436,11437
< #93: '0' (2)
< #94: '0' (2)
---
> #93: '1' (3)
> #94: '1' (3)
11439c11439
< #96: '0' (2)
---
> #96: '1' (3)
11444c11444
< #101: '0' (2)
---
> #101: '1' (3)
11446c11446
< #103: '0' (2)
---
> #103: '1' (3)
11448c11448
< #105: '0' (2)
---
> #105: '1' (3)
11450c11450
< #107: '0' (2)
---
> #107: '1' (3)
11452c11452
< #109: '0' (2)
---
> #109: '1' (3)
11454c11454
< #111: '0' (2)
---
> #111: '1' (3)
11456c11456
< #113: '0' (2)
---
> #113: '1' (3)
11460c11460
< #117: '0' (2)
---
> #117: '1' (3)
11463c11463
< #120: '0' (2)
---
> #120: '1' (3)
11466c11466
< #123: '0' (2)
---
> #123: '1' (3)
11469c11469
< #126: '0' (2)
---
> #126: '1' (3)
11472c11472
< #129: '0' (2)
---
> #129: '1' (3)
11476c11476
< #133: '0' (2)
---
> #133: '1' (3)
11493,11495c11493,11495
< #150: '0' (2)
< #151: '0' (2)
< #152: '0' (2)
---
> #150: '1' (3)
> #151: '1' (3)
> #152: '1' (3)
11499,11501c11499,11501
< #156: '0' (2)
< #157: '0' (2)
< #158: '0' (2)
---
> #156: '1' (3)
> #157: '1' (3)
> #158: '1' (3)
11504c11504
< #161: '0' (2)
---
> #161: '1' (3)
11509,11510c11509,11510
< #166: '0' (2)
< #167: '0' (2)
---
> #166: '1' (3)
> #167: '1' (3)
11513,11514c11513,11514
< #170: '0' (2)
< #171: '0' (2)
---
> #170: '1' (3)
> #171: '1' (3)
11517,11518c11517,11518
< #174: '0' (2)
< #175: '0' (2)
---
> #174: '1' (3)
> #175: '1' (3)
11520c11520
< #177: '0' (2)
---
> #177: '1' (3)
11525c11525
< #182: '0' (2)
---
> #182: '1' (3)
11527,11529c11527,11529
< #184: '0' (2)
< #185: '0' (2)
< #186: '0' (2)
---
> #184: '1' (3)
> #185: '1' (3)
> #186: '1' (3)
11531c11531
< #188: '0' (2)
---
> #188: '1' (3)
11535,11536c11535,11536
< #192: '0' (2)
< #193: '0' (2)
---
> #192: '1' (3)
> #193: '1' (3)
11541c11541
< #198: '0' (2)
---
> #198: '1' (3)
11543c11543
< #200: '0' (2)
---
> #200: '1' (3)
11545c11545
< #202: '0' (2)
---
> #202: '1' (3)
11547c11547
< #204: '0' (2)
---
> #204: '1' (3)
11549c11549
< #206: '0' (2)
---
> #206: '1' (3)
11551,11552c11551,11552
< #208: '0' (2)
< #209: '0' (2)
---
> #208: '1' (3)
> #209: '1' (3)
11557c11557
< #214: '0' (2)
---
> #214: '1' (3)
11560,11562c11560,11562
< #217: '0' (2)
< #218: '0' (2)
< #219: '0' (2)
---
> #217: '1' (3)
> #218: '1' (3)
> #219: '1' (3)
11564,11565c11564,11565
< #221: '0' (2)
< #222: '0' (2)
---
> #221: '1' (3)
> #222: '1' (3)
11573c11573
< #230: '0' (2)
---
> #230: '1' (3)
11576c11576
< #233: '0' (2)
---
> #233: '1' (3)
11579c11579
< #236: '0' (2)
---
> #236: '1' (3)
11582c11582
< #239: '0' (2)
---
> #239: '1' (3)
11589c11589
< #246: '0' (2)
---
> #246: '1' (3)
11593c11593
< #250: '0' (2)
---
> #250: '1' (3)
11597c11597
< #254: '0' (2)
---
> #254: '1' (3)
11605c11605
< #262: '0' (2)
---
> #262: '1' (3)
11638,11652c11638,11652
< #19: '0' (2)
< #20: '0' (2)
< #21: '0' (2)
< #22: '0' (2)
< #23: '0' (2)
< #24: '0' (2)
< #25: '0' (2)
< #26: '0' (2)
< #27: '0' (2)
< #28: '0' (2)
< #29: '0' (2)
< #30: '0' (2)
< #31: '0' (2)
< #32: '0' (2)
< #33: '0' (2)
---
> #19: '1' (3)
> #20: '1' (3)
> #21: '1' (3)
> #22: '1' (3)
> #23: '1' (3)
> #24: '1' (3)
> #25: '1' (3)
> #26: '1' (3)
> #27: '1' (3)
> #28: '1' (3)
> #29: '1' (3)
> #30: '1' (3)
> #31: '1' (3)
> #32: '1' (3)
> #33: '1' (3)
11654c11654
< #35: '0' (2)
---
> #35: '1' (3)
11671c11671
< #52: '0' (2)
---
> #52: '1' (3)
11673c11673
< #54: '0' (2)
---
> #54: '1' (3)
11675c11675
< #56: '0' (2)
---
> #56: '1' (3)
11677c11677
< #58: '0' (2)
---
> #58: '1' (3)
11679c11679
< #60: '0' (2)
---
> #60: '1' (3)
11681c11681
< #62: '0' (2)
---
> #62: '1' (3)
11683,11684c11683,11684
< #64: '0' (2)
< #65: '0' (2)
---
> #64: '1' (3)
> #65: '1' (3)
11687c11687
< #68: '0' (2)
---
> #68: '1' (3)
11704,11705c11704,11705
< #85: '0' (2)
< #86: '0' (2)
---
> #85: '1' (3)
> #86: '1' (3)
11708,11709c11708,11709
< #89: '0' (2)
< #90: '0' (2)
---
> #89: '1' (3)
> #90: '1' (3)
11712,11713c11712,11713
< #93: '0' (2)
< #94: '0' (2)
---
> #93: '1' (3)
> #94: '1' (3)
11715c11715
< #96: '0' (2)
---
> #96: '1' (3)
11720c11720
< #101: '0' (2)
---
> #101: '1' (3)
11722c11722
< #103: '0' (2)
---
> #103: '1' (3)
11724c11724
< #105: '0' (2)
---
> #105: '1' (3)
11726c11726
< #107: '0' (2)
---
> #107: '1' (3)
11728c11728
< #109: '0' (2)
---
> #109: '1' (3)
11730c11730
< #111: '0' (2)
---
> #111: '1' (3)
11732c11732
< #113: '0' (2)
---
> #113: '1' (3)
11736c11736
< #117: '0' (2)
---
> #117: '1' (3)
11739c11739
< #120: '0' (2)
---
> #120: '1' (3)
11742c11742
< #123: '0' (2)
---
> #123: '1' (3)
11745c11745
< #126: '0' (2)
---
> #126: '1' (3)
11748c11748
< #129: '0' (2)
---
> #129: '1' (3)
11752c11752
< #133: '0' (2)
---
> #133: '1' (3)
11769,11771c11769,11771
< #150: '0' (2)
< #151: '0' (2)
< #152: '0' (2)
---
> #150: '1' (3)
> #151: '1' (3)
> #152: '1' (3)
11775,11777c11775,11777
< #156: '0' (2)
< #157: '0' (2)
< #158: '0' (2)
---
> #156: '1' (3)
> #157: '1' (3)
> #158: '1' (3)
11780c11780
< #161: '0' (2)
---
> #161: '1' (3)
11785,11786c11785,11786
< #166: '0' (2)
< #167: '0' (2)
---
> #166: '1' (3)
> #167: '1' (3)
11789,11790c11789,11790
< #170: '0' (2)
< #171: '0' (2)
---
> #170: '1' (3)
> #171: '1' (3)
11793,11794c11793,11794
< #174: '0' (2)
< #175: '0' (2)
---
> #174: '1' (3)
> #175: '1' (3)
11796c11796
< #177: '0' (2)
---
> #177: '1' (3)
11801c11801
< #182: '0' (2)
---
> #182: '1' (3)
11803,11805c11803,11805
< #184: '0' (2)
< #185: '0' (2)
< #186: '0' (2)
---
> #184: '1' (3)
> #185: '1' (3)
> #186: '1' (3)
11807c11807
< #188: '0' (2)
---
> #188: '1' (3)
11811,11812c11811,11812
< #192: '0' (2)
< #193: '0' (2)
---
> #192: '1' (3)
> #193: '1' (3)
11817c11817
< #198: '0' (2)
---
> #198: '1' (3)
11819c11819
< #200: '0' (2)
---
> #200: '1' (3)
11821c11821
< #202: '0' (2)
---
> #202: '1' (3)
11823c11823
< #204: '0' (2)
---
> #204: '1' (3)
11825c11825
< #206: '0' (2)
---
> #206: '1' (3)
11827,11828c11827,11828
< #208: '0' (2)
< #209: '0' (2)
---
> #208: '1' (3)
> #209: '1' (3)
11833c11833
< #214: '0' (2)
---
> #214: '1' (3)
11836,11838c11836,11838
< #217: '0' (2)
< #218: '0' (2)
< #219: '0' (2)
---
> #217: '1' (3)
> #218: '1' (3)
> #219: '1' (3)
11840,11841c11840,11841
< #221: '0' (2)
< #222: '0' (2)
---
> #221: '1' (3)
> #222: '1' (3)
11849c11849
< #230: '0' (2)
---
> #230: '1' (3)
11852c11852
< #233: '0' (2)
---
> #233: '1' (3)
11855c11855
< #236: '0' (2)
---
> #236: '1' (3)
11858c11858
< #239: '0' (2)
---
> #239: '1' (3)
11865c11865
< #246: '0' (2)
---
> #246: '1' (3)
11869c11869
< #250: '0' (2)
---
> #250: '1' (3)
11873c11873
< #254: '0' (2)
---
> #254: '1' (3)
11881c11881
< #262: '0' (2)
---
> #262: '1' (3)
11914,11928c11914,11928
< #19: '0' (2)
< #20: '0' (2)
< #21: '0' (2)
< #22: '0' (2)
< #23: '0' (2)
< #24: '0' (2)
< #25: '0' (2)
< #26: '0' (2)
< #27: '0' (2)
< #28: '0' (2)
< #29: '0' (2)
< #30: '0' (2)
< #31: '0' (2)
< #32: '0' (2)
< #33: '0' (2)
---
> #19: '1' (3)
> #20: '1' (3)
> #21: '1' (3)
> #22: '1' (3)
> #23: '1' (3)
> #24: '1' (3)
> #25: '1' (3)
> #26: '1' (3)
> #27: '1' (3)
> #28: '1' (3)
> #29: '1' (3)
> #30: '1' (3)
> #31: '1' (3)
> #32: '1' (3)
> #33: '1' (3)
11930c11930
< #35: '0' (2)
---
> #35: '1' (3)
11947c11947
< #52: '0' (2)
---
> #52: '1' (3)
11949c11949
< #54: '0' (2)
---
> #54: '1' (3)
11951c11951
< #56: '0' (2)
---
> #56: '1' (3)
11953c11953
< #58: '0' (2)
---
> #58: '1' (3)
11955c11955
< #60: '0' (2)
---
> #60: '1' (3)
11957c11957
< #62: '0' (2)
---
> #62: '1' (3)
11959,11960c11959,11960
< #64: '0' (2)
< #65: '0' (2)
---
> #64: '1' (3)
> #65: '1' (3)
11963c11963
< #68: '0' (2)
---
> #68: '1' (3)
11980,11981c11980,11981
< #85: '0' (2)
< #86: '0' (2)
---
> #85: '1' (3)
> #86: '1' (3)
11984,11985c11984,11985
< #89: '0' (2)
< #90: '0' (2)
---
> #89: '1' (3)
> #90: '1' (3)
11988,11989c11988,11989
< #93: '0' (2)
< #94: '0' (2)
---
> #93: '1' (3)
> #94: '1' (3)
11991c11991
< #96: '0' (2)
---
> #96: '1' (3)
11996c11996
< #101: '0' (2)
---
> #101: '1' (3)
11998c11998
< #103: '0' (2)
---
> #103: '1' (3)
12000c12000
< #105: '0' (2)
---
> #105: '1' (3)
12002c12002
< #107: '0' (2)
---
> #107: '1' (3)
12004c12004
< #109: '0' (2)
---
> #109: '1' (3)
12006c12006
< #111: '0' (2)
---
> #111: '1' (3)
12008c12008
< #113: '0' (2)
---
> #113: '1' (3)
12012c12012
< #117: '0' (2)
---
> #117: '1' (3)
12015c12015
< #120: '0' (2)
---
> #120: '1' (3)
12018c12018
< #123: '0' (2)
---
> #123: '1' (3)
12021c12021
< #126: '0' (2)
---
> #126: '1' (3)
12024c12024
< #129: '0' (2)
---
> #129: '1' (3)
12028c12028
< #133: '0' (2)
---
> #133: '1' (3)
12045,12047c12045,12047
< #150: '0' (2)
< #151: '0' (2)
< #152: '0' (2)
---
> #150: '1' (3)
> #151: '1' (3)
> #152: '1' (3)
12051,12053c12051,12053
< #156: '0' (2)
< #157: '0' (2)
< #158: '0' (2)
---
> #156: '1' (3)
> #157: '1' (3)
> #158: '1' (3)
12056c12056
< #161: '0' (2)
---
> #161: '1' (3)
12061,12062c12061,12062
< #166: '0' (2)
< #167: '0' (2)
---
> #166: '1' (3)
> #167: '1' (3)
12065,12066c12065,12066
< #170: '0' (2)
< #171: '0' (2)
---
> #170: '1' (3)
> #171: '1' (3)
12069,12070c12069,12070
< #174: '0' (2)
< #175: '0' (2)
---
> #174: '1' (3)
> #175: '1' (3)
12072c12072
< #177: '0' (2)
---
> #177: '1' (3)
12077c12077
< #182: '0' (2)
---
> #182: '1' (3)
12079,12081c12079,12081
< #184: '0' (2)
< #185: '0' (2)
< #186: '0' (2)
---
> #184: '1' (3)
> #185: '1' (3)
> #186: '1' (3)
12083c12083
< #188: '0' (2)
---
> #188: '1' (3)
12087,12088c12087,12088
< #192: '0' (2)
< #193: '0' (2)
---
> #192: '1' (3)
> #193: '1' (3)
12093c12093
< #198: '0' (2)
---
> #198: '1' (3)
12095c12095
< #200: '0' (2)
---
> #200: '1' (3)
12097c12097
< #202: '0' (2)
---
> #202: '1' (3)
12099c12099
< #204: '0' (2)
---
> #204: '1' (3)
12101c12101
< #206: '0' (2)
---
> #206: '1' (3)
12103,12104c12103,12104
< #208: '0' (2)
< #209: '0' (2)
---
> #208: '1' (3)
> #209: '1' (3)
12109c12109
< #214: '0' (2)
---
> #214: '1' (3)
12112,12114c12112,12114
< #217: '0' (2)
< #218: '0' (2)
< #219: '0' (2)
---
> #217: '1' (3)
> #218: '1' (3)
> #219: '1' (3)
12116,12117c12116,12117
< #221: '0' (2)
< #222: '0' (2)
---
> #221: '1' (3)
> #222: '1' (3)
12125c12125
< #230: '0' (2)
---
> #230: '1' (3)
12128c12128
< #233: '0' (2)
---
> #233: '1' (3)
12131c12131
< #236: '0' (2)
---
> #236: '1' (3)
12134c12134
< #239: '0' (2)
---
> #239: '1' (3)
12141c12141
< #246: '0' (2)
---
> #246: '1' (3)
12145c12145
< #250: '0' (2)
---
> #250: '1' (3)
12149c12149
< #254: '0' (2)
---
> #254: '1' (3)
12157c12157
< #262: '0' (2)
---
> #262: '1' (3)
12190,12204c12190,12204
< #19: '0' (2)
< #20: '0' (2)
< #21: '0' (2)
< #22: '0' (2)
< #23: '0' (2)
< #24: '0' (2)
< #25: '0' (2)
< #26: '0' (2)
< #27: '0' (2)
< #28: '0' (2)
< #29: '0' (2)
< #30: '0' (2)
< #31: '0' (2)
< #32: '0' (2)
< #33: '0' (2)
---
> #19: '1' (3)
> #20: '1' (3)
> #21: '1' (3)
> #22: '1' (3)
> #23: '1' (3)
> #24: '1' (3)
> #25: '1' (3)
> #26: '1' (3)
> #27: '1' (3)
> #28: '1' (3)
> #29: '1' (3)
> #30: '1' (3)
> #31: '1' (3)
> #32: '1' (3)
> #33: '1' (3)
12206c12206
< #35: '0' (2)
---
> #35: '1' (3)
12223c12223
< #52: '0' (2)
---
> #52: '1' (3)
12225c12225
< #54: '0' (2)
---
> #54: '1' (3)
12227c12227
< #56: '0' (2)
---
> #56: '1' (3)
12229c12229
< #58: '0' (2)
---
> #58: '1' (3)
12231c12231
< #60: '0' (2)
---
> #60: '1' (3)
12233c12233
< #62: '0' (2)
---
> #62: '1' (3)
12235,12236c12235,12236
< #64: '0' (2)
< #65: '0' (2)
---
> #64: '1' (3)
> #65: '1' (3)
12239c12239
< #68: '0' (2)
---
> #68: '1' (3)
12256,12257c12256,12257
< #85: '0' (2)
< #86: '0' (2)
---
> #85: '1' (3)
> #86: '1' (3)
12260,12261c12260,12261
< #89: '0' (2)
< #90: '0' (2)
---
> #89: '1' (3)
> #90: '1' (3)
12264,12265c12264,12265
< #93: '0' (2)
< #94: '0' (2)
---
> #93: '1' (3)
> #94: '1' (3)
12267c12267
< #96: '0' (2)
---
> #96: '1' (3)
12272c12272
< #101: '0' (2)
---
> #101: '1' (3)
12274c12274
< #103: '0' (2)
---
> #103: '1' (3)
12276c12276
< #105: '0' (2)
---
> #105: '1' (3)
12278c12278
< #107: '0' (2)
---
> #107: '1' (3)
12280c12280
< #109: '0' (2)
---
> #109: '1' (3)
12282c12282
< #111: '0' (2)
---
> #111: '1' (3)
12284c12284
< #113: '0' (2)
---
> #113: '1' (3)
12288c12288
< #117: '0' (2)
---
> #117: '1' (3)
12291c12291
< #120: '0' (2)
---
> #120: '1' (3)
12294c12294
< #123: '0' (2)
---
> #123: '1' (3)
12297c12297
< #126: '0' (2)
---
> #126: '1' (3)
12300c12300
< #129: '0' (2)
---
> #129: '1' (3)
12304c12304
< #133: '0' (2)
---
> #133: '1' (3)
12321,12323c12321,12323
< #150: '0' (2)
< #151: '0' (2)
< #152: '0' (2)
---
> #150: '1' (3)
> #151: '1' (3)
> #152: '1' (3)
12327,12329c12327,12329
< #156: '0' (2)
< #157: '0' (2)
< #158: '0' (2)
---
> #156: '1' (3)
> #157: '1' (3)
> #158: '1' (3)
12332c12332
< #161: '0' (2)
---
> #161: '1' (3)
12337,12338c12337,12338
< #166: '0' (2)
< #167: '0' (2)
---
> #166: '1' (3)
> #167: '1' (3)
12341,12342c12341,12342
< #170: '0' (2)
< #171: '0' (2)
---
> #170: '1' (3)
> #171: '1' (3)
12345,12346c12345,12346
< #174: '0' (2)
< #175: '0' (2)
---
> #174: '1' (3)
> #175: '1' (3)
12348c12348
< #177: '0' (2)
---
> #177: '1' (3)
12353c12353
< #182: '0' (2)
---
> #182: '1' (3)
12355,12357c12355,12357
< #184: '0' (2)
< #185: '0' (2)
< #186: '0' (2)
---
> #184: '1' (3)
> #185: '1' (3)
> #186: '1' (3)
12359c12359
< #188: '0' (2)
---
> #188: '1' (3)
12363,12364c12363,12364
< #192: '0' (2)
< #193: '0' (2)
---
> #192: '1' (3)
> #193: '1' (3)
12369c12369
< #198: '0' (2)
---
> #198: '1' (3)
12371c12371
< #200: '0' (2)
---
> #200: '1' (3)
12373c12373
< #202: '0' (2)
---
> #202: '1' (3)
12375c12375
< #204: '0' (2)
---
> #204: '1' (3)
12377c12377
< #206: '0' (2)
---
> #206: '1' (3)
12379,12380c12379,12380
< #208: '0' (2)
< #209: '0' (2)
---
> #208: '1' (3)
> #209: '1' (3)
12385c12385
< #214: '0' (2)
---
> #214: '1' (3)
12388,12390c12388,12390
< #217: '0' (2)
< #218: '0' (2)
< #219: '0' (2)
---
> #217: '1' (3)
> #218: '1' (3)
> #219: '1' (3)
12392,12393c12392,12393
< #221: '0' (2)
< #222: '0' (2)
---
> #221: '1' (3)
> #222: '1' (3)
12401c12401
< #230: '0' (2)
---
> #230: '1' (3)
12404c12404
< #233: '0' (2)
---
> #233: '1' (3)
12407c12407
< #236: '0' (2)
---
> #236: '1' (3)
12410c12410
< #239: '0' (2)
---
> #239: '1' (3)
12417c12417
< #246: '0' (2)
---
> #246: '1' (3)
12421c12421
< #250: '0' (2)
---
> #250: '1' (3)
12425c12425
< #254: '0' (2)
---
> #254: '1' (3)
12433c12433
< #262: '0' (2)
---
> #262: '1' (3)
12466,12480c12466,12480
< #19: '0' (2)
< #20: '0' (2)
< #21: '0' (2)
< #22: '0' (2)
< #23: '0' (2)
< #24: '0' (2)
< #25: '0' (2)
< #26: '0' (2)
< #27: '0' (2)
< #28: '0' (2)
< #29: '0' (2)
< #30: '0' (2)
< #31: '0' (2)
< #32: '0' (2)
< #33: '0' (2)
---
> #19: '1' (3)
> #20: '1' (3)
> #21: '1' (3)
> #22: '1' (3)
> #23: '1' (3)
> #24: '1' (3)
> #25: '1' (3)
> #26: '1' (3)
> #27: '1' (3)
> #28: '1' (3)
> #29: '1' (3)
> #30: '1' (3)
> #31: '1' (3)
> #32: '1' (3)
> #33: '1' (3)
12482c12482
< #35: '0' (2)
---
> #35: '1' (3)
12499c12499
< #52: '0' (2)
---
> #52: '1' (3)
12501c12501
< #54: '0' (2)
---
> #54: '1' (3)
12503c12503
< #56: '0' (2)
---
> #56: '1' (3)
12505c12505
< #58: '0' (2)
---
> #58: '1' (3)
12507c12507
< #60: '0' (2)
---
> #60: '1' (3)
12509c12509
< #62: '0' (2)
---
> #62: '1' (3)
12511,12512c12511,12512
< #64: '0' (2)
< #65: '0' (2)
---
> #64: '1' (3)
> #65: '1' (3)
12515c12515
< #68: '0' (2)
---
> #68: '1' (3)
12532,12533c12532,12533
< #85: '0' (2)
< #86: '0' (2)
---
> #85: '1' (3)
> #86: '1' (3)
12536,12537c12536,12537
< #89: '0' (2)
< #90: '0' (2)
---
> #89: '1' (3)
> #90: '1' (3)
12540,12541c12540,12541
< #93: '0' (2)
< #94: '0' (2)
---
> #93: '1' (3)
> #94: '1' (3)
12543c12543
< #96: '0' (2)
---
> #96: '1' (3)
12548c12548
< #101: '0' (2)
---
> #101: '1' (3)
12550c12550
< #103: '0' (2)
---
> #103: '1' (3)
12552c12552
< #105: '0' (2)
---
> #105: '1' (3)
12554c12554
< #107: '0' (2)
---
> #107: '1' (3)
12556c12556
< #109: '0' (2)
---
> #109: '1' (3)
12558c12558
< #111: '0' (2)
---
> #111: '1' (3)
12560c12560
< #113: '0' (2)
---
> #113: '1' (3)
12564c12564
< #117: '0' (2)
---
> #117: '1' (3)
12567c12567
< #120: '0' (2)
---
> #120: '1' (3)
12570c12570
< #123: '0' (2)
---
> #123: '1' (3)
12573c12573
< #126: '0' (2)
---
> #126: '1' (3)
12576c12576
< #129: '0' (2)
---
> #129: '1' (3)
12580c12580
< #133: '0' (2)
---
> #133: '1' (3)
12597,12599c12597,12599
< #150: '0' (2)
< #151: '0' (2)
< #152: '0' (2)
---
> #150: '1' (3)
> #151: '1' (3)
> #152: '1' (3)
12603,12605c12603,12605
< #156: '0' (2)
< #157: '0' (2)
< #158: '0' (2)
---
> #156: '1' (3)
> #157: '1' (3)
> #158: '1' (3)
12608c12608
< #161: '0' (2)
---
> #161: '1' (3)
12613,12614c12613,12614
< #166: '0' (2)
< #167: '0' (2)
---
> #166: '1' (3)
> #167: '1' (3)
12617,12618c12617,12618
< #170: '0' (2)
< #171: '0' (2)
---
> #170: '1' (3)
> #171: '1' (3)
12621,12622c12621,12622
< #174: '0' (2)
< #175: '0' (2)
---
> #174: '1' (3)
> #175: '1' (3)
12624c12624
< #177: '0' (2)
---
> #177: '1' (3)
12629c12629
< #182: '0' (2)
---
> #182: '1' (3)
12631,12633c12631,12633
< #184: '0' (2)
< #185: '0' (2)
< #186: '0' (2)
---
> #184: '1' (3)
> #185: '1' (3)
> #186: '1' (3)
12635c12635
< #188: '0' (2)
---
> #188: '1' (3)
12639,12640c12639,12640
< #192: '0' (2)
< #193: '0' (2)
---
> #192: '1' (3)
> #193: '1' (3)
12645c12645
< #198: '0' (2)
---
> #198: '1' (3)
12647c12647
< #200: '0' (2)
---
> #200: '1' (3)
12649c12649
< #202: '0' (2)
---
> #202: '1' (3)
12651c12651
< #204: '0' (2)
---
> #204: '1' (3)
12653c12653
< #206: '0' (2)
---
> #206: '1' (3)
12655,12656c12655,12656
< #208: '0' (2)
< #209: '0' (2)
---
> #208: '1' (3)
> #209: '1' (3)
12661c12661
< #214: '0' (2)
---
> #214: '1' (3)
12664,12666c12664,12666
< #217: '0' (2)
< #218: '0' (2)
< #219: '0' (2)
---
> #217: '1' (3)
> #218: '1' (3)
> #219: '1' (3)
12668,12669c12668,12669
< #221: '0' (2)
< #222: '0' (2)
---
> #221: '1' (3)
> #222: '1' (3)
12677c12677
< #230: '0' (2)
---
> #230: '1' (3)
12680c12680
< #233: '0' (2)
---
> #233: '1' (3)
12683c12683
< #236: '0' (2)
---
> #236: '1' (3)
12686c12686
< #239: '0' (2)
---
> #239: '1' (3)
12693c12693
< #246: '0' (2)
---
> #246: '1' (3)
12697c12697
< #250: '0' (2)
---
> #250: '1' (3)
12701c12701
< #254: '0' (2)
---
> #254: '1' (3)
12709c12709
< #262: '0' (2)
---
> #262: '1' (3)
12742,12756c12742,12756
< #19: '0' (2)
< #20: '0' (2)
< #21: '0' (2)
< #22: '0' (2)
< #23: '0' (2)
< #24: '0' (2)
< #25: '0' (2)
< #26: '0' (2)
< #27: '0' (2)
< #28: '0' (2)
< #29: '0' (2)
< #30: '0' (2)
< #31: '0' (2)
< #32: '0' (2)
< #33: '0' (2)
---
> #19: '1' (3)
> #20: '1' (3)
> #21: '1' (3)
> #22: '1' (3)
> #23: '1' (3)
> #24: '1' (3)
> #25: '1' (3)
> #26: '1' (3)
> #27: '1' (3)
> #28: '1' (3)
> #29: '1' (3)
> #30: '1' (3)
> #31: '1' (3)
> #32: '1' (3)
> #33: '1' (3)
12758c12758
< #35: '0' (2)
---
> #35: '1' (3)
12775c12775
< #52: '0' (2)
---
> #52: '1' (3)
12777c12777
< #54: '0' (2)
---
> #54: '1' (3)
12779c12779
< #56: '0' (2)
---
> #56: '1' (3)
12781c12781
< #58: '0' (2)
---
> #58: '1' (3)
12783c12783
< #60: '0' (2)
---
> #60: '1' (3)
12785c12785
< #62: '0' (2)
---
> #62: '1' (3)
12787,12788c12787,12788
< #64: '0' (2)
< #65: '0' (2)
---
> #64: '1' (3)
> #65: '1' (3)
12791c12791
< #68: '0' (2)
---
> #68: '1' (3)
12808,12809c12808,12809
< #85: '0' (2)
< #86: '0' (2)
---
> #85: '1' (3)
> #86: '1' (3)
12812,12813c12812,12813
< #89: '0' (2)
< #90: '0' (2)
---
> #89: '1' (3)
> #90: '1' (3)
12816,12817c12816,12817
< #93: '0' (2)
< #94: '0' (2)
---
> #93: '1' (3)
> #94: '1' (3)
12819c12819
< #96: '0' (2)
---
> #96: '1' (3)
12824c12824
< #101: '0' (2)
---
> #101: '1' (3)
12826c12826
< #103: '0' (2)
---
> #103: '1' (3)
12828c12828
< #105: '0' (2)
---
> #105: '1' (3)
12830c12830
< #107: '0' (2)
---
> #107: '1' (3)
12832c12832
< #109: '0' (2)
---
> #109: '1' (3)
12834c12834
< #111: '0' (2)
---
> #111: '1' (3)
12836c12836
< #113: '0' (2)
---
> #113: '1' (3)
12840c12840
< #117: '0' (2)
---
> #117: '1' (3)
12843c12843
< #120: '0' (2)
---
> #120: '1' (3)
12846c12846
< #123: '0' (2)
---
> #123: '1' (3)
12849c12849
< #126: '0' (2)
---
> #126: '1' (3)
12852c12852
< #129: '0' (2)
---
> #129: '1' (3)
12856c12856
< #133: '0' (2)
---
> #133: '1' (3)
12873,12875c12873,12875
< #150: '0' (2)
< #151: '0' (2)
< #152: '0' (2)
---
> #150: '1' (3)
> #151: '1' (3)
> #152: '1' (3)
12879,12881c12879,12881
< #156: '0' (2)
< #157: '0' (2)
< #158: '0' (2)
---
> #156: '1' (3)
> #157: '1' (3)
> #158: '1' (3)
12884c12884
< #161: '0' (2)
---
> #161: '1' (3)
12889,12890c12889,12890
< #166: '0' (2)
< #167: '0' (2)
---
> #166: '1' (3)
> #167: '1' (3)
12893,12894c12893,12894
< #170: '0' (2)
< #171: '0' (2)
---
> #170: '1' (3)
> #171: '1' (3)
12897,12898c12897,12898
< #174: '0' (2)
< #175: '0' (2)
---
> #174: '1' (3)
> #175: '1' (3)
12900c12900
< #177: '0' (2)
---
> #177: '1' (3)
12905c12905
< #182: '0' (2)
---
> #182: '1' (3)
12907,12909c12907,12909
< #184: '0' (2)
< #185: '0' (2)
< #186: '0' (2)
---
> #184: '1' (3)
> #185: '1' (3)
> #186: '1' (3)
12911c12911
< #188: '0' (2)
---
> #188: '1' (3)
12915,12916c12915,12916
< #192: '0' (2)
< #193: '0' (2)
---
> #192: '1' (3)
> #193: '1' (3)
12921c12921
< #198: '0' (2)
---
> #198: '1' (3)
12923c12923
< #200: '0' (2)
---
> #200: '1' (3)
12925c12925
< #202: '0' (2)
---
> #202: '1' (3)
12927c12927
< #204: '0' (2)
---
> #204: '1' (3)
12929c12929
< #206: '0' (2)
---
> #206: '1' (3)
12931,12932c12931,12932
< #208: '0' (2)
< #209: '0' (2)
---
> #208: '1' (3)
> #209: '1' (3)
12937c12937
< #214: '0' (2)
---
> #214: '1' (3)
12940,12942c12940,12942
< #217: '0' (2)
< #218: '0' (2)
< #219: '0' (2)
---
> #217: '1' (3)
> #218: '1' (3)
> #219: '1' (3)
12944,12945c12944,12945
< #221: '0' (2)
< #222: '0' (2)
---
> #221: '1' (3)
> #222: '1' (3)
12953c12953
< #230: '0' (2)
---
> #230: '1' (3)
12956c12956
< #233: '0' (2)
---
> #233: '1' (3)
12959c12959
< #236: '0' (2)
---
> #236: '1' (3)
12962c12962
< #239: '0' (2)
---
> #239: '1' (3)
12969c12969
< #246: '0' (2)
---
> #246: '1' (3)
12973c12973
< #250: '0' (2)
---
> #250: '1' (3)
12977c12977
< #254: '0' (2)
---
> #254: '1' (3)
12985c12985
< #262: '0' (2)
---
> #262: '1' (3)
13018,13032c13018,13032
< #19: '0' (2)
< #20: '0' (2)
< #21: '0' (2)
< #22: '0' (2)
< #23: '0' (2)
< #24: '0' (2)
< #25: '0' (2)
< #26: '0' (2)
< #27: '0' (2)
< #28: '0' (2)
< #29: '0' (2)
< #30: '0' (2)
< #31: '0' (2)
< #32: '0' (2)
< #33: '0' (2)
---
> #19: '1' (3)
> #20: '1' (3)
> #21: '1' (3)
> #22: '1' (3)
> #23: '1' (3)
> #24: '1' (3)
> #25: '1' (3)
> #26: '1' (3)
> #27: '1' (3)
> #28: '1' (3)
> #29: '1' (3)
> #30: '1' (3)
> #31: '1' (3)
> #32: '1' (3)
> #33: '1' (3)
13034c13034
< #35: '0' (2)
---
> #35: '1' (3)
13051c13051
< #52: '0' (2)
---
> #52: '1' (3)
13053c13053
< #54: '0' (2)
---
> #54: '1' (3)
13055c13055
< #56: '0' (2)
---
> #56: '1' (3)
13057c13057
< #58: '0' (2)
---
> #58: '1' (3)
13059c13059
< #60: '0' (2)
---
> #60: '1' (3)
13061c13061
< #62: '0' (2)
---
> #62: '1' (3)
13063,13064c13063,13064
< #64: '0' (2)
< #65: '0' (2)
---
> #64: '1' (3)
> #65: '1' (3)
13067c13067
< #68: '0' (2)
---
> #68: '1' (3)
13084,13085c13084,13085
< #85: '0' (2)
< #86: '0' (2)
---
> #85: '1' (3)
> #86: '1' (3)
13088,13089c13088,13089
< #89: '0' (2)
< #90: '0' (2)
---
> #89: '1' (3)
> #90: '1' (3)
13092,13093c13092,13093
< #93: '0' (2)
< #94: '0' (2)
---
> #93: '1' (3)
> #94: '1' (3)
13095c13095
< #96: '0' (2)
---
> #96: '1' (3)
13100c13100
< #101: '0' (2)
---
> #101: '1' (3)
13102c13102
< #103: '0' (2)
---
> #103: '1' (3)
13104c13104
< #105: '0' (2)
---
> #105: '1' (3)
13106c13106
< #107: '0' (2)
---
> #107: '1' (3)
13108c13108
< #109: '0' (2)
---
> #109: '1' (3)
13110c13110
< #111: '0' (2)
---
> #111: '1' (3)
13112c13112
< #113: '0' (2)
---
> #113: '1' (3)
13116c13116
< #117: '0' (2)
---
> #117: '1' (3)
13119c13119
< #120: '0' (2)
---
> #120: '1' (3)
13122c13122
< #123: '0' (2)
---
> #123: '1' (3)
13125c13125
< #126: '0' (2)
---
> #126: '1' (3)
13128c13128
< #129: '0' (2)
---
> #129: '1' (3)
13132c13132
< #133: '0' (2)
---
> #133: '1' (3)
13149,13151c13149,13151
< #150: '0' (2)
< #151: '0' (2)
< #152: '0' (2)
---
> #150: '1' (3)
> #151: '1' (3)
> #152: '1' (3)
13155,13157c13155,13157
< #156: '0' (2)
< #157: '0' (2)
< #158: '0' (2)
---
> #156: '1' (3)
> #157: '1' (3)
> #158: '1' (3)
13160c13160
< #161: '0' (2)
---
> #161: '1' (3)
13165,13166c13165,13166
< #166: '0' (2)
< #167: '0' (2)
---
> #166: '1' (3)
> #167: '1' (3)
13169,13170c13169,13170
< #170: '0' (2)
< #171: '0' (2)
---
> #170: '1' (3)
> #171: '1' (3)
13173,13174c13173,13174
< #174: '0' (2)
< #175: '0' (2)
---
> #174: '1' (3)
> #175: '1' (3)
13176c13176
< #177: '0' (2)
---
> #177: '1' (3)
13181c13181
< #182: '0' (2)
---
> #182: '1' (3)
13183,13185c13183,13185
< #184: '0' (2)
< #185: '0' (2)
< #186: '0' (2)
---
> #184: '1' (3)
> #185: '1' (3)
> #186: '1' (3)
13187c13187
< #188: '0' (2)
---
> #188: '1' (3)
13191,13192c13191,13192
< #192: '0' (2)
< #193: '0' (2)
---
> #192: '1' (3)
> #193: '1' (3)
13197c13197
< #198: '0' (2)
---
> #198: '1' (3)
13199c13199
< #200: '0' (2)
---
> #200: '1' (3)
13201c13201
< #202: '0' (2)
---
> #202: '1' (3)
13203c13203
< #204: '0' (2)
---
> #204: '1' (3)
13205c13205
< #206: '0' (2)
---
> #206: '1' (3)
13207,13208c13207,13208
< #208: '0' (2)
< #209: '0' (2)
---
> #208: '1' (3)
> #209: '1' (3)
13213c13213
< #214: '0' (2)
---
> #214: '1' (3)
13216,13218c13216,13218
< #217: '0' (2)
< #218: '0' (2)
< #219: '0' (2)
---
> #217: '1' (3)
> #218: '1' (3)
> #219: '1' (3)
13220,13221c13220,13221
< #221: '0' (2)
< #222: '0' (2)
---
> #221: '1' (3)
> #222: '1' (3)
13229c13229
< #230: '0' (2)
---
> #230: '1' (3)
13232c13232
< #233: '0' (2)
---
> #233: '1' (3)
13235c13235
< #236: '0' (2)
---
> #236: '1' (3)
13238c13238
< #239: '0' (2)
---
> #239: '1' (3)
13245c13245
< #246: '0' (2)
---
> #246: '1' (3)
13249c13249
< #250: '0' (2)
---
> #250: '1' (3)
13253c13253
< #254: '0' (2)
---
> #254: '1' (3)
13261c13261
< #262: '0' (2)
---
> #262: '1' (3)
13294,13308c13294,13308
< #19: '0' (2)
< #20: '0' (2)
< #21: '0' (2)
< #22: '0' (2)
< #23: '0' (2)
< #24: '0' (2)
< #25: '0' (2)
< #26: '0' (2)
< #27: '0' (2)
< #28: '0' (2)
< #29: '0' (2)
< #30: '0' (2)
< #31: '0' (2)
< #32: '0' (2)
< #33: '0' (2)
---
> #19: '1' (3)
> #20: '1' (3)
> #21: '1' (3)
> #22: '1' (3)
> #23: '1' (3)
> #24: '1' (3)
> #25: '1' (3)
> #26: '1' (3)
> #27: '1' (3)
> #28: '1' (3)
> #29: '1' (3)
> #30: '1' (3)
> #31: '1' (3)
> #32: '1' (3)
> #33: '1' (3)
13310c13310
< #35: '0' (2)
---
> #35: '1' (3)
13327c13327
< #52: '0' (2)
---
> #52: '1' (3)
13329c13329
< #54: '0' (2)
---
> #54: '1' (3)
13331c13331
< #56: '0' (2)
---
> #56: '1' (3)
13333c13333
< #58: '0' (2)
---
> #58: '1' (3)
13335c13335
< #60: '0' (2)
---
> #60: '1' (3)
13337c13337
< #62: '0' (2)
---
> #62: '1' (3)
13339,13340c13339,13340
< #64: '0' (2)
< #65: '0' (2)
---
> #64: '1' (3)
> #65: '1' (3)
13343c13343
< #68: '0' (2)
---
> #68: '1' (3)
13360,13361c13360,13361
< #85: '0' (2)
< #86: '0' (2)
---
> #85: '1' (3)
> #86: '1' (3)
13364,13365c13364,13365
< #89: '0' (2)
< #90: '0' (2)
---
> #89: '1' (3)
> #90: '1' (3)
13368,13369c13368,13369
< #93: '0' (2)
< #94: '0' (2)
---
> #93: '1' (3)
> #94: '1' (3)
13371c13371
< #96: '0' (2)
---
> #96: '1' (3)
13376c13376
< #101: '0' (2)
---
> #101: '1' (3)
13378c13378
< #103: '0' (2)
---
> #103: '1' (3)
13380c13380
< #105: '0' (2)
---
> #105: '1' (3)
13382c13382
< #107: '0' (2)
---
> #107: '1' (3)
13384c13384
< #109: '0' (2)
---
> #109: '1' (3)
13386c13386
< #111: '0' (2)
---
> #111: '1' (3)
13388c13388
< #113: '0' (2)
---
> #113: '1' (3)
13392c13392
< #117: '0' (2)
---
> #117: '1' (3)
13395c13395
< #120: '0' (2)
---
> #120: '1' (3)
13398c13398
< #123: '0' (2)
---
> #123: '1' (3)
13401c13401
< #126: '0' (2)
---
> #126: '1' (3)
13404c13404
< #129: '0' (2)
---
> #129: '1' (3)
13408c13408
< #133: '0' (2)
---
> #133: '1' (3)
13425,13427c13425,13427
< #150: '0' (2)
< #151: '0' (2)
< #152: '0' (2)
---
> #150: '1' (3)
> #151: '1' (3)
> #152: '1' (3)
13431,13433c13431,13433
< #156: '0' (2)
< #157: '0' (2)
< #158: '0' (2)
---
> #156: '1' (3)
> #157: '1' (3)
> #158: '1' (3)
13436c13436
< #161: '0' (2)
---
> #161: '1' (3)
13441,13442c13441,13442
< #166: '0' (2)
< #167: '0' (2)
---
> #166: '1' (3)
> #167: '1' (3)
13445,13446c13445,13446
< #170: '0' (2)
< #171: '0' (2)
---
> #170: '1' (3)
> #171: '1' (3)
13449,13450c13449,13450
< #174: '0' (2)
< #175: '0' (2)
---
> #174: '1' (3)
> #175: '1' (3)
13452c13452
< #177: '0' (2)
---
> #177: '1' (3)
13457c13457
< #182: '0' (2)
---
> #182: '1' (3)
13459,13461c13459,13461
< #184: '0' (2)
< #185: '0' (2)
< #186: '0' (2)
---
> #184: '1' (3)
> #185: '1' (3)
> #186: '1' (3)
13463c13463
< #188: '0' (2)
---
> #188: '1' (3)
13467,13468c13467,13468
< #192: '0' (2)
< #193: '0' (2)
---
> #192: '1' (3)
> #193: '1' (3)
13473c13473
< #198: '0' (2)
---
> #198: '1' (3)
13475c13475
< #200: '0' (2)
---
> #200: '1' (3)
13477c13477
< #202: '0' (2)
---
> #202: '1' (3)
13479c13479
< #204: '0' (2)
---
> #204: '1' (3)
13481c13481
< #206: '0' (2)
---
> #206: '1' (3)
13483,13484c13483,13484
< #208: '0' (2)
< #209: '0' (2)
---
> #208: '1' (3)
> #209: '1' (3)
13489c13489
< #214: '0' (2)
---
> #214: '1' (3)
13492,13494c13492,13494
< #217: '0' (2)
< #218: '0' (2)
< #219: '0' (2)
---
> #217: '1' (3)
> #218: '1' (3)
> #219: '1' (3)
13496,13497c13496,13497
< #221: '0' (2)
< #222: '0' (2)
---
> #221: '1' (3)
> #222: '1' (3)
13505c13505
< #230: '0' (2)
---
> #230: '1' (3)
13508c13508
< #233: '0' (2)
---
> #233: '1' (3)
13511c13511
< #236: '0' (2)
---
> #236: '1' (3)
13514c13514
< #239: '0' (2)
---
> #239: '1' (3)
13521c13521
< #246: '0' (2)
---
> #246: '1' (3)
13525c13525
< #250: '0' (2)
---
> #250: '1' (3)
13529c13529
< #254: '0' (2)
---
> #254: '1' (3)
13537c13537
< #262: '0' (2)
---
> #262: '1' (3)
13570,13584c13570,13584
< #19: '0' (2)
< #20: '0' (2)
< #21: '0' (2)
< #22: '0' (2)
< #23: '0' (2)
< #24: '0' (2)
< #25: '0' (2)
< #26: '0' (2)
< #27: '0' (2)
< #28: '0' (2)
< #29: '0' (2)
< #30: '0' (2)
< #31: '0' (2)
< #32: '0' (2)
< #33: '0' (2)
---
> #19: '1' (3)
> #20: '1' (3)
> #21: '1' (3)
> #22: '1' (3)
> #23: '1' (3)
> #24: '1' (3)
> #25: '1' (3)
> #26: '1' (3)
> #27: '1' (3)
> #28: '1' (3)
> #29: '1' (3)
> #30: '1' (3)
> #31: '1' (3)
> #32: '1' (3)
> #33: '1' (3)
13586c13586
< #35: '0' (2)
---
> #35: '1' (3)
13603c13603
< #52: '0' (2)
---
> #52: '1' (3)
13605c13605
< #54: '0' (2)
---
> #54: '1' (3)
13607c13607
< #56: '0' (2)
---
> #56: '1' (3)
13609c13609
< #58: '0' (2)
---
> #58: '1' (3)
13611c13611
< #60: '0' (2)
---
> #60: '1' (3)
13613c13613
< #62: '0' (2)
---
> #62: '1' (3)
13615,13616c13615,13616
< #64: '0' (2)
< #65: '0' (2)
---
> #64: '1' (3)
> #65: '1' (3)
13619c13619
< #68: '0' (2)
---
> #68: '1' (3)
13636,13637c13636,13637
< #85: '0' (2)
< #86: '0' (2)
---
> #85: '1' (3)
> #86: '1' (3)
13640,13641c13640,13641
< #89: '0' (2)
< #90: '0' (2)
---
> #89: '1' (3)
> #90: '1' (3)
13644,13645c13644,13645
< #93: '0' (2)
< #94: '0' (2)
---
> #93: '1' (3)
> #94: '1' (3)
13647c13647
< #96: '0' (2)
---
> #96: '1' (3)
13652c13652
< #101: '0' (2)
---
> #101: '1' (3)
13654c13654
< #103: '0' (2)
---
> #103: '1' (3)
13656c13656
< #105: '0' (2)
---
> #105: '1' (3)
13658c13658
< #107: '0' (2)
---
> #107: '1' (3)
13660c13660
< #109: '0' (2)
---
> #109: '1' (3)
13662c13662
< #111: '0' (2)
---
> #111: '1' (3)
13664c13664
< #113: '0' (2)
---
> #113: '1' (3)
13668c13668
< #117: '0' (2)
---
> #117: '1' (3)
13671c13671
< #120: '0' (2)
---
> #120: '1' (3)
13674c13674
< #123: '0' (2)
---
> #123: '1' (3)
13677c13677
< #126: '0' (2)
---
> #126: '1' (3)
13680c13680
< #129: '0' (2)
---
> #129: '1' (3)
13684c13684
< #133: '0' (2)
---
> #133: '1' (3)
13701,13703c13701,13703
< #150: '0' (2)
< #151: '0' (2)
< #152: '0' (2)
---
> #150: '1' (3)
> #151: '1' (3)
> #152: '1' (3)
13707,13709c13707,13709
< #156: '0' (2)
< #157: '0' (2)
< #158: '0' (2)
---
> #156: '1' (3)
> #157: '1' (3)
> #158: '1' (3)
13712c13712
< #161: '0' (2)
---
> #161: '1' (3)
13717,13718c13717,13718
< #166: '0' (2)
< #167: '0' (2)
---
> #166: '1' (3)
> #167: '1' (3)
13721,13722c13721,13722
< #170: '0' (2)
< #171: '0' (2)
---
> #170: '1' (3)
> #171: '1' (3)
13725,13726c13725,13726
< #174: '0' (2)
< #175: '0' (2)
---
> #174: '1' (3)
> #175: '1' (3)
13728c13728
< #177: '0' (2)
---
> #177: '1' (3)
13733c13733
< #182: '0' (2)
---
> #182: '1' (3)
13735,13737c13735,13737
< #184: '0' (2)
< #185: '0' (2)
< #186: '0' (2)
---
> #184: '1' (3)
> #185: '1' (3)
> #186: '1' (3)
13739c13739
< #188: '0' (2)
---
> #188: '1' (3)
13743,13744c13743,13744
< #192: '0' (2)
< #193: '0' (2)
---
> #192: '1' (3)
> #193: '1' (3)
13749c13749
< #198: '0' (2)
---
> #198: '1' (3)
13751c13751
< #200: '0' (2)
---
> #200: '1' (3)
13753c13753
< #202: '0' (2)
---
> #202: '1' (3)
13755c13755
< #204: '0' (2)
---
> #204: '1' (3)
13757c13757
< #206: '0' (2)
---
> #206: '1' (3)
13759,13760c13759,13760
< #208: '0' (2)
< #209: '0' (2)
---
> #208: '1' (3)
> #209: '1' (3)
13765c13765
< #214: '0' (2)
---
> #214: '1' (3)
13768,13770c13768,13770
< #217: '0' (2)
< #218: '0' (2)
< #219: '0' (2)
---
> #217: '1' (3)
> #218: '1' (3)
> #219: '1' (3)
13772,13773c13772,13773
< #221: '0' (2)
< #222: '0' (2)
---
> #221: '1' (3)
> #222: '1' (3)
13781c13781
< #230: '0' (2)
---
> #230: '1' (3)
13784c13784
< #233: '0' (2)
---
> #233: '1' (3)
13787c13787
< #236: '0' (2)
---
> #236: '1' (3)
13790c13790
< #239: '0' (2)
---
> #239: '1' (3)
13797c13797
< #246: '0' (2)
---
> #246: '1' (3)
13801c13801
< #250: '0' (2)
---
> #250: '1' (3)
13805c13805
< #254: '0' (2)
---
> #254: '1' (3)
13813c13813
< #262: '0' (2)
---
> #262: '1' (3)
13846,13860c13846,13860
< #19: '0' (2)
< #20: '0' (2)
< #21: '0' (2)
< #22: '0' (2)
< #23: '0' (2)
< #24: '0' (2)
< #25: '0' (2)
< #26: '0' (2)
< #27: '0' (2)
< #28: '0' (2)
< #29: '0' (2)
< #30: '0' (2)
< #31: '0' (2)
< #32: '0' (2)
< #33: '0' (2)
---
> #19: '1' (3)
> #20: '1' (3)
> #21: '1' (3)
> #22: '1' (3)
> #23: '1' (3)
> #24: '1' (3)
> #25: '1' (3)
> #26: '1' (3)
> #27: '1' (3)
> #28: '1' (3)
> #29: '1' (3)
> #30: '1' (3)
> #31: '1' (3)
> #32: '1' (3)
> #33: '1' (3)
13862c13862
< #35: '0' (2)
---
> #35: '1' (3)
13879c13879
< #52: '0' (2)
---
> #52: '1' (3)
13881c13881
< #54: '0' (2)
---
> #54: '1' (3)
13883c13883
< #56: '0' (2)
---
> #56: '1' (3)
13885c13885
< #58: '0' (2)
---
> #58: '1' (3)
13887c13887
< #60: '0' (2)
---
> #60: '1' (3)
13889c13889
< #62: '0' (2)
---
> #62: '1' (3)
13891,13892c13891,13892
< #64: '0' (2)
< #65: '0' (2)
---
> #64: '1' (3)
> #65: '1' (3)
13895c13895
< #68: '0' (2)
---
> #68: '1' (3)
13912,13913c13912,13913
< #85: '0' (2)
< #86: '0' (2)
---
> #85: '1' (3)
> #86: '1' (3)
13916,13917c13916,13917
< #89: '0' (2)
< #90: '0' (2)
---
> #89: '1' (3)
> #90: '1' (3)
13920,13921c13920,13921
< #93: '0' (2)
< #94: '0' (2)
---
> #93: '1' (3)
> #94: '1' (3)
13923c13923
< #96: '0' (2)
---
> #96: '1' (3)
13928c13928
< #101: '0' (2)
---
> #101: '1' (3)
13930c13930
< #103: '0' (2)
---
> #103: '1' (3)
13932c13932
< #105: '0' (2)
---
> #105: '1' (3)
13934c13934
< #107: '0' (2)
---
> #107: '1' (3)
13936c13936
< #109: '0' (2)
---
> #109: '1' (3)
13938c13938
< #111: '0' (2)
---
> #111: '1' (3)
13940c13940
< #113: '0' (2)
---
> #113: '1' (3)
13944c13944
< #117: '0' (2)
---
> #117: '1' (3)
13947c13947
< #120: '0' (2)
---
> #120: '1' (3)
13950c13950
< #123: '0' (2)
---
> #123: '1' (3)
13953c13953
< #126: '0' (2)
---
> #126: '1' (3)
13956c13956
< #129: '0' (2)
---
> #129: '1' (3)
13960c13960
< #133: '0' (2)
---
> #133: '1' (3)
13977,13979c13977,13979
< #150: '0' (2)
< #151: '0' (2)
< #152: '0' (2)
---
> #150: '1' (3)
> #151: '1' (3)
> #152: '1' (3)
13983,13985c13983,13985
< #156: '0' (2)
< #157: '0' (2)
< #158: '0' (2)
---
> #156: '1' (3)
> #157: '1' (3)
> #158: '1' (3)
13988c13988
< #161: '0' (2)
---
> #161: '1' (3)
13993,13994c13993,13994
< #166: '0' (2)
< #167: '0' (2)
---
> #166: '1' (3)
> #167: '1' (3)
13997,13998c13997,13998
< #170: '0' (2)
< #171: '0' (2)
---
> #170: '1' (3)
> #171: '1' (3)
14001,14002c14001,14002
< #174: '0' (2)
< #175: '0' (2)
---
> #174: '1' (3)
> #175: '1' (3)
14004c14004
< #177: '0' (2)
---
> #177: '1' (3)
14009c14009
< #182: '0' (2)
---
> #182: '1' (3)
14011,14013c14011,14013
< #184: '0' (2)
< #185: '0' (2)
< #186: '0' (2)
---
> #184: '1' (3)
> #185: '1' (3)
> #186: '1' (3)
14015c14015
< #188: '0' (2)
---
> #188: '1' (3)
14019,14020c14019,14020
< #192: '0' (2)
< #193: '0' (2)
---
> #192: '1' (3)
> #193: '1' (3)
14025c14025
< #198: '0' (2)
---
> #198: '1' (3)
14027c14027
< #200: '0' (2)
---
> #200: '1' (3)
14029c14029
< #202: '0' (2)
---
> #202: '1' (3)
14031c14031
< #204: '0' (2)
---
> #204: '1' (3)
14033c14033
< #206: '0' (2)
---
> #206: '1' (3)
14035,14036c14035,14036
< #208: '0' (2)
< #209: '0' (2)
---
> #208: '1' (3)
> #209: '1' (3)
14041c14041
< #214: '0' (2)
---
> #214: '1' (3)
14044,14046c14044,14046
< #217: '0' (2)
< #218: '0' (2)
< #219: '0' (2)
---
> #217: '1' (3)
> #218: '1' (3)
> #219: '1' (3)
14048,14049c14048,14049
< #221: '0' (2)
< #222: '0' (2)
---
> #221: '1' (3)
> #222: '1' (3)
14057c14057
< #230: '0' (2)
---
> #230: '1' (3)
14060c14060
< #233: '0' (2)
---
> #233: '1' (3)
14063c14063
< #236: '0' (2)
---
> #236: '1' (3)
14066c14066
< #239: '0' (2)
---
> #239: '1' (3)
14073c14073
< #246: '0' (2)
---
> #246: '1' (3)
14077c14077
< #250: '0' (2)
---
> #250: '1' (3)
14081c14081
< #254: '0' (2)
---
> #254: '1' (3)
14089c14089
< #262: '0' (2)
---
> #262: '1' (3)
14122,14136c14122,14136
< #19: '0' (2)
< #20: '0' (2)
< #21: '0' (2)
< #22: '0' (2)
< #23: '0' (2)
< #24: '0' (2)
< #25: '0' (2)
< #26: '0' (2)
< #27: '0' (2)
< #28: '0' (2)
< #29: '0' (2)
< #30: '0' (2)
< #31: '0' (2)
< #32: '0' (2)
< #33: '0' (2)
---
> #19: '1' (3)
> #20: '1' (3)
> #21: '1' (3)
> #22: '1' (3)
> #23: '1' (3)
> #24: '1' (3)
> #25: '1' (3)
> #26: '1' (3)
> #27: '1' (3)
> #28: '1' (3)
> #29: '1' (3)
> #30: '1' (3)
> #31: '1' (3)
> #32: '1' (3)
> #33: '1' (3)
14138c14138
< #35: '0' (2)
---
> #35: '1' (3)
14155c14155
< #52: '0' (2)
---
> #52: '1' (3)
14157c14157
< #54: '0' (2)
---
> #54: '1' (3)
14159c14159
< #56: '0' (2)
---
> #56: '1' (3)
14161c14161
< #58: '0' (2)
---
> #58: '1' (3)
14163c14163
< #60: '0' (2)
---
> #60: '1' (3)
14165c14165
< #62: '0' (2)
---
> #62: '1' (3)
14167,14168c14167,14168
< #64: '0' (2)
< #65: '0' (2)
---
> #64: '1' (3)
> #65: '1' (3)
14171c14171
< #68: '0' (2)
---
> #68: '1' (3)
14188,14189c14188,14189
< #85: '0' (2)
< #86: '0' (2)
---
> #85: '1' (3)
> #86: '1' (3)
14192,14193c14192,14193
< #89: '0' (2)
< #90: '0' (2)
---
> #89: '1' (3)
> #90: '1' (3)
14196,14197c14196,14197
< #93: '0' (2)
< #94: '0' (2)
---
> #93: '1' (3)
> #94: '1' (3)
14199c14199
< #96: '0' (2)
---
> #96: '1' (3)
14204c14204
< #101: '0' (2)
---
> #101: '1' (3)
14206c14206
< #103: '0' (2)
---
> #103: '1' (3)
14208c14208
< #105: '0' (2)
---
> #105: '1' (3)
14210c14210
< #107: '0' (2)
---
> #107: '1' (3)
14212c14212
< #109: '0' (2)
---
> #109: '1' (3)
14214c14214
< #111: '0' (2)
---
> #111: '1' (3)
14216c14216
< #113: '0' (2)
---
> #113: '1' (3)
14220c14220
< #117: '0' (2)
---
> #117: '1' (3)
14223c14223
< #120: '0' (2)
---
> #120: '1' (3)
14226c14226
< #123: '0' (2)
---
> #123: '1' (3)
14229c14229
< #126: '0' (2)
---
> #126: '1' (3)
14232c14232
< #129: '0' (2)
---
> #129: '1' (3)
14236c14236
< #133: '0' (2)
---
> #133: '1' (3)
14253,14255c14253,14255
< #150: '0' (2)
< #151: '0' (2)
< #152: '0' (2)
---
> #150: '1' (3)
> #151: '1' (3)
> #152: '1' (3)
14259,14261c14259,14261
< #156: '0' (2)
< #157: '0' (2)
< #158: '0' (2)
---
> #156: '1' (3)
> #157: '1' (3)
> #158: '1' (3)
14264c14264
< #161: '0' (2)
---
> #161: '1' (3)
14269,14270c14269,14270
< #166: '0' (2)
< #167: '0' (2)
---
> #166: '1' (3)
> #167: '1' (3)
14273,14274c14273,14274
< #170: '0' (2)
< #171: '0' (2)
---
> #170: '1' (3)
> #171: '1' (3)
14277,14278c14277,14278
< #174: '0' (2)
< #175: '0' (2)
---
> #174: '1' (3)
> #175: '1' (3)
14280c14280
< #177: '0' (2)
---
> #177: '1' (3)
14285c14285
< #182: '0' (2)
---
> #182: '1' (3)
14287,14289c14287,14289
< #184: '0' (2)
< #185: '0' (2)
< #186: '0' (2)
---
> #184: '1' (3)
> #185: '1' (3)
> #186: '1' (3)
14291c14291
< #188: '0' (2)
---
> #188: '1' (3)
14295,14296c14295,14296
< #192: '0' (2)
< #193: '0' (2)
---
> #192: '1' (3)
> #193: '1' (3)
14301c14301
< #198: '0' (2)
---
> #198: '1' (3)
14303c14303
< #200: '0' (2)
---
> #200: '1' (3)
14305c14305
< #202: '0' (2)
---
> #202: '1' (3)
14307c14307
< #204: '0' (2)
---
> #204: '1' (3)
14309c14309
< #206: '0' (2)
---
> #206: '1' (3)
14311,14312c14311,14312
< #208: '0' (2)
< #209: '0' (2)
---
> #208: '1' (3)
> #209: '1' (3)
14317c14317
< #214: '0' (2)
---
> #214: '1' (3)
14320,14322c14320,14322
< #217: '0' (2)
< #218: '0' (2)
< #219: '0' (2)
---
> #217: '1' (3)
> #218: '1' (3)
> #219: '1' (3)
14324,14325c14324,14325
< #221: '0' (2)
< #222: '0' (2)
---
> #221: '1' (3)
> #222: '1' (3)
14333c14333
< #230: '0' (2)
---
> #230: '1' (3)
14336c14336
< #233: '0' (2)
---
> #233: '1' (3)
14339c14339
< #236: '0' (2)
---
> #236: '1' (3)
14342c14342
< #239: '0' (2)
---
> #239: '1' (3)
14349c14349
< #246: '0' (2)
---
> #246: '1' (3)
14353c14353
< #250: '0' (2)
---
> #250: '1' (3)
14357c14357
< #254: '0' (2)
---
> #254: '1' (3)
14365c14365
< #262: '0' (2)
---
> #262: '1' (3)
14398,14412c14398,14412
< #19: '0' (2)
< #20: '0' (2)
< #21: '0' (2)
< #22: '0' (2)
< #23: '0' (2)
< #24: '0' (2)
< #25: '0' (2)
< #26: '0' (2)
< #27: '0' (2)
< #28: '0' (2)
< #29: '0' (2)
< #30: '0' (2)
< #31: '0' (2)
< #32: '0' (2)
< #33: '0' (2)
---
> #19: '1' (3)
> #20: '1' (3)
> #21: '1' (3)
> #22: '1' (3)
> #23: '1' (3)
> #24: '1' (3)
> #25: '1' (3)
> #26: '1' (3)
> #27: '1' (3)
> #28: '1' (3)
> #29: '1' (3)
> #30: '1' (3)
> #31: '1' (3)
> #32: '1' (3)
> #33: '1' (3)
14414c14414
< #35: '0' (2)
---
> #35: '1' (3)
14431c14431
< #52: '0' (2)
---
> #52: '1' (3)
14433c14433
< #54: '0' (2)
---
> #54: '1' (3)
14435c14435
< #56: '0' (2)
---
> #56: '1' (3)
14437c14437
< #58: '0' (2)
---
> #58: '1' (3)
14439c14439
< #60: '0' (2)
---
> #60: '1' (3)
14441c14441
< #62: '0' (2)
---
> #62: '1' (3)
14443,14444c14443,14444
< #64: '0' (2)
< #65: '0' (2)
---
> #64: '1' (3)
> #65: '1' (3)
14447c14447
< #68: '0' (2)
---
> #68: '1' (3)
14464,14465c14464,14465
< #85: '0' (2)
< #86: '0' (2)
---
> #85: '1' (3)
> #86: '1' (3)
14468,14469c14468,14469
< #89: '0' (2)
< #90: '0' (2)
---
> #89: '1' (3)
> #90: '1' (3)
14472,14473c14472,14473
< #93: '0' (2)
< #94: '0' (2)
---
> #93: '1' (3)
> #94: '1' (3)
14475c14475
< #96: '0' (2)
---
> #96: '1' (3)
14480c14480
< #101: '0' (2)
---
> #101: '1' (3)
14482c14482
< #103: '0' (2)
---
> #103: '1' (3)
14484c14484
< #105: '0' (2)
---
> #105: '1' (3)
14486c14486
< #107: '0' (2)
---
> #107: '1' (3)
14488c14488
< #109: '0' (2)
---
> #109: '1' (3)
14490c14490
< #111: '0' (2)
---
> #111: '1' (3)
14492c14492
< #113: '0' (2)
---
> #113: '1' (3)
14496c14496
< #117: '0' (2)
---
> #117: '1' (3)
14499c14499
< #120: '0' (2)
---
> #120: '1' (3)
14502c14502
< #123: '0' (2)
---
> #123: '1' (3)
14505c14505
< #126: '0' (2)
---
> #126: '1' (3)
14508c14508
< #129: '0' (2)
---
> #129: '1' (3)
14512c14512
< #133: '0' (2)
---
> #133: '1' (3)
14529,14531c14529,14531
< #150: '0' (2)
< #151: '0' (2)
< #152: '0' (2)
---
> #150: '1' (3)
> #151: '1' (3)
> #152: '1' (3)
14535,14537c14535,14537
< #156: '0' (2)
< #157: '0' (2)
< #158: '0' (2)
---
> #156: '1' (3)
> #157: '1' (3)
> #158: '1' (3)
14540c14540
< #161: '0' (2)
---
> #161: '1' (3)
14545,14546c14545,14546
< #166: '0' (2)
< #167: '0' (2)
---
> #166: '1' (3)
> #167: '1' (3)
14549,14550c14549,14550
< #170: '0' (2)
< #171: '0' (2)
---
> #170: '1' (3)
> #171: '1' (3)
14553,14554c14553,14554
< #174: '0' (2)
< #175: '0' (2)
---
> #174: '1' (3)
> #175: '1' (3)
14556c14556
< #177: '0' (2)
---
> #177: '1' (3)
14561c14561
< #182: '0' (2)
---
> #182: '1' (3)
14563,14565c14563,14565
< #184: '0' (2)
< #185: '0' (2)
< #186: '0' (2)
---
> #184: '1' (3)
> #185: '1' (3)
> #186: '1' (3)
14567c14567
< #188: '0' (2)
---
> #188: '1' (3)
14571,14572c14571,14572
< #192: '0' (2)
< #193: '0' (2)
---
> #192: '1' (3)
> #193: '1' (3)
14577c14577
< #198: '0' (2)
---
> #198: '1' (3)
14579c14579
< #200: '0' (2)
---
> #200: '1' (3)
14581c14581
< #202: '0' (2)
---
> #202: '1' (3)
14583c14583
< #204: '0' (2)
---
> #204: '1' (3)
14585c14585
< #206: '0' (2)
---
> #206: '1' (3)
14587,14588c14587,14588
< #208: '0' (2)
< #209: '0' (2)
---
> #208: '1' (3)
> #209: '1' (3)
14593c14593
< #214: '0' (2)
---
> #214: '1' (3)
14596,14598c14596,14598
< #217: '0' (2)
< #218: '0' (2)
< #219: '0' (2)
---
> #217: '1' (3)
> #218: '1' (3)
> #219: '1' (3)
14600,14601c14600,14601
< #221: '0' (2)
< #222: '0' (2)
---
> #221: '1' (3)
> #222: '1' (3)
14609c14609
< #230: '0' (2)
---
> #230: '1' (3)
14612c14612
< #233: '0' (2)
---
> #233: '1' (3)
14615c14615
< #236: '0' (2)
---
> #236: '1' (3)
14618c14618
< #239: '0' (2)
---
> #239: '1' (3)
14625c14625
< #246: '0' (2)
---
> #246: '1' (3)
14629c14629
< #250: '0' (2)
---
> #250: '1' (3)
14633c14633
< #254: '0' (2)
---
> #254: '1' (3)
14641c14641
< #262: '0' (2)
---
> #262: '1' (3)
14674,14688c14674,14688
< #19: '0' (2)
< #20: '0' (2)
< #21: '0' (2)
< #22: '0' (2)
< #23: '0' (2)
< #24: '0' (2)
< #25: '0' (2)
< #26: '0' (2)
< #27: '0' (2)
< #28: '0' (2)
< #29: '0' (2)
< #30: '0' (2)
< #31: '0' (2)
< #32: '0' (2)
< #33: '0' (2)
---
> #19: '1' (3)
> #20: '1' (3)
> #21: '1' (3)
> #22: '1' (3)
> #23: '1' (3)
> #24: '1' (3)
> #25: '1' (3)
> #26: '1' (3)
> #27: '1' (3)
> #28: '1' (3)
> #29: '1' (3)
> #30: '1' (3)
> #31: '1' (3)
> #32: '1' (3)
> #33: '1' (3)
14690c14690
< #35: '0' (2)
---
> #35: '1' (3)
14707c14707
< #52: '0' (2)
---
> #52: '1' (3)
14709c14709
< #54: '0' (2)
---
> #54: '1' (3)
14711c14711
< #56: '0' (2)
---
> #56: '1' (3)
14713c14713
< #58: '0' (2)
---
> #58: '1' (3)
14715c14715
< #60: '0' (2)
---
> #60: '1' (3)
14717c14717
< #62: '0' (2)
---
> #62: '1' (3)
14719,14720c14719,14720
< #64: '0' (2)
< #65: '0' (2)
---
> #64: '1' (3)
> #65: '1' (3)
14723c14723
< #68: '0' (2)
---
> #68: '1' (3)
14740,14741c14740,14741
< #85: '0' (2)
< #86: '0' (2)
---
> #85: '1' (3)
> #86: '1' (3)
14744,14745c14744,14745
< #89: '0' (2)
< #90: '0' (2)
---
> #89: '1' (3)
> #90: '1' (3)
14748,14749c14748,14749
< #93: '0' (2)
< #94: '0' (2)
---
> #93: '1' (3)
> #94: '1' (3)
14751c14751
< #96: '0' (2)
---
> #96: '1' (3)
14756c14756
< #101: '0' (2)
---
> #101: '1' (3)
14758c14758
< #103: '0' (2)
---
> #103: '1' (3)
14760c14760
< #105: '0' (2)
---
> #105: '1' (3)
14762c14762
< #107: '0' (2)
---
> #107: '1' (3)
14764c14764
< #109: '0' (2)
---
> #109: '1' (3)
14766c14766
< #111: '0' (2)
---
> #111: '1' (3)
14768c14768
< #113: '0' (2)
---
> #113: '1' (3)
14772c14772
< #117: '0' (2)
---
> #117: '1' (3)
14775c14775
< #120: '0' (2)
---
> #120: '1' (3)
14778c14778
< #123: '0' (2)
---
> #123: '1' (3)
14781c14781
< #126: '0' (2)
---
> #126: '1' (3)
14784c14784
< #129: '0' (2)
---
> #129: '1' (3)
14788c14788
< #133: '0' (2)
---
> #133: '1' (3)
14805,14807c14805,14807
< #150: '0' (2)
< #151: '0' (2)
< #152: '0' (2)
---
> #150: '1' (3)
> #151: '1' (3)
> #152: '1' (3)
14811,14813c14811,14813
< #156: '0' (2)
< #157: '0' (2)
< #158: '0' (2)
---
> #156: '1' (3)
> #157: '1' (3)
> #158: '1' (3)
14816c14816
< #161: '0' (2)
---
> #161: '1' (3)
14821,14822c14821,14822
< #166: '0' (2)
< #167: '0' (2)
---
> #166: '1' (3)
> #167: '1' (3)
14825,14826c14825,14826
< #170: '0' (2)
< #171: '0' (2)
---
> #170: '1' (3)
> #171: '1' (3)
14829,14830c14829,14830
< #174: '0' (2)
< #175: '0' (2)
---
> #174: '1' (3)
> #175: '1' (3)
14832c14832
< #177: '0' (2)
---
> #177: '1' (3)
14837c14837
< #182: '0' (2)
---
> #182: '1' (3)
14839,14841c14839,14841
< #184: '0' (2)
< #185: '0' (2)
< #186: '0' (2)
---
> #184: '1' (3)
> #185: '1' (3)
> #186: '1' (3)
14843c14843
< #188: '0' (2)
---
> #188: '1' (3)
14847,14848c14847,14848
< #192: '0' (2)
< #193: '0' (2)
---
> #192: '1' (3)
> #193: '1' (3)
14853c14853
< #198: '0' (2)
---
> #198: '1' (3)
14855c14855
< #200: '0' (2)
---
> #200: '1' (3)
14857c14857
< #202: '0' (2)
---
> #202: '1' (3)
14859c14859
< #204: '0' (2)
---
> #204: '1' (3)
14861c14861
< #206: '0' (2)
---
> #206: '1' (3)
14863,14864c14863,14864
< #208: '0' (2)
< #209: '0' (2)
---
> #208: '1' (3)
> #209: '1' (3)
14869c14869
< #214: '0' (2)
---
> #214: '1' (3)
14872,14874c14872,14874
< #217: '0' (2)
< #218: '0' (2)
< #219: '0' (2)
---
> #217: '1' (3)
> #218: '1' (3)
> #219: '1' (3)
14876,14877c14876,14877
< #221: '0' (2)
< #222: '0' (2)
---
> #221: '1' (3)
> #222: '1' (3)
14885c14885
< #230: '0' (2)
---
> #230: '1' (3)
14888c14888
< #233: '0' (2)
---
> #233: '1' (3)
14891c14891
< #236: '0' (2)
---
> #236: '1' (3)
14894c14894
< #239: '0' (2)
---
> #239: '1' (3)
14901c14901
< #246: '0' (2)
---
> #246: '1' (3)
14905c14905
< #250: '0' (2)
---
> #250: '1' (3)
14909c14909
< #254: '0' (2)
---
> #254: '1' (3)
14917c14917
< #262: '0' (2)
---
> #262: '1' (3)
14950,14964c14950,14964
< #19: '0' (2)
< #20: '0' (2)
< #21: '0' (2)
< #22: '0' (2)
< #23: '0' (2)
< #24: '0' (2)
< #25: '0' (2)
< #26: '0' (2)
< #27: '0' (2)
< #28: '0' (2)
< #29: '0' (2)
< #30: '0' (2)
< #31: '0' (2)
< #32: '0' (2)
< #33: '0' (2)
---
> #19: '1' (3)
> #20: '1' (3)
> #21: '1' (3)
> #22: '1' (3)
> #23: '1' (3)
> #24: '1' (3)
> #25: '1' (3)
> #26: '1' (3)
> #27: '1' (3)
> #28: '1' (3)
> #29: '1' (3)
> #30: '1' (3)
> #31: '1' (3)
> #32: '1' (3)
> #33: '1' (3)
14966c14966
< #35: '0' (2)
---
> #35: '1' (3)
14983c14983
< #52: '0' (2)
---
> #52: '1' (3)
14985c14985
< #54: '0' (2)
---
> #54: '1' (3)
14987c14987
< #56: '0' (2)
---
> #56: '1' (3)
14989c14989
< #58: '0' (2)
---
> #58: '1' (3)
14991c14991
< #60: '0' (2)
---
> #60: '1' (3)
14993c14993
< #62: '0' (2)
---
> #62: '1' (3)
14995,14996c14995,14996
< #64: '0' (2)
< #65: '0' (2)
---
> #64: '1' (3)
> #65: '1' (3)
14999c14999
< #68: '0' (2)
---
> #68: '1' (3)
15016,15017c15016,15017
< #85: '0' (2)
< #86: '0' (2)
---
> #85: '1' (3)
> #86: '1' (3)
15020,15021c15020,15021
< #89: '0' (2)
< #90: '0' (2)
---
> #89: '1' (3)
> #90: '1' (3)
15024,15025c15024,15025
< #93: '0' (2)
< #94: '0' (2)
---
> #93: '1' (3)
> #94: '1' (3)
15027c15027
< #96: '0' (2)
---
> #96: '1' (3)
15032c15032
< #101: '0' (2)
---
> #101: '1' (3)
15034c15034
< #103: '0' (2)
---
> #103: '1' (3)
15036c15036
< #105: '0' (2)
---
> #105: '1' (3)
15038c15038
< #107: '0' (2)
---
> #107: '1' (3)
15040c15040
< #109: '0' (2)
---
> #109: '1' (3)
15042c15042
< #111: '0' (2)
---
> #111: '1' (3)
15044c15044
< #113: '0' (2)
---
> #113: '1' (3)
15048c15048
< #117: '0' (2)
---
> #117: '1' (3)
15051c15051
< #120: '0' (2)
---
> #120: '1' (3)
15054c15054
< #123: '0' (2)
---
> #123: '1' (3)
15057c15057
< #126: '0' (2)
---
> #126: '1' (3)
15060c15060
< #129: '0' (2)
---
> #129: '1' (3)
15064c15064
< #133: '0' (2)
---
> #133: '1' (3)
15081,15083c15081,15083
< #150: '0' (2)
< #151: '0' (2)
< #152: '0' (2)
---
> #150: '1' (3)
> #151: '1' (3)
> #152: '1' (3)
15087,15089c15087,15089
< #156: '0' (2)
< #157: '0' (2)
< #158: '0' (2)
---
> #156: '1' (3)
> #157: '1' (3)
> #158: '1' (3)
15092c15092
< #161: '0' (2)
---
> #161: '1' (3)
15097,15098c15097,15098
< #166: '0' (2)
< #167: '0' (2)
---
> #166: '1' (3)
> #167: '1' (3)
15101,15102c15101,15102
< #170: '0' (2)
< #171: '0' (2)
---
> #170: '1' (3)
> #171: '1' (3)
15105,15106c15105,15106
< #174: '0' (2)
< #175: '0' (2)
---
> #174: '1' (3)
> #175: '1' (3)
15108c15108
< #177: '0' (2)
---
> #177: '1' (3)
15113c15113
< #182: '0' (2)
---
> #182: '1' (3)
15115,15117c15115,15117
< #184: '0' (2)
< #185: '0' (2)
< #186: '0' (2)
---
> #184: '1' (3)
> #185: '1' (3)
> #186: '1' (3)
15119c15119
< #188: '0' (2)
---
> #188: '1' (3)
15123,15124c15123,15124
< #192: '0' (2)
< #193: '0' (2)
---
> #192: '1' (3)
> #193: '1' (3)
15129c15129
< #198: '0' (2)
---
> #198: '1' (3)
15131c15131
< #200: '0' (2)
---
> #200: '1' (3)
15133c15133
< #202: '0' (2)
---
> #202: '1' (3)
15135c15135
< #204: '0' (2)
---
> #204: '1' (3)
15137c15137
< #206: '0' (2)
---
> #206: '1' (3)
15139,15140c15139,15140
< #208: '0' (2)
< #209: '0' (2)
---
> #208: '1' (3)
> #209: '1' (3)
15145c15145
< #214: '0' (2)
---
> #214: '1' (3)
15148,15150c15148,15150
< #217: '0' (2)
< #218: '0' (2)
< #219: '0' (2)
---
> #217: '1' (3)
> #218: '1' (3)
> #219: '1' (3)
15152,15153c15152,15153
< #221: '0' (2)
< #222: '0' (2)
---
> #221: '1' (3)
> #222: '1' (3)
15161c15161
< #230: '0' (2)
---
> #230: '1' (3)
15164c15164
< #233: '0' (2)
---
> #233: '1' (3)
15167c15167
< #236: '0' (2)
---
> #236: '1' (3)
15170c15170
< #239: '0' (2)
---
> #239: '1' (3)
15177c15177
< #246: '0' (2)
---
> #246: '1' (3)
15181c15181
< #250: '0' (2)
---
> #250: '1' (3)
15185c15185
< #254: '0' (2)
---
> #254: '1' (3)
15193c15193
< #262: '0' (2)
---
> #262: '1' (3)
15226,15240c15226,15240
< #19: '0' (2)
< #20: '0' (2)
< #21: '0' (2)
< #22: '0' (2)
< #23: '0' (2)
< #24: '0' (2)
< #25: '0' (2)
< #26: '0' (2)
< #27: '0' (2)
< #28: '0' (2)
< #29: '0' (2)
< #30: '0' (2)
< #31: '0' (2)
< #32: '0' (2)
< #33: '0' (2)
---
> #19: '1' (3)
> #20: '1' (3)
> #21: '1' (3)
> #22: '1' (3)
> #23: '1' (3)
> #24: '1' (3)
> #25: '1' (3)
> #26: '1' (3)
> #27: '1' (3)
> #28: '1' (3)
> #29: '1' (3)
> #30: '1' (3)
> #31: '1' (3)
> #32: '1' (3)
> #33: '1' (3)
15242c15242
< #35: '0' (2)
---
> #35: '1' (3)
15259c15259
< #52: '0' (2)
---
> #52: '1' (3)
15261c15261
< #54: '0' (2)
---
> #54: '1' (3)
15263c15263
< #56: '0' (2)
---
> #56: '1' (3)
15265c15265
< #58: '0' (2)
---
> #58: '1' (3)
15267c15267
< #60: '0' (2)
---
> #60: '1' (3)
15269c15269
< #62: '0' (2)
---
> #62: '1' (3)
15271,15272c15271,15272
< #64: '0' (2)
< #65: '0' (2)
---
> #64: '1' (3)
> #65: '1' (3)
15275c15275
< #68: '0' (2)
---
> #68: '1' (3)
15292,15293c15292,15293
< #85: '0' (2)
< #86: '0' (2)
---
> #85: '1' (3)
> #86: '1' (3)
15296,15297c15296,15297
< #89: '0' (2)
< #90: '0' (2)
---
> #89: '1' (3)
> #90: '1' (3)
15300,15301c15300,15301
< #93: '0' (2)
< #94: '0' (2)
---
> #93: '1' (3)
> #94: '1' (3)
15303c15303
< #96: '0' (2)
---
> #96: '1' (3)
15308c15308
< #101: '0' (2)
---
> #101: '1' (3)
15310c15310
< #103: '0' (2)
---
> #103: '1' (3)
15312c15312
< #105: '0' (2)
---
> #105: '1' (3)
15314c15314
< #107: '0' (2)
---
> #107: '1' (3)
15316c15316
< #109: '0' (2)
---
> #109: '1' (3)
15318c15318
< #111: '0' (2)
---
> #111: '1' (3)
15320c15320
< #113: '0' (2)
---
> #113: '1' (3)
15324c15324
< #117: '0' (2)
---
> #117: '1' (3)
15327c15327
< #120: '0' (2)
---
> #120: '1' (3)
15330c15330
< #123: '0' (2)
---
> #123: '1' (3)
15333c15333
< #126: '0' (2)
---
> #126: '1' (3)
15336c15336
< #129: '0' (2)
---
> #129: '1' (3)
15340c15340
< #133: '0' (2)
---
> #133: '1' (3)
15357,15359c15357,15359
< #150: '0' (2)
< #151: '0' (2)
< #152: '0' (2)
---
> #150: '1' (3)
> #151: '1' (3)
> #152: '1' (3)
15363,15365c15363,15365
< #156: '0' (2)
< #157: '0' (2)
< #158: '0' (2)
---
> #156: '1' (3)
> #157: '1' (3)
> #158: '1' (3)
15368c15368
< #161: '0' (2)
---
> #161: '1' (3)
15373,15374c15373,15374
< #166: '0' (2)
< #167: '0' (2)
---
> #166: '1' (3)
> #167: '1' (3)
15377,15378c15377,15378
< #170: '0' (2)
< #171: '0' (2)
---
> #170: '1' (3)
> #171: '1' (3)
15381,15382c15381,15382
< #174: '0' (2)
< #175: '0' (2)
---
> #174: '1' (3)
> #175: '1' (3)
15384c15384
< #177: '0' (2)
---
> #177: '1' (3)
15389c15389
< #182: '0' (2)
---
> #182: '1' (3)
15391,15393c15391,15393
< #184: '0' (2)
< #185: '0' (2)
< #186: '0' (2)
---
> #184: '1' (3)
> #185: '1' (3)
> #186: '1' (3)
15395c15395
< #188: '0' (2)
---
> #188: '1' (3)
15399,15400c15399,15400
< #192: '0' (2)
< #193: '0' (2)
---
> #192: '1' (3)
> #193: '1' (3)
15405c15405
< #198: '0' (2)
---
> #198: '1' (3)
15407c15407
< #200: '0' (2)
---
> #200: '1' (3)
15409c15409
< #202: '0' (2)
---
> #202: '1' (3)
15411c15411
< #204: '0' (2)
---
> #204: '1' (3)
15413c15413
< #206: '0' (2)
---
> #206: '1' (3)
15415,15416c15415,15416
< #208: '0' (2)
< #209: '0' (2)
---
> #208: '1' (3)
> #209: '1' (3)
15421c15421
< #214: '0' (2)
---
> #214: '1' (3)
15424,15426c15424,15426
< #217: '0' (2)
< #218: '0' (2)
< #219: '0' (2)
---
> #217: '1' (3)
> #218: '1' (3)
> #219: '1' (3)
15428,15429c15428,15429
< #221: '0' (2)
< #222: '0' (2)
---
> #221: '1' (3)
> #222: '1' (3)
15437c15437
< #230: '0' (2)
---
> #230: '1' (3)
15440c15440
< #233: '0' (2)
---
> #233: '1' (3)
15443c15443
< #236: '0' (2)
---
> #236: '1' (3)
15446c15446
< #239: '0' (2)
---
> #239: '1' (3)
15453c15453
< #246: '0' (2)
---
> #246: '1' (3)
15457c15457
< #250: '0' (2)
---
> #250: '1' (3)
15461c15461
< #254: '0' (2)
---
> #254: '1' (3)
15469c15469
< #262: '0' (2)
---
> #262: '1' (3)
15502,15516c15502,15516
< #19: '0' (2)
< #20: '0' (2)
< #21: '0' (2)
< #22: '0' (2)
< #23: '0' (2)
< #24: '0' (2)
< #25: '0' (2)
< #26: '0' (2)
< #27: '0' (2)
< #28: '0' (2)
< #29: '0' (2)
< #30: '0' (2)
< #31: '0' (2)
< #32: '0' (2)
< #33: '0' (2)
---
> #19: '1' (3)
> #20: '1' (3)
> #21: '1' (3)
> #22: '1' (3)
> #23: '1' (3)
> #24: '1' (3)
> #25: '1' (3)
> #26: '1' (3)
> #27: '1' (3)
> #28: '1' (3)
> #29: '1' (3)
> #30: '1' (3)
> #31: '1' (3)
> #32: '1' (3)
> #33: '1' (3)
15518c15518
< #35: '0' (2)
---
> #35: '1' (3)
15535c15535
< #52: '0' (2)
---
> #52: '1' (3)
15537c15537
< #54: '0' (2)
---
> #54: '1' (3)
15539c15539
< #56: '0' (2)
---
> #56: '1' (3)
15541c15541
< #58: '0' (2)
---
> #58: '1' (3)
15543c15543
< #60: '0' (2)
---
> #60: '1' (3)
15545c15545
< #62: '0' (2)
---
> #62: '1' (3)
15547,15548c15547,15548
< #64: '0' (2)
< #65: '0' (2)
---
> #64: '1' (3)
> #65: '1' (3)
15551c15551
< #68: '0' (2)
---
> #68: '1' (3)
15568,15569c15568,15569
< #85: '0' (2)
< #86: '0' (2)
---
> #85: '1' (3)
> #86: '1' (3)
15572,15573c15572,15573
< #89: '0' (2)
< #90: '0' (2)
---
> #89: '1' (3)
> #90: '1' (3)
15576,15577c15576,15577
< #93: '0' (2)
< #94: '0' (2)
---
> #93: '1' (3)
> #94: '1' (3)
15579c15579
< #96: '0' (2)
---
> #96: '1' (3)
15584c15584
< #101: '0' (2)
---
> #101: '1' (3)
15586c15586
< #103: '0' (2)
---
> #103: '1' (3)
15588c15588
< #105: '0' (2)
---
> #105: '1' (3)
15590c15590
< #107: '0' (2)
---
> #107: '1' (3)
15592c15592
< #109: '0' (2)
---
> #109: '1' (3)
15594c15594
< #111: '0' (2)
---
> #111: '1' (3)
15596c15596
< #113: '0' (2)
---
> #113: '1' (3)
15600c15600
< #117: '0' (2)
---
> #117: '1' (3)
15603c15603
< #120: '0' (2)
---
> #120: '1' (3)
15606c15606
< #123: '0' (2)
---
> #123: '1' (3)
15609c15609
< #126: '0' (2)
---
> #126: '1' (3)
15612c15612
< #129: '0' (2)
---
> #129: '1' (3)
15616c15616
< #133: '0' (2)
---
> #133: '1' (3)
15633,15635c15633,15635
< #150: '0' (2)
< #151: '0' (2)
< #152: '0' (2)
---
> #150: '1' (3)
> #151: '1' (3)
> #152: '1' (3)
15639,15641c15639,15641
< #156: '0' (2)
< #157: '0' (2)
< #158: '0' (2)
---
> #156: '1' (3)
> #157: '1' (3)
> #158: '1' (3)
15644c15644
< #161: '0' (2)
---
> #161: '1' (3)
15649,15650c15649,15650
< #166: '0' (2)
< #167: '0' (2)
---
> #166: '1' (3)
> #167: '1' (3)
15653,15654c15653,15654
< #170: '0' (2)
< #171: '0' (2)
---
> #170: '1' (3)
> #171: '1' (3)
15657,15658c15657,15658
< #174: '0' (2)
< #175: '0' (2)
---
> #174: '1' (3)
> #175: '1' (3)
15660c15660
< #177: '0' (2)
---
> #177: '1' (3)
15665c15665
< #182: '0' (2)
---
> #182: '1' (3)
15667,15669c15667,15669
< #184: '0' (2)
< #185: '0' (2)
< #186: '0' (2)
---
> #184: '1' (3)
> #185: '1' (3)
> #186: '1' (3)
15671c15671
< #188: '0' (2)
---
> #188: '1' (3)
15675,15676c15675,15676
< #192: '0' (2)
< #193: '0' (2)
---
> #192: '1' (3)
> #193: '1' (3)
15681c15681
< #198: '0' (2)
---
> #198: '1' (3)
15683c15683
< #200: '0' (2)
---
> #200: '1' (3)
15685c15685
< #202: '0' (2)
---
> #202: '1' (3)
15687c15687
< #204: '0' (2)
---
> #204: '1' (3)
15689c15689
< #206: '0' (2)
---
> #206: '1' (3)
15691,15692c15691,15692
< #208: '0' (2)
< #209: '0' (2)
---
> #208: '1' (3)
> #209: '1' (3)
15697c15697
< #214: '0' (2)
---
> #214: '1' (3)
15700,15702c15700,15702
< #217: '0' (2)
< #218: '0' (2)
< #219: '0' (2)
---
> #217: '1' (3)
> #218: '1' (3)
> #219: '1' (3)
15704,15705c15704,15705
< #221: '0' (2)
< #222: '0' (2)
---
> #221: '1' (3)
> #222: '1' (3)
15713c15713
< #230: '0' (2)
---
> #230: '1' (3)
15716c15716
< #233: '0' (2)
---
> #233: '1' (3)
15719c15719
< #236: '0' (2)
---
> #236: '1' (3)
15722c15722
< #239: '0' (2)
---
> #239: '1' (3)
15729c15729
< #246: '0' (2)
---
> #246: '1' (3)
15733c15733
< #250: '0' (2)
---
> #250: '1' (3)
15737c15737
< #254: '0' (2)
---
> #254: '1' (3)
15745c15745
< #262: '0' (2)
---
> #262: '1' (3)
15778,15792c15778,15792
< #19: '0' (2)
< #20: '0' (2)
< #21: '0' (2)
< #22: '0' (2)
< #23: '0' (2)
< #24: '0' (2)
< #25: '0' (2)
< #26: '0' (2)
< #27: '0' (2)
< #28: '0' (2)
< #29: '0' (2)
< #30: '0' (2)
< #31: '0' (2)
< #32: '0' (2)
< #33: '0' (2)
---
> #19: '1' (3)
> #20: '1' (3)
> #21: '1' (3)
> #22: '1' (3)
> #23: '1' (3)
> #24: '1' (3)
> #25: '1' (3)
> #26: '1' (3)
> #27: '1' (3)
> #28: '1' (3)
> #29: '1' (3)
> #30: '1' (3)
> #31: '1' (3)
> #32: '1' (3)
> #33: '1' (3)
15794c15794
< #35: '0' (2)
---
> #35: '1' (3)
15811c15811
< #52: '0' (2)
---
> #52: '1' (3)
15813c15813
< #54: '0' (2)
---
> #54: '1' (3)
15815c15815
< #56: '0' (2)
---
> #56: '1' (3)
15817c15817
< #58: '0' (2)
---
> #58: '1' (3)
15819c15819
< #60: '0' (2)
---
> #60: '1' (3)
15821c15821
< #62: '0' (2)
---
> #62: '1' (3)
15823,15824c15823,15824
< #64: '0' (2)
< #65: '0' (2)
---
> #64: '1' (3)
> #65: '1' (3)
15827c15827
< #68: '0' (2)
---
> #68: '1' (3)
15844,15845c15844,15845
< #85: '0' (2)
< #86: '0' (2)
---
> #85: '1' (3)
> #86: '1' (3)
15848,15849c15848,15849
< #89: '0' (2)
< #90: '0' (2)
---
> #89: '1' (3)
> #90: '1' (3)
15852,15853c15852,15853
< #93: '0' (2)
< #94: '0' (2)
---
> #93: '1' (3)
> #94: '1' (3)
15855c15855
< #96: '0' (2)
---
> #96: '1' (3)
15860c15860
< #101: '0' (2)
---
> #101: '1' (3)
15862c15862
< #103: '0' (2)
---
> #103: '1' (3)
15864c15864
< #105: '0' (2)
---
> #105: '1' (3)
15866c15866
< #107: '0' (2)
---
> #107: '1' (3)
15868c15868
< #109: '0' (2)
---
> #109: '1' (3)
15870c15870
< #111: '0' (2)
---
> #111: '1' (3)
15872c15872
< #113: '0' (2)
---
> #113: '1' (3)
15876c15876
< #117: '0' (2)
---
> #117: '1' (3)
15879c15879
< #120: '0' (2)
---
> #120: '1' (3)
15882c15882
< #123: '0' (2)
---
> #123: '1' (3)
15885c15885
< #126: '0' (2)
---
> #126: '1' (3)
15888c15888
< #129: '0' (2)
---
> #129: '1' (3)
15892c15892
< #133: '0' (2)
---
> #133: '1' (3)
15909,15911c15909,15911
< #150: '0' (2)
< #151: '0' (2)
< #152: '0' (2)
---
> #150: '1' (3)
> #151: '1' (3)
> #152: '1' (3)
15915,15917c15915,15917
< #156: '0' (2)
< #157: '0' (2)
< #158: '0' (2)
---
> #156: '1' (3)
> #157: '1' (3)
> #158: '1' (3)
15920c15920
< #161: '0' (2)
---
> #161: '1' (3)
15925,15926c15925,15926
< #166: '0' (2)
< #167: '0' (2)
---
> #166: '1' (3)
> #167: '1' (3)
15929,15930c15929,15930
< #170: '0' (2)
< #171: '0' (2)
---
> #170: '1' (3)
> #171: '1' (3)
15933,15934c15933,15934
< #174: '0' (2)
< #175: '0' (2)
---
> #174: '1' (3)
> #175: '1' (3)
15936c15936
< #177: '0' (2)
---
> #177: '1' (3)
15941c15941
< #182: '0' (2)
---
> #182: '1' (3)
15943,15945c15943,15945
< #184: '0' (2)
< #185: '0' (2)
< #186: '0' (2)
---
> #184: '1' (3)
> #185: '1' (3)
> #186: '1' (3)
15947c15947
< #188: '0' (2)
---
> #188: '1' (3)
15951,15952c15951,15952
< #192: '0' (2)
< #193: '0' (2)
---
> #192: '1' (3)
> #193: '1' (3)
15957c15957
< #198: '0' (2)
---
> #198: '1' (3)
15959c15959
< #200: '0' (2)
---
> #200: '1' (3)
15961c15961
< #202: '0' (2)
---
> #202: '1' (3)
15963c15963
< #204: '0' (2)
---
> #204: '1' (3)
15965c15965
< #206: '0' (2)
---
> #206: '1' (3)
15967,15968c15967,15968
< #208: '0' (2)
< #209: '0' (2)
---
> #208: '1' (3)
> #209: '1' (3)
15973c15973
< #214: '0' (2)
---
> #214: '1' (3)
15976,15978c15976,15978
< #217: '0' (2)
< #218: '0' (2)
< #219: '0' (2)
---
> #217: '1' (3)
> #218: '1' (3)
> #219: '1' (3)
15980,15981c15980,15981
< #221: '0' (2)
< #222: '0' (2)
---
> #221: '1' (3)
> #222: '1' (3)
15989c15989
< #230: '0' (2)
---
> #230: '1' (3)
15992c15992
< #233: '0' (2)
---
> #233: '1' (3)
15995c15995
< #236: '0' (2)
---
> #236: '1' (3)
15998c15998
< #239: '0' (2)
---
> #239: '1' (3)
16005c16005
< #246: '0' (2)
---
> #246: '1' (3)
16009c16009
< #250: '0' (2)
---
> #250: '1' (3)
16013c16013
< #254: '0' (2)
---
> #254: '1' (3)
16021c16021
< #262: '0' (2)
---
> #262: '1' (3)
16054,16068c16054,16068
< #19: '0' (2)
< #20: '0' (2)
< #21: '0' (2)
< #22: '0' (2)
< #23: '0' (2)
< #24: '0' (2)
< #25: '0' (2)
< #26: '0' (2)
< #27: '0' (2)
< #28: '0' (2)
< #29: '0' (2)
< #30: '0' (2)
< #31: '0' (2)
< #32: '0' (2)
< #33: '0' (2)
---
> #19: '1' (3)
> #20: '1' (3)
> #21: '1' (3)
> #22: '1' (3)
> #23: '1' (3)
> #24: '1' (3)
> #25: '1' (3)
> #26: '1' (3)
> #27: '1' (3)
> #28: '1' (3)
> #29: '1' (3)
> #30: '1' (3)
> #31: '1' (3)
> #32: '1' (3)
> #33: '1' (3)
16070c16070
< #35: '0' (2)
---
> #35: '1' (3)
16087c16087
< #52: '0' (2)
---
> #52: '1' (3)
16089c16089
< #54: '0' (2)
---
> #54: '1' (3)
16091c16091
< #56: '0' (2)
---
> #56: '1' (3)
16093c16093
< #58: '0' (2)
---
> #58: '1' (3)
16095c16095
< #60: '0' (2)
---
> #60: '1' (3)
16097c16097
< #62: '0' (2)
---
> #62: '1' (3)
16099,16100c16099,16100
< #64: '0' (2)
< #65: '0' (2)
---
> #64: '1' (3)
> #65: '1' (3)
16103c16103
< #68: '0' (2)
---
> #68: '1' (3)
16120,16121c16120,16121
< #85: '0' (2)
< #86: '0' (2)
---
> #85: '1' (3)
> #86: '1' (3)
16124,16125c16124,16125
< #89: '0' (2)
< #90: '0' (2)
---
> #89: '1' (3)
> #90: '1' (3)
16128,16129c16128,16129
< #93: '0' (2)
< #94: '0' (2)
---
> #93: '1' (3)
> #94: '1' (3)
16131c16131
< #96: '0' (2)
---
> #96: '1' (3)
16136c16136
< #101: '0' (2)
---
> #101: '1' (3)
16138c16138
< #103: '0' (2)
---
> #103: '1' (3)
16140c16140
< #105: '0' (2)
---
> #105: '1' (3)
16142c16142
< #107: '0' (2)
---
> #107: '1' (3)
16144c16144
< #109: '0' (2)
---
> #109: '1' (3)
16146c16146
< #111: '0' (2)
---
> #111: '1' (3)
16148c16148
< #113: '0' (2)
---
> #113: '1' (3)
16152c16152
< #117: '0' (2)
---
> #117: '1' (3)
16155c16155
< #120: '0' (2)
---
> #120: '1' (3)
16158c16158
< #123: '0' (2)
---
> #123: '1' (3)
16161c16161
< #126: '0' (2)
---
> #126: '1' (3)
16164c16164
< #129: '0' (2)
---
> #129: '1' (3)
16168c16168
< #133: '0' (2)
---
> #133: '1' (3)
16185,16187c16185,16187
< #150: '0' (2)
< #151: '0' (2)
< #152: '0' (2)
---
> #150: '1' (3)
> #151: '1' (3)
> #152: '1' (3)
16191,16193c16191,16193
< #156: '0' (2)
< #157: '0' (2)
< #158: '0' (2)
---
> #156: '1' (3)
> #157: '1' (3)
> #158: '1' (3)
16196c16196
< #161: '0' (2)
---
> #161: '1' (3)
16201,16202c16201,16202
< #166: '0' (2)
< #167: '0' (2)
---
> #166: '1' (3)
> #167: '1' (3)
16205,16206c16205,16206
< #170: '0' (2)
< #171: '0' (2)
---
> #170: '1' (3)
> #171: '1' (3)
16209,16210c16209,16210
< #174: '0' (2)
< #175: '0' (2)
---
> #174: '1' (3)
> #175: '1' (3)
16212c16212
< #177: '0' (2)
---
> #177: '1' (3)
16217c16217
< #182: '0' (2)
---
> #182: '1' (3)
16219,16221c16219,16221
< #184: '0' (2)
< #185: '0' (2)
< #186: '0' (2)
---
> #184: '1' (3)
> #185: '1' (3)
> #186: '1' (3)
16223c16223
< #188: '0' (2)
---
> #188: '1' (3)
16227,16228c16227,16228
< #192: '0' (2)
< #193: '0' (2)
---
> #192: '1' (3)
> #193: '1' (3)
16233c16233
< #198: '0' (2)
---
> #198: '1' (3)
16235c16235
< #200: '0' (2)
---
> #200: '1' (3)
16237c16237
< #202: '0' (2)
---
> #202: '1' (3)
16239c16239
< #204: '0' (2)
---
> #204: '1' (3)
16241c16241
< #206: '0' (2)
---
> #206: '1' (3)
16243,16244c16243,16244
< #208: '0' (2)
< #209: '0' (2)
---
> #208: '1' (3)
> #209: '1' (3)
16249c16249
< #214: '0' (2)
---
> #214: '1' (3)
16252,16254c16252,16254
< #217: '0' (2)
< #218: '0' (2)
< #219: '0' (2)
---
> #217: '1' (3)
> #218: '1' (3)
> #219: '1' (3)
16256,16257c16256,16257
< #221: '0' (2)
< #222: '0' (2)
---
> #221: '1' (3)
> #222: '1' (3)
16265c16265
< #230: '0' (2)
---
> #230: '1' (3)
16268c16268
< #233: '0' (2)
---
> #233: '1' (3)
16271c16271
< #236: '0' (2)
---
> #236: '1' (3)
16274c16274
< #239: '0' (2)
---
> #239: '1' (3)
16281c16281
< #246: '0' (2)
---
> #246: '1' (3)
16285c16285
< #250: '0' (2)
---
> #250: '1' (3)
16289c16289
< #254: '0' (2)
---
> #254: '1' (3)
16297c16297
< #262: '0' (2)
---
> #262: '1' (3)
16330,16344c16330,16344
< #19: '0' (2)
< #20: '0' (2)
< #21: '0' (2)
< #22: '0' (2)
< #23: '0' (2)
< #24: '0' (2)
< #25: '0' (2)
< #26: '0' (2)
< #27: '0' (2)
< #28: '0' (2)
< #29: '0' (2)
< #30: '0' (2)
< #31: '0' (2)
< #32: '0' (2)
< #33: '0' (2)
---
> #19: '1' (3)
> #20: '1' (3)
> #21: '1' (3)
> #22: '1' (3)
> #23: '1' (3)
> #24: '1' (3)
> #25: '1' (3)
> #26: '1' (3)
> #27: '1' (3)
> #28: '1' (3)
> #29: '1' (3)
> #30: '1' (3)
> #31: '1' (3)
> #32: '1' (3)
> #33: '1' (3)
16346c16346
< #35: '0' (2)
---
> #35: '1' (3)
16363c16363
< #52: '0' (2)
---
> #52: '1' (3)
16365c16365
< #54: '0' (2)
---
> #54: '1' (3)
16367c16367
< #56: '0' (2)
---
> #56: '1' (3)
16369c16369
< #58: '0' (2)
---
> #58: '1' (3)
16371c16371
< #60: '0' (2)
---
> #60: '1' (3)
16373c16373
< #62: '0' (2)
---
> #62: '1' (3)
16375,16376c16375,16376
< #64: '0' (2)
< #65: '0' (2)
---
> #64: '1' (3)
> #65: '1' (3)
16379c16379
< #68: '0' (2)
---
> #68: '1' (3)
16396,16397c16396,16397
< #85: '0' (2)
< #86: '0' (2)
---
> #85: '1' (3)
> #86: '1' (3)
16400,16401c16400,16401
< #89: '0' (2)
< #90: '0' (2)
---
> #89: '1' (3)
> #90: '1' (3)
16404,16405c16404,16405
< #93: '0' (2)
< #94: '0' (2)
---
> #93: '1' (3)
> #94: '1' (3)
16407c16407
< #96: '0' (2)
---
> #96: '1' (3)
16412c16412
< #101: '0' (2)
---
> #101: '1' (3)
16414c16414
< #103: '0' (2)
---
> #103: '1' (3)
16416c16416
< #105: '0' (2)
---
> #105: '1' (3)
16418c16418
< #107: '0' (2)
---
> #107: '1' (3)
16420c16420
< #109: '0' (2)
---
> #109: '1' (3)
16422c16422
< #111: '0' (2)
---
> #111: '1' (3)
16424c16424
< #113: '0' (2)
---
> #113: '1' (3)
16428c16428
< #117: '0' (2)
---
> #117: '1' (3)
16431c16431
< #120: '0' (2)
---
> #120: '1' (3)
16434c16434
< #123: '0' (2)
---
> #123: '1' (3)
16437c16437
< #126: '0' (2)
---
> #126: '1' (3)
16440c16440
< #129: '0' (2)
---
> #129: '1' (3)
16444c16444
< #133: '0' (2)
---
> #133: '1' (3)
16461,16463c16461,16463
< #150: '0' (2)
< #151: '0' (2)
< #152: '0' (2)
---
> #150: '1' (3)
> #151: '1' (3)
> #152: '1' (3)
16467,16469c16467,16469
< #156: '0' (2)
< #157: '0' (2)
< #158: '0' (2)
---
> #156: '1' (3)
> #157: '1' (3)
> #158: '1' (3)
16472c16472
< #161: '0' (2)
---
> #161: '1' (3)
16477,16478c16477,16478
< #166: '0' (2)
< #167: '0' (2)
---
> #166: '1' (3)
> #167: '1' (3)
16481,16482c16481,16482
< #170: '0' (2)
< #171: '0' (2)
---
> #170: '1' (3)
> #171: '1' (3)
16485,16486c16485,16486
< #174: '0' (2)
< #175: '0' (2)
---
> #174: '1' (3)
> #175: '1' (3)
16488c16488
< #177: '0' (2)
---
> #177: '1' (3)
16493c16493
< #182: '0' (2)
---
> #182: '1' (3)
16495,16497c16495,16497
< #184: '0' (2)
< #185: '0' (2)
< #186: '0' (2)
---
> #184: '1' (3)
> #185: '1' (3)
> #186: '1' (3)
16499c16499
< #188: '0' (2)
---
> #188: '1' (3)
16503,16504c16503,16504
< #192: '0' (2)
< #193: '0' (2)
---
> #192: '1' (3)
> #193: '1' (3)
16509c16509
< #198: '0' (2)
---
> #198: '1' (3)
16511c16511
< #200: '0' (2)
---
> #200: '1' (3)
16513c16513
< #202: '0' (2)
---
> #202: '1' (3)
16515c16515
< #204: '0' (2)
---
> #204: '1' (3)
16517c16517
< #206: '0' (2)
---
> #206: '1' (3)
16519,16520c16519,16520
< #208: '0' (2)
< #209: '0' (2)
---
> #208: '1' (3)
> #209: '1' (3)
16525c16525
< #214: '0' (2)
---
> #214: '1' (3)
16528,16530c16528,16530
< #217: '0' (2)
< #218: '0' (2)
< #219: '0' (2)
---
> #217: '1' (3)
> #218: '1' (3)
> #219: '1' (3)
16532,16533c16532,16533
< #221: '0' (2)
< #222: '0' (2)
---
> #221: '1' (3)
> #222: '1' (3)
16541c16541
< #230: '0' (2)
---
> #230: '1' (3)
16544c16544
< #233: '0' (2)
---
> #233: '1' (3)
16547c16547
< #236: '0' (2)
---
> #236: '1' (3)
16550c16550
< #239: '0' (2)
---
> #239: '1' (3)
16557c16557
< #246: '0' (2)
---
> #246: '1' (3)
16561c16561
< #250: '0' (2)
---
> #250: '1' (3)
16565c16565
< #254: '0' (2)
---
> #254: '1' (3)
16573c16573
< #262: '0' (2)
---
> #262: '1' (3)
16606,16620c16606,16620
< #19: '0' (2)
< #20: '0' (2)
< #21: '0' (2)
< #22: '0' (2)
< #23: '0' (2)
< #24: '0' (2)
< #25: '0' (2)
< #26: '0' (2)
< #27: '0' (2)
< #28: '0' (2)
< #29: '0' (2)
< #30: '0' (2)
< #31: '0' (2)
< #32: '0' (2)
< #33: '0' (2)
---
> #19: '1' (3)
> #20: '1' (3)
> #21: '1' (3)
> #22: '1' (3)
> #23: '1' (3)
> #24: '1' (3)
> #25: '1' (3)
> #26: '1' (3)
> #27: '1' (3)
> #28: '1' (3)
> #29: '1' (3)
> #30: '1' (3)
> #31: '1' (3)
> #32: '1' (3)
> #33: '1' (3)
16622c16622
< #35: '0' (2)
---
> #35: '1' (3)
16639c16639
< #52: '0' (2)
---
> #52: '1' (3)
16641c16641
< #54: '0' (2)
---
> #54: '1' (3)
16643c16643
< #56: '0' (2)
---
> #56: '1' (3)
16645c16645
< #58: '0' (2)
---
> #58: '1' (3)
16647c16647
< #60: '0' (2)
---
> #60: '1' (3)
16649c16649
< #62: '0' (2)
---
> #62: '1' (3)
16651,16652c16651,16652
< #64: '0' (2)
< #65: '0' (2)
---
> #64: '1' (3)
> #65: '1' (3)
16655c16655
< #68: '0' (2)
---
> #68: '1' (3)
16672,16673c16672,16673
< #85: '0' (2)
< #86: '0' (2)
---
> #85: '1' (3)
> #86: '1' (3)
16676,16677c16676,16677
< #89: '0' (2)
< #90: '0' (2)
---
> #89: '1' (3)
> #90: '1' (3)
16680,16681c16680,16681
< #93: '0' (2)
< #94: '0' (2)
---
> #93: '1' (3)
> #94: '1' (3)
16683c16683
< #96: '0' (2)
---
> #96: '1' (3)
16688c16688
< #101: '0' (2)
---
> #101: '1' (3)
16690c16690
< #103: '0' (2)
---
> #103: '1' (3)
16692c16692
< #105: '0' (2)
---
> #105: '1' (3)
16694c16694
< #107: '0' (2)
---
> #107: '1' (3)
16696c16696
< #109: '0' (2)
---
> #109: '1' (3)
16698c16698
< #111: '0' (2)
---
> #111: '1' (3)
16700c16700
< #113: '0' (2)
---
> #113: '1' (3)
16704c16704
< #117: '0' (2)
---
> #117: '1' (3)
16707c16707
< #120: '0' (2)
---
> #120: '1' (3)
16710c16710
< #123: '0' (2)
---
> #123: '1' (3)
16713c16713
< #126: '0' (2)
---
> #126: '1' (3)
16716c16716
< #129: '0' (2)
---
> #129: '1' (3)
16720c16720
< #133: '0' (2)
---
> #133: '1' (3)
16737,16739c16737,16739
< #150: '0' (2)
< #151: '0' (2)
< #152: '0' (2)
---
> #150: '1' (3)
> #151: '1' (3)
> #152: '1' (3)
16743,16745c16743,16745
< #156: '0' (2)
< #157: '0' (2)
< #158: '0' (2)
---
> #156: '1' (3)
> #157: '1' (3)
> #158: '1' (3)
16748c16748
< #161: '0' (2)
---
> #161: '1' (3)
16753,16754c16753,16754
< #166: '0' (2)
< #167: '0' (2)
---
> #166: '1' (3)
> #167: '1' (3)
16757,16758c16757,16758
< #170: '0' (2)
< #171: '0' (2)
---
> #170: '1' (3)
> #171: '1' (3)
16761,16762c16761,16762
< #174: '0' (2)
< #175: '0' (2)
---
> #174: '1' (3)
> #175: '1' (3)
16764c16764
< #177: '0' (2)
---
> #177: '1' (3)
16769c16769
< #182: '0' (2)
---
> #182: '1' (3)
16771,16773c16771,16773
< #184: '0' (2)
< #185: '0' (2)
< #186: '0' (2)
---
> #184: '1' (3)
> #185: '1' (3)
> #186: '1' (3)
16775c16775
< #188: '0' (2)
---
> #188: '1' (3)
16779,16780c16779,16780
< #192: '0' (2)
< #193: '0' (2)
---
> #192: '1' (3)
> #193: '1' (3)
16785c16785
< #198: '0' (2)
---
> #198: '1' (3)
16787c16787
< #200: '0' (2)
---
> #200: '1' (3)
16789c16789
< #202: '0' (2)
---
> #202: '1' (3)
16791c16791
< #204: '0' (2)
---
> #204: '1' (3)
16793c16793
< #206: '0' (2)
---
> #206: '1' (3)
16795,16796c16795,16796
< #208: '0' (2)
< #209: '0' (2)
---
> #208: '1' (3)
> #209: '1' (3)
16801c16801
< #214: '0' (2)
---
> #214: '1' (3)
16804,16806c16804,16806
< #217: '0' (2)
< #218: '0' (2)
< #219: '0' (2)
---
> #217: '1' (3)
> #218: '1' (3)
> #219: '1' (3)
16808,16809c16808,16809
< #221: '0' (2)
< #222: '0' (2)
---
> #221: '1' (3)
> #222: '1' (3)
16817c16817
< #230: '0' (2)
---
> #230: '1' (3)
16820c16820
< #233: '0' (2)
---
> #233: '1' (3)
16823c16823
< #236: '0' (2)
---
> #236: '1' (3)
16826c16826
< #239: '0' (2)
---
> #239: '1' (3)
16833c16833
< #246: '0' (2)
---
> #246: '1' (3)
16837c16837
< #250: '0' (2)
---
> #250: '1' (3)
16841c16841
< #254: '0' (2)
---
> #254: '1' (3)
16849c16849
< #262: '0' (2)
---
> #262: '1' (3)
16882,16896c16882,16896
< #19: '0' (2)
< #20: '0' (2)
< #21: '0' (2)
< #22: '0' (2)
< #23: '0' (2)
< #24: '0' (2)
< #25: '0' (2)
< #26: '0' (2)
< #27: '0' (2)
< #28: '0' (2)
< #29: '0' (2)
< #30: '0' (2)
< #31: '0' (2)
< #32: '0' (2)
< #33: '0' (2)
---
> #19: '1' (3)
> #20: '1' (3)
> #21: '1' (3)
> #22: '1' (3)
> #23: '1' (3)
> #24: '1' (3)
> #25: '1' (3)
> #26: '1' (3)
> #27: '1' (3)
> #28: '1' (3)
> #29: '1' (3)
> #30: '1' (3)
> #31: '1' (3)
> #32: '1' (3)
> #33: '1' (3)
16898c16898
< #35: '0' (2)
---
> #35: '1' (3)
16915c16915
< #52: '0' (2)
---
> #52: '1' (3)
16917c16917
< #54: '0' (2)
---
> #54: '1' (3)
16919c16919
< #56: '0' (2)
---
> #56: '1' (3)
16921c16921
< #58: '0' (2)
---
> #58: '1' (3)
16923c16923
< #60: '0' (2)
---
> #60: '1' (3)
16925c16925
< #62: '0' (2)
---
> #62: '1' (3)
16927,16928c16927,16928
< #64: '0' (2)
< #65: '0' (2)
---
> #64: '1' (3)
> #65: '1' (3)
16931c16931
< #68: '0' (2)
---
> #68: '1' (3)
16948,16949c16948,16949
< #85: '0' (2)
< #86: '0' (2)
---
> #85: '1' (3)
> #86: '1' (3)
16952,16953c16952,16953
< #89: '0' (2)
< #90: '0' (2)
---
> #89: '1' (3)
> #90: '1' (3)
16956,16957c16956,16957
< #93: '0' (2)
< #94: '0' (2)
---
> #93: '1' (3)
> #94: '1' (3)
16959c16959
< #96: '0' (2)
---
> #96: '1' (3)
16964c16964
< #101: '0' (2)
---
> #101: '1' (3)
16966c16966
< #103: '0' (2)
---
> #103: '1' (3)
16968c16968
< #105: '0' (2)
---
> #105: '1' (3)
16970c16970
< #107: '0' (2)
---
> #107: '1' (3)
16972c16972
< #109: '0' (2)
---
> #109: '1' (3)
16974c16974
< #111: '0' (2)
---
> #111: '1' (3)
16976c16976
< #113: '0' (2)
---
> #113: '1' (3)
16980c16980
< #117: '0' (2)
---
> #117: '1' (3)
16983c16983
< #120: '0' (2)
---
> #120: '1' (3)
16986c16986
< #123: '0' (2)
---
> #123: '1' (3)
16989c16989
< #126: '0' (2)
---
> #126: '1' (3)
16992c16992
< #129: '0' (2)
---
> #129: '1' (3)
16996c16996
< #133: '0' (2)
---
> #133: '1' (3)
17013,17015c17013,17015
< #150: '0' (2)
< #151: '0' (2)
< #152: '0' (2)
---
> #150: '1' (3)
> #151: '1' (3)
> #152: '1' (3)
17019,17021c17019,17021
< #156: '0' (2)
< #157: '0' (2)
< #158: '0' (2)
---
> #156: '1' (3)
> #157: '1' (3)
> #158: '1' (3)
17024c17024
< #161: '0' (2)
---
> #161: '1' (3)
17029,17030c17029,17030
< #166: '0' (2)
< #167: '0' (2)
---
> #166: '1' (3)
> #167: '1' (3)
17033,17034c17033,17034
< #170: '0' (2)
< #171: '0' (2)
---
> #170: '1' (3)
> #171: '1' (3)
17037,17038c17037,17038
< #174: '0' (2)
< #175: '0' (2)
---
> #174: '1' (3)
> #175: '1' (3)
17040c17040
< #177: '0' (2)
---
> #177: '1' (3)
17045c17045
< #182: '0' (2)
---
> #182: '1' (3)
17047,17049c17047,17049
< #184: '0' (2)
< #185: '0' (2)
< #186: '0' (2)
---
> #184: '1' (3)
> #185: '1' (3)
> #186: '1' (3)
17051c17051
< #188: '0' (2)
---
> #188: '1' (3)
17055,17056c17055,17056
< #192: '0' (2)
< #193: '0' (2)
---
> #192: '1' (3)
> #193: '1' (3)
17061c17061
< #198: '0' (2)
---
> #198: '1' (3)
17063c17063
< #200: '0' (2)
---
> #200: '1' (3)
17065c17065
< #202: '0' (2)
---
> #202: '1' (3)
17067c17067
< #204: '0' (2)
---
> #204: '1' (3)
17069c17069
< #206: '0' (2)
---
> #206: '1' (3)
17071,17072c17071,17072
< #208: '0' (2)
< #209: '0' (2)
---
> #208: '1' (3)
> #209: '1' (3)
17077c17077
< #214: '0' (2)
---
> #214: '1' (3)
17080,17082c17080,17082
< #217: '0' (2)
< #218: '0' (2)
< #219: '0' (2)
---
> #217: '1' (3)
> #218: '1' (3)
> #219: '1' (3)
17084,17085c17084,17085
< #221: '0' (2)
< #222: '0' (2)
---
> #221: '1' (3)
> #222: '1' (3)
17093c17093
< #230: '0' (2)
---
> #230: '1' (3)
17096c17096
< #233: '0' (2)
---
> #233: '1' (3)
17099c17099
< #236: '0' (2)
---
> #236: '1' (3)
17102c17102
< #239: '0' (2)
---
> #239: '1' (3)
17109c17109
< #246: '0' (2)
---
> #246: '1' (3)
17113c17113
< #250: '0' (2)
---
> #250: '1' (3)
17117c17117
< #254: '0' (2)
---
> #254: '1' (3)
17125c17125
< #262: '0' (2)
---
> #262: '1' (3)
17158,17172c17158,17172
< #19: '0' (2)
< #20: '0' (2)
< #21: '0' (2)
< #22: '0' (2)
< #23: '0' (2)
< #24: '0' (2)
< #25: '0' (2)
< #26: '0' (2)
< #27: '0' (2)
< #28: '0' (2)
< #29: '0' (2)
< #30: '0' (2)
< #31: '0' (2)
< #32: '0' (2)
< #33: '0' (2)
---
> #19: '1' (3)
> #20: '1' (3)
> #21: '1' (3)
> #22: '1' (3)
> #23: '1' (3)
> #24: '1' (3)
> #25: '1' (3)
> #26: '1' (3)
> #27: '1' (3)
> #28: '1' (3)
> #29: '1' (3)
> #30: '1' (3)
> #31: '1' (3)
> #32: '1' (3)
> #33: '1' (3)
17174c17174
< #35: '0' (2)
---
> #35: '1' (3)
17191c17191
< #52: '0' (2)
---
> #52: '1' (3)
17193c17193
< #54: '0' (2)
---
> #54: '1' (3)
17195c17195
< #56: '0' (2)
---
> #56: '1' (3)
17197c17197
< #58: '0' (2)
---
> #58: '1' (3)
17199c17199
< #60: '0' (2)
---
> #60: '1' (3)
17201c17201
< #62: '0' (2)
---
> #62: '1' (3)
17203,17204c17203,17204
< #64: '0' (2)
< #65: '0' (2)
---
> #64: '1' (3)
> #65: '1' (3)
17207c17207
< #68: '0' (2)
---
> #68: '1' (3)
17224,17225c17224,17225
< #85: '0' (2)
< #86: '0' (2)
---
> #85: '1' (3)
> #86: '1' (3)
17228,17229c17228,17229
< #89: '0' (2)
< #90: '0' (2)
---
> #89: '1' (3)
> #90: '1' (3)
17232,17233c17232,17233
< #93: '0' (2)
< #94: '0' (2)
---
> #93: '1' (3)
> #94: '1' (3)
17235c17235
< #96: '0' (2)
---
> #96: '1' (3)
17240c17240
< #101: '0' (2)
---
> #101: '1' (3)
17242c17242
< #103: '0' (2)
---
> #103: '1' (3)
17244c17244
< #105: '0' (2)
---
> #105: '1' (3)
17246c17246
< #107: '0' (2)
---
> #107: '1' (3)
17248c17248
< #109: '0' (2)
---
> #109: '1' (3)
17250c17250
< #111: '0' (2)
---
> #111: '1' (3)
17252c17252
< #113: '0' (2)
---
> #113: '1' (3)
17256c17256
< #117: '0' (2)
---
> #117: '1' (3)
17259c17259
< #120: '0' (2)
---
> #120: '1' (3)
17262c17262
< #123: '0' (2)
---
> #123: '1' (3)
17265c17265
< #126: '0' (2)
---
> #126: '1' (3)
17268c17268
< #129: '0' (2)
---
> #129: '1' (3)
17272c17272
< #133: '0' (2)
---
> #133: '1' (3)
17289,17291c17289,17291
< #150: '0' (2)
< #151: '0' (2)
< #152: '0' (2)
---
> #150: '1' (3)
> #151: '1' (3)
> #152: '1' (3)
17295,17297c17295,17297
< #156: '0' (2)
< #157: '0' (2)
< #158: '0' (2)
---
> #156: '1' (3)
> #157: '1' (3)
> #158: '1' (3)
17300c17300
< #161: '0' (2)
---
> #161: '1' (3)
17305,17306c17305,17306
< #166: '0' (2)
< #167: '0' (2)
---
> #166: '1' (3)
> #167: '1' (3)
17309,17310c17309,17310
< #170: '0' (2)
< #171: '0' (2)
---
> #170: '1' (3)
> #171: '1' (3)
17313,17314c17313,17314
< #174: '0' (2)
< #175: '0' (2)
---
> #174: '1' (3)
> #175: '1' (3)
17316c17316
< #177: '0' (2)
---
> #177: '1' (3)
17321c17321
< #182: '0' (2)
---
> #182: '1' (3)
17323,17325c17323,17325
< #184: '0' (2)
< #185: '0' (2)
< #186: '0' (2)
---
> #184: '1' (3)
> #185: '1' (3)
> #186: '1' (3)
17327c17327
< #188: '0' (2)
---
> #188: '1' (3)
17331,17332c17331,17332
< #192: '0' (2)
< #193: '0' (2)
---
> #192: '1' (3)
> #193: '1' (3)
17337c17337
< #198: '0' (2)
---
> #198: '1' (3)
17339c17339
< #200: '0' (2)
---
> #200: '1' (3)
17341c17341
< #202: '0' (2)
---
> #202: '1' (3)
17343c17343
< #204: '0' (2)
---
> #204: '1' (3)
17345c17345
< #206: '0' (2)
---
> #206: '1' (3)
17347,17348c17347,17348
< #208: '0' (2)
< #209: '0' (2)
---
> #208: '1' (3)
> #209: '1' (3)
17353c17353
< #214: '0' (2)
---
> #214: '1' (3)
17356,17358c17356,17358
< #217: '0' (2)
< #218: '0' (2)
< #219: '0' (2)
---
> #217: '1' (3)
> #218: '1' (3)
> #219: '1' (3)
17360,17361c17360,17361
< #221: '0' (2)
< #222: '0' (2)
---
> #221: '1' (3)
> #222: '1' (3)
17369c17369
< #230: '0' (2)
---
> #230: '1' (3)
17372c17372
< #233: '0' (2)
---
> #233: '1' (3)
17375c17375
< #236: '0' (2)
---
> #236: '1' (3)
17378c17378
< #239: '0' (2)
---
> #239: '1' (3)
17385c17385
< #246: '0' (2)
---
> #246: '1' (3)
17389c17389
< #250: '0' (2)
---
> #250: '1' (3)
17393c17393
< #254: '0' (2)
---
> #254: '1' (3)
17401c17401
< #262: '0' (2)
---
> #262: '1' (3)
17434,17448c17434,17448
< #19: '0' (2)
< #20: '0' (2)
< #21: '0' (2)
< #22: '0' (2)
< #23: '0' (2)
< #24: '0' (2)
< #25: '0' (2)
< #26: '0' (2)
< #27: '0' (2)
< #28: '0' (2)
< #29: '0' (2)
< #30: '0' (2)
< #31: '0' (2)
< #32: '0' (2)
< #33: '0' (2)
---
> #19: '1' (3)
> #20: '1' (3)
> #21: '1' (3)
> #22: '1' (3)
> #23: '1' (3)
> #24: '1' (3)
> #25: '1' (3)
> #26: '1' (3)
> #27: '1' (3)
> #28: '1' (3)
> #29: '1' (3)
> #30: '1' (3)
> #31: '1' (3)
> #32: '1' (3)
> #33: '1' (3)
17450c17450
< #35: '0' (2)
---
> #35: '1' (3)
17467c17467
< #52: '0' (2)
---
> #52: '1' (3)
17469c17469
< #54: '0' (2)
---
> #54: '1' (3)
17471c17471
< #56: '0' (2)
---
> #56: '1' (3)
17473c17473
< #58: '0' (2)
---
> #58: '1' (3)
17475c17475
< #60: '0' (2)
---
> #60: '1' (3)
17477c17477
< #62: '0' (2)
---
> #62: '1' (3)
17479,17480c17479,17480
< #64: '0' (2)
< #65: '0' (2)
---
> #64: '1' (3)
> #65: '1' (3)
17483c17483
< #68: '0' (2)
---
> #68: '1' (3)
17500,17501c17500,17501
< #85: '0' (2)
< #86: '0' (2)
---
> #85: '1' (3)
> #86: '1' (3)
17504,17505c17504,17505
< #89: '0' (2)
< #90: '0' (2)
---
> #89: '1' (3)
> #90: '1' (3)
17508,17509c17508,17509
< #93: '0' (2)
< #94: '0' (2)
---
> #93: '1' (3)
> #94: '1' (3)
17511c17511
< #96: '0' (2)
---
> #96: '1' (3)
17516c17516
< #101: '0' (2)
---
> #101: '1' (3)
17518c17518
< #103: '0' (2)
---
> #103: '1' (3)
17520c17520
< #105: '0' (2)
---
> #105: '1' (3)
17522c17522
< #107: '0' (2)
---
> #107: '1' (3)
17524c17524
< #109: '0' (2)
---
> #109: '1' (3)
17526c17526
< #111: '0' (2)
---
> #111: '1' (3)
17528c17528
< #113: '0' (2)
---
> #113: '1' (3)
17532c17532
< #117: '0' (2)
---
> #117: '1' (3)
17535c17535
< #120: '0' (2)
---
> #120: '1' (3)
17538c17538
< #123: '0' (2)
---
> #123: '1' (3)
17541c17541
< #126: '0' (2)
---
> #126: '1' (3)
17544c17544
< #129: '0' (2)
---
> #129: '1' (3)
17548c17548
< #133: '0' (2)
---
> #133: '1' (3)
17565,17567c17565,17567
< #150: '0' (2)
< #151: '0' (2)
< #152: '0' (2)
---
> #150: '1' (3)
> #151: '1' (3)
> #152: '1' (3)
17571,17573c17571,17573
< #156: '0' (2)
< #157: '0' (2)
< #158: '0' (2)
---
> #156: '1' (3)
> #157: '1' (3)
> #158: '1' (3)
17576c17576
< #161: '0' (2)
---
> #161: '1' (3)
17581,17582c17581,17582
< #166: '0' (2)
< #167: '0' (2)
---
> #166: '1' (3)
> #167: '1' (3)
17585,17586c17585,17586
< #170: '0' (2)
< #171: '0' (2)
---
> #170: '1' (3)
> #171: '1' (3)
17589,17590c17589,17590
< #174: '0' (2)
< #175: '0' (2)
---
> #174: '1' (3)
> #175: '1' (3)
17592c17592
< #177: '0' (2)
---
> #177: '1' (3)
17597c17597
< #182: '0' (2)
---
> #182: '1' (3)
17599,17601c17599,17601
< #184: '0' (2)
< #185: '0' (2)
< #186: '0' (2)
---
> #184: '1' (3)
> #185: '1' (3)
> #186: '1' (3)
17603c17603
< #188: '0' (2)
---
> #188: '1' (3)
17607,17608c17607,17608
< #192: '0' (2)
< #193: '0' (2)
---
> #192: '1' (3)
> #193: '1' (3)
17613c17613
< #198: '0' (2)
---
> #198: '1' (3)
17615c17615
< #200: '0' (2)
---
> #200: '1' (3)
17617c17617
< #202: '0' (2)
---
> #202: '1' (3)
17619c17619
< #204: '0' (2)
---
> #204: '1' (3)
17621c17621
< #206: '0' (2)
---
> #206: '1' (3)
17623,17624c17623,17624
< #208: '0' (2)
< #209: '0' (2)
---
> #208: '1' (3)
> #209: '1' (3)
17629c17629
< #214: '0' (2)
---
> #214: '1' (3)
17632,17634c17632,17634
< #217: '0' (2)
< #218: '0' (2)
< #219: '0' (2)
---
> #217: '1' (3)
> #218: '1' (3)
> #219: '1' (3)
17636,17637c17636,17637
< #221: '0' (2)
< #222: '0' (2)
---
> #221: '1' (3)
> #222: '1' (3)
17645c17645
< #230: '0' (2)
---
> #230: '1' (3)
17648c17648
< #233: '0' (2)
---
> #233: '1' (3)
17651c17651
< #236: '0' (2)
---
> #236: '1' (3)
17654c17654
< #239: '0' (2)
---
> #239: '1' (3)
17661c17661
< #246: '0' (2)
---
> #246: '1' (3)
17665c17665
< #250: '0' (2)
---
> #250: '1' (3)
17669c17669
< #254: '0' (2)
---
> #254: '1' (3)
17677c17677
< #262: '0' (2)
---
> #262: '1' (3)
17710,17724c17710,17724
< #19: '0' (2)
< #20: '0' (2)
< #21: '0' (2)
< #22: '0' (2)
< #23: '0' (2)
< #24: '0' (2)
< #25: '0' (2)
< #26: '0' (2)
< #27: '0' (2)
< #28: '0' (2)
< #29: '0' (2)
< #30: '0' (2)
< #31: '0' (2)
< #32: '0' (2)
< #33: '0' (2)
---
> #19: '1' (3)
> #20: '1' (3)
> #21: '1' (3)
> #22: '1' (3)
> #23: '1' (3)
> #24: '1' (3)
> #25: '1' (3)
> #26: '1' (3)
> #27: '1' (3)
> #28: '1' (3)
> #29: '1' (3)
> #30: '1' (3)
> #31: '1' (3)
> #32: '1' (3)
> #33: '1' (3)
17726c17726
< #35: '0' (2)
---
> #35: '1' (3)
17743c17743
< #52: '0' (2)
---
> #52: '1' (3)
17745c17745
< #54: '0' (2)
---
> #54: '1' (3)
17747c17747
< #56: '0' (2)
---
> #56: '1' (3)
17749c17749
< #58: '0' (2)
---
> #58: '1' (3)
17751c17751
< #60: '0' (2)
---
> #60: '1' (3)
17753c17753
< #62: '0' (2)
---
> #62: '1' (3)
17755,17756c17755,17756
< #64: '0' (2)
< #65: '0' (2)
---
> #64: '1' (3)
> #65: '1' (3)
17759c17759
< #68: '0' (2)
---
> #68: '1' (3)
17776,17777c17776,17777
< #85: '0' (2)
< #86: '0' (2)
---
> #85: '1' (3)
> #86: '1' (3)
17780,17781c17780,17781
< #89: '0' (2)
< #90: '0' (2)
---
> #89: '1' (3)
> #90: '1' (3)
17784,17785c17784,17785
< #93: '0' (2)
< #94: '0' (2)
---
> #93: '1' (3)
> #94: '1' (3)
17787c17787
< #96: '0' (2)
---
> #96: '1' (3)
17792c17792
< #101: '0' (2)
---
> #101: '1' (3)
17794c17794
< #103: '0' (2)
---
> #103: '1' (3)
17796c17796
< #105: '0' (2)
---
> #105: '1' (3)
17798c17798
< #107: '0' (2)
---
> #107: '1' (3)
17800c17800
< #109: '0' (2)
---
> #109: '1' (3)
17802c17802
< #111: '0' (2)
---
> #111: '1' (3)
17804c17804
< #113: '0' (2)
---
> #113: '1' (3)
17808c17808
< #117: '0' (2)
---
> #117: '1' (3)
17811c17811
< #120: '0' (2)
---
> #120: '1' (3)
17814c17814
< #123: '0' (2)
---
> #123: '1' (3)
17817c17817
< #126: '0' (2)
---
> #126: '1' (3)
17820c17820
< #129: '0' (2)
---
> #129: '1' (3)
17824c17824
< #133: '0' (2)
---
> #133: '1' (3)
17841,17843c17841,17843
< #150: '0' (2)
< #151: '0' (2)
< #152: '0' (2)
---
> #150: '1' (3)
> #151: '1' (3)
> #152: '1' (3)
17847,17849c17847,17849
< #156: '0' (2)
< #157: '0' (2)
< #158: '0' (2)
---
> #156: '1' (3)
> #157: '1' (3)
> #158: '1' (3)
17852c17852
< #161: '0' (2)
---
> #161: '1' (3)
17857,17858c17857,17858
< #166: '0' (2)
< #167: '0' (2)
---
> #166: '1' (3)
> #167: '1' (3)
17861,17862c17861,17862
< #170: '0' (2)
< #171: '0' (2)
---
> #170: '1' (3)
> #171: '1' (3)
17865,17866c17865,17866
< #174: '0' (2)
< #175: '0' (2)
---
> #174: '1' (3)
> #175: '1' (3)
17868c17868
< #177: '0' (2)
---
> #177: '1' (3)
17873c17873
< #182: '0' (2)
---
> #182: '1' (3)
17875,17877c17875,17877
< #184: '0' (2)
< #185: '0' (2)
< #186: '0' (2)
---
> #184: '1' (3)
> #185: '1' (3)
> #186: '1' (3)
17879c17879
< #188: '0' (2)
---
> #188: '1' (3)
17883,17884c17883,17884
< #192: '0' (2)
< #193: '0' (2)
---
> #192: '1' (3)
> #193: '1' (3)
17889c17889
< #198: '0' (2)
---
> #198: '1' (3)
17891c17891
< #200: '0' (2)
---
> #200: '1' (3)
17893c17893
< #202: '0' (2)
---
> #202: '1' (3)
17895c17895
< #204: '0' (2)
---
> #204: '1' (3)
17897c17897
< #206: '0' (2)
---
> #206: '1' (3)
17899,17900c17899,17900
< #208: '0' (2)
< #209: '0' (2)
---
> #208: '1' (3)
> #209: '1' (3)
17905c17905
< #214: '0' (2)
---
> #214: '1' (3)
17908,17910c17908,17910
< #217: '0' (2)
< #218: '0' (2)
< #219: '0' (2)
---
> #217: '1' (3)
> #218: '1' (3)
> #219: '1' (3)
17912,17913c17912,17913
< #221: '0' (2)
< #222: '0' (2)
---
> #221: '1' (3)
> #222: '1' (3)
17921c17921
< #230: '0' (2)
---
> #230: '1' (3)
17924c17924
< #233: '0' (2)
---
> #233: '1' (3)
17927c17927
< #236: '0' (2)
---
> #236: '1' (3)
17930c17930
< #239: '0' (2)
---
> #239: '1' (3)
17937c17937
< #246: '0' (2)
---
> #246: '1' (3)
17941c17941
< #250: '0' (2)
---
> #250: '1' (3)
17945c17945
< #254: '0' (2)
---
> #254: '1' (3)
17953c17953
< #262: '0' (2)
---
> #262: '1' (3)
17986,18000c17986,18000
< #19: '0' (2)
< #20: '0' (2)
< #21: '0' (2)
< #22: '0' (2)
< #23: '0' (2)
< #24: '0' (2)
< #25: '0' (2)
< #26: '0' (2)
< #27: '0' (2)
< #28: '0' (2)
< #29: '0' (2)
< #30: '0' (2)
< #31: '0' (2)
< #32: '0' (2)
< #33: '0' (2)
---
> #19: '1' (3)
> #20: '1' (3)
> #21: '1' (3)
> #22: '1' (3)
> #23: '1' (3)
> #24: '1' (3)
> #25: '1' (3)
> #26: '1' (3)
> #27: '1' (3)
> #28: '1' (3)
> #29: '1' (3)
> #30: '1' (3)
> #31: '1' (3)
> #32: '1' (3)
> #33: '1' (3)
18002c18002
< #35: '0' (2)
---
> #35: '1' (3)
18019c18019
< #52: '0' (2)
---
> #52: '1' (3)
18021c18021
< #54: '0' (2)
---
> #54: '1' (3)
18023c18023
< #56: '0' (2)
---
> #56: '1' (3)
18025c18025
< #58: '0' (2)
---
> #58: '1' (3)
18027c18027
< #60: '0' (2)
---
> #60: '1' (3)
18029c18029
< #62: '0' (2)
---
> #62: '1' (3)
18031,18032c18031,18032
< #64: '0' (2)
< #65: '0' (2)
---
> #64: '1' (3)
> #65: '1' (3)
18035c18035
< #68: '0' (2)
---
> #68: '1' (3)
18052,18053c18052,18053
< #85: '0' (2)
< #86: '0' (2)
---
> #85: '1' (3)
> #86: '1' (3)
18056,18057c18056,18057
< #89: '0' (2)
< #90: '0' (2)
---
> #89: '1' (3)
> #90: '1' (3)
18060,18061c18060,18061
< #93: '0' (2)
< #94: '0' (2)
---
> #93: '1' (3)
> #94: '1' (3)
18063c18063
< #96: '0' (2)
---
> #96: '1' (3)
18068c18068
< #101: '0' (2)
---
> #101: '1' (3)
18070c18070
< #103: '0' (2)
---
> #103: '1' (3)
18072c18072
< #105: '0' (2)
---
> #105: '1' (3)
18074c18074
< #107: '0' (2)
---
> #107: '1' (3)
18076c18076
< #109: '0' (2)
---
> #109: '1' (3)
18078c18078
< #111: '0' (2)
---
> #111: '1' (3)
18080c18080
< #113: '0' (2)
---
> #113: '1' (3)
18084c18084
< #117: '0' (2)
---
> #117: '1' (3)
18087c18087
< #120: '0' (2)
---
> #120: '1' (3)
18090c18090
< #123: '0' (2)
---
> #123: '1' (3)
18093c18093
< #126: '0' (2)
---
> #126: '1' (3)
18096c18096
< #129: '0' (2)
---
> #129: '1' (3)
18100c18100
< #133: '0' (2)
---
> #133: '1' (3)
18117,18119c18117,18119
< #150: '0' (2)
< #151: '0' (2)
< #152: '0' (2)
---
> #150: '1' (3)
> #151: '1' (3)
> #152: '1' (3)
18123,18125c18123,18125
< #156: '0' (2)
< #157: '0' (2)
< #158: '0' (2)
---
> #156: '1' (3)
> #157: '1' (3)
> #158: '1' (3)
18128c18128
< #161: '0' (2)
---
> #161: '1' (3)
18133,18134c18133,18134
< #166: '0' (2)
< #167: '0' (2)
---
> #166: '1' (3)
> #167: '1' (3)
18137,18138c18137,18138
< #170: '0' (2)
< #171: '0' (2)
---
> #170: '1' (3)
> #171: '1' (3)
18141,18142c18141,18142
< #174: '0' (2)
< #175: '0' (2)
---
> #174: '1' (3)
> #175: '1' (3)
18144c18144
< #177: '0' (2)
---
> #177: '1' (3)
18149c18149
< #182: '0' (2)
---
> #182: '1' (3)
18151,18153c18151,18153
< #184: '0' (2)
< #185: '0' (2)
< #186: '0' (2)
---
> #184: '1' (3)
> #185: '1' (3)
> #186: '1' (3)
18155c18155
< #188: '0' (2)
---
> #188: '1' (3)
18159,18160c18159,18160
< #192: '0' (2)
< #193: '0' (2)
---
> #192: '1' (3)
> #193: '1' (3)
18165c18165
< #198: '0' (2)
---
> #198: '1' (3)
18167c18167
< #200: '0' (2)
---
> #200: '1' (3)
18169c18169
< #202: '0' (2)
---
> #202: '1' (3)
18171c18171
< #204: '0' (2)
---
> #204: '1' (3)
18173c18173
< #206: '0' (2)
---
> #206: '1' (3)
18175,18176c18175,18176
< #208: '0' (2)
< #209: '0' (2)
---
> #208: '1' (3)
> #209: '1' (3)
18181c18181
< #214: '0' (2)
---
> #214: '1' (3)
18184,18186c18184,18186
< #217: '0' (2)
< #218: '0' (2)
< #219: '0' (2)
---
> #217: '1' (3)
> #218: '1' (3)
> #219: '1' (3)
18188,18189c18188,18189
< #221: '0' (2)
< #222: '0' (2)
---
> #221: '1' (3)
> #222: '1' (3)
18197c18197
< #230: '0' (2)
---
> #230: '1' (3)
18200c18200
< #233: '0' (2)
---
> #233: '1' (3)
18203c18203
< #236: '0' (2)
---
> #236: '1' (3)
18206c18206
< #239: '0' (2)
---
> #239: '1' (3)
18213c18213
< #246: '0' (2)
---
> #246: '1' (3)
18217c18217
< #250: '0' (2)
---
> #250: '1' (3)
18221c18221
< #254: '0' (2)
---
> #254: '1' (3)
18229c18229
< #262: '0' (2)
---
> #262: '1' (3)
18262,18276c18262,18276
< #19: '0' (2)
< #20: '0' (2)
< #21: '0' (2)
< #22: '0' (2)
< #23: '0' (2)
< #24: '0' (2)
< #25: '0' (2)
< #26: '0' (2)
< #27: '0' (2)
< #28: '0' (2)
< #29: '0' (2)
< #30: '0' (2)
< #31: '0' (2)
< #32: '0' (2)
< #33: '0' (2)
---
> #19: '1' (3)
> #20: '1' (3)
> #21: '1' (3)
> #22: '1' (3)
> #23: '1' (3)
> #24: '1' (3)
> #25: '1' (3)
> #26: '1' (3)
> #27: '1' (3)
> #28: '1' (3)
> #29: '1' (3)
> #30: '1' (3)
> #31: '1' (3)
> #32: '1' (3)
> #33: '1' (3)
18278c18278
< #35: '0' (2)
---
> #35: '1' (3)
18295c18295
< #52: '0' (2)
---
> #52: '1' (3)
18297c18297
< #54: '0' (2)
---
> #54: '1' (3)
18299c18299
< #56: '0' (2)
---
> #56: '1' (3)
18301c18301
< #58: '0' (2)
---
> #58: '1' (3)
18303c18303
< #60: '0' (2)
---
> #60: '1' (3)
18305c18305
< #62: '0' (2)
---
> #62: '1' (3)
18307,18308c18307,18308
< #64: '0' (2)
< #65: '0' (2)
---
> #64: '1' (3)
> #65: '1' (3)
18311c18311
< #68: '0' (2)
---
> #68: '1' (3)
18328,18329c18328,18329
< #85: '0' (2)
< #86: '0' (2)
---
> #85: '1' (3)
> #86: '1' (3)
18332,18333c18332,18333
< #89: '0' (2)
< #90: '0' (2)
---
> #89: '1' (3)
> #90: '1' (3)
18336,18337c18336,18337
< #93: '0' (2)
< #94: '0' (2)
---
> #93: '1' (3)
> #94: '1' (3)
18339c18339
< #96: '0' (2)
---
> #96: '1' (3)
18344c18344
< #101: '0' (2)
---
> #101: '1' (3)
18346c18346
< #103: '0' (2)
---
> #103: '1' (3)
18348c18348
< #105: '0' (2)
---
> #105: '1' (3)
18350c18350
< #107: '0' (2)
---
> #107: '1' (3)
18352c18352
< #109: '0' (2)
---
> #109: '1' (3)
18354c18354
< #111: '0' (2)
---
> #111: '1' (3)
18356c18356
< #113: '0' (2)
---
> #113: '1' (3)
18360c18360
< #117: '0' (2)
---
> #117: '1' (3)
18363c18363
< #120: '0' (2)
---
> #120: '1' (3)
18366c18366
< #123: '0' (2)
---
> #123: '1' (3)
18369c18369
< #126: '0' (2)
---
> #126: '1' (3)
18372c18372
< #129: '0' (2)
---
> #129: '1' (3)
18376c18376
< #133: '0' (2)
---
> #133: '1' (3)
18393,18395c18393,18395
< #150: '0' (2)
< #151: '0' (2)
< #152: '0' (2)
---
> #150: '1' (3)
> #151: '1' (3)
> #152: '1' (3)
18399,18401c18399,18401
< #156: '0' (2)
< #157: '0' (2)
< #158: '0' (2)
---
> #156: '1' (3)
> #157: '1' (3)
> #158: '1' (3)
18404c18404
< #161: '0' (2)
---
> #161: '1' (3)
18409,18410c18409,18410
< #166: '0' (2)
< #167: '0' (2)
---
> #166: '1' (3)
> #167: '1' (3)
18413,18414c18413,18414
< #170: '0' (2)
< #171: '0' (2)
---
> #170: '1' (3)
> #171: '1' (3)
18417,18418c18417,18418
< #174: '0' (2)
< #175: '0' (2)
---
> #174: '1' (3)
> #175: '1' (3)
18420c18420
< #177: '0' (2)
---
> #177: '1' (3)
18425c18425
< #182: '0' (2)
---
> #182: '1' (3)
18427,18429c18427,18429
< #184: '0' (2)
< #185: '0' (2)
< #186: '0' (2)
---
> #184: '1' (3)
> #185: '1' (3)
> #186: '1' (3)
18431c18431
< #188: '0' (2)
---
> #188: '1' (3)
18435,18436c18435,18436
< #192: '0' (2)
< #193: '0' (2)
---
> #192: '1' (3)
> #193: '1' (3)
18441c18441
< #198: '0' (2)
---
> #198: '1' (3)
18443c18443
< #200: '0' (2)
---
> #200: '1' (3)
18445c18445
< #202: '0' (2)
---
> #202: '1' (3)
18447c18447
< #204: '0' (2)
---
> #204: '1' (3)
18449c18449
< #206: '0' (2)
---
> #206: '1' (3)
18451,18452c18451,18452
< #208: '0' (2)
< #209: '0' (2)
---
> #208: '1' (3)
> #209: '1' (3)
18457c18457
< #214: '0' (2)
---
> #214: '1' (3)
18460,18462c18460,18462
< #217: '0' (2)
< #218: '0' (2)
< #219: '0' (2)
---
> #217: '1' (3)
> #218: '1' (3)
> #219: '1' (3)
18464,18465c18464,18465
< #221: '0' (2)
< #222: '0' (2)
---
> #221: '1' (3)
> #222: '1' (3)
18473c18473
< #230: '0' (2)
---
> #230: '1' (3)
18476c18476
< #233: '0' (2)
---
> #233: '1' (3)
18479c18479
< #236: '0' (2)
---
> #236: '1' (3)
18482c18482
< #239: '0' (2)
---
> #239: '1' (3)
18489c18489
< #246: '0' (2)
---
> #246: '1' (3)
18493c18493
< #250: '0' (2)
---
> #250: '1' (3)
18497c18497
< #254: '0' (2)
---
> #254: '1' (3)
18505c18505
< #262: '0' (2)
---
> #262: '1' (3)
18538,18552c18538,18552
< #19: '0' (2)
< #20: '0' (2)
< #21: '0' (2)
< #22: '0' (2)
< #23: '0' (2)
< #24: '0' (2)
< #25: '0' (2)
< #26: '0' (2)
< #27: '0' (2)
< #28: '0' (2)
< #29: '0' (2)
< #30: '0' (2)
< #31: '0' (2)
< #32: '0' (2)
< #33: '0' (2)
---
> #19: '1' (3)
> #20: '1' (3)
> #21: '1' (3)
> #22: '1' (3)
> #23: '1' (3)
> #24: '1' (3)
> #25: '1' (3)
> #26: '1' (3)
> #27: '1' (3)
> #28: '1' (3)
> #29: '1' (3)
> #30: '1' (3)
> #31: '1' (3)
> #32: '1' (3)
> #33: '1' (3)
18554c18554
< #35: '0' (2)
---
> #35: '1' (3)
18571c18571
< #52: '0' (2)
---
> #52: '1' (3)
18573c18573
< #54: '0' (2)
---
> #54: '1' (3)
18575c18575
< #56: '0' (2)
---
> #56: '1' (3)
18577c18577
< #58: '0' (2)
---
> #58: '1' (3)
18579c18579
< #60: '0' (2)
---
> #60: '1' (3)
18581c18581
< #62: '0' (2)
---
> #62: '1' (3)
18583,18584c18583,18584
< #64: '0' (2)
< #65: '0' (2)
---
> #64: '1' (3)
> #65: '1' (3)
18587c18587
< #68: '0' (2)
---
> #68: '1' (3)
18604,18605c18604,18605
< #85: '0' (2)
< #86: '0' (2)
---
> #85: '1' (3)
> #86: '1' (3)
18608,18609c18608,18609
< #89: '0' (2)
< #90: '0' (2)
---
> #89: '1' (3)
> #90: '1' (3)
18612,18613c18612,18613
< #93: '0' (2)
< #94: '0' (2)
---
> #93: '1' (3)
> #94: '1' (3)
18615c18615
< #96: '0' (2)
---
> #96: '1' (3)
18620c18620
< #101: '0' (2)
---
> #101: '1' (3)
18622c18622
< #103: '0' (2)
---
> #103: '1' (3)
18624c18624
< #105: '0' (2)
---
> #105: '1' (3)
18626c18626
< #107: '0' (2)
---
> #107: '1' (3)
18628c18628
< #109: '0' (2)
---
> #109: '1' (3)
18630c18630
< #111: '0' (2)
---
> #111: '1' (3)
18632c18632
< #113: '0' (2)
---
> #113: '1' (3)
18636c18636
< #117: '0' (2)
---
> #117: '1' (3)
18639c18639
< #120: '0' (2)
---
> #120: '1' (3)
18642c18642
< #123: '0' (2)
---
> #123: '1' (3)
18645c18645
< #126: '0' (2)
---
> #126: '1' (3)
18648c18648
< #129: '0' (2)
---
> #129: '1' (3)
18652c18652
< #133: '0' (2)
---
> #133: '1' (3)
18669,18671c18669,18671
< #150: '0' (2)
< #151: '0' (2)
< #152: '0' (2)
---
> #150: '1' (3)
> #151: '1' (3)
> #152: '1' (3)
18675,18677c18675,18677
< #156: '0' (2)
< #157: '0' (2)
< #158: '0' (2)
---
> #156: '1' (3)
> #157: '1' (3)
> #158: '1' (3)
18680c18680
< #161: '0' (2)
---
> #161: '1' (3)
18685,18686c18685,18686
< #166: '0' (2)
< #167: '0' (2)
---
> #166: '1' (3)
> #167: '1' (3)
18689,18690c18689,18690
< #170: '0' (2)
< #171: '0' (2)
---
> #170: '1' (3)
> #171: '1' (3)
18693,18694c18693,18694
< #174: '0' (2)
< #175: '0' (2)
---
> #174: '1' (3)
> #175: '1' (3)
18696c18696
< #177: '0' (2)
---
> #177: '1' (3)
18701c18701
< #182: '0' (2)
---
> #182: '1' (3)
18703,18705c18703,18705
< #184: '0' (2)
< #185: '0' (2)
< #186: '0' (2)
---
> #184: '1' (3)
> #185: '1' (3)
> #186: '1' (3)
18707c18707
< #188: '0' (2)
---
> #188: '1' (3)
18711,18712c18711,18712
< #192: '0' (2)
< #193: '0' (2)
---
> #192: '1' (3)
> #193: '1' (3)
18717c18717
< #198: '0' (2)
---
> #198: '1' (3)
18719c18719
< #200: '0' (2)
---
> #200: '1' (3)
18721c18721
< #202: '0' (2)
---
> #202: '1' (3)
18723c18723
< #204: '0' (2)
---
> #204: '1' (3)
18725c18725
< #206: '0' (2)
---
> #206: '1' (3)
18727,18728c18727,18728
< #208: '0' (2)
< #209: '0' (2)
---
> #208: '1' (3)
> #209: '1' (3)
18733c18733
< #214: '0' (2)
---
> #214: '1' (3)
18736,18738c18736,18738
< #217: '0' (2)
< #218: '0' (2)
< #219: '0' (2)
---
> #217: '1' (3)
> #218: '1' (3)
> #219: '1' (3)
18740,18741c18740,18741
< #221: '0' (2)
< #222: '0' (2)
---
> #221: '1' (3)
> #222: '1' (3)
18749c18749
< #230: '0' (2)
---
> #230: '1' (3)
18752c18752
< #233: '0' (2)
---
> #233: '1' (3)
18755c18755
< #236: '0' (2)
---
> #236: '1' (3)
18758c18758
< #239: '0' (2)
---
> #239: '1' (3)
18765c18765
< #246: '0' (2)
---
> #246: '1' (3)
18769c18769
< #250: '0' (2)
---
> #250: '1' (3)
18773c18773
< #254: '0' (2)
---
> #254: '1' (3)
18781c18781
< #262: '0' (2)
---
> #262: '1' (3)
18814,18828c18814,18828
< #19: '0' (2)
< #20: '0' (2)
< #21: '0' (2)
< #22: '0' (2)
< #23: '0' (2)
< #24: '0' (2)
< #25: '0' (2)
< #26: '0' (2)
< #27: '0' (2)
< #28: '0' (2)
< #29: '0' (2)
< #30: '0' (2)
< #31: '0' (2)
< #32: '0' (2)
< #33: '0' (2)
---
> #19: '1' (3)
> #20: '1' (3)
> #21: '1' (3)
> #22: '1' (3)
> #23: '1' (3)
> #24: '1' (3)
> #25: '1' (3)
> #26: '1' (3)
> #27: '1' (3)
> #28: '1' (3)
> #29: '1' (3)
> #30: '1' (3)
> #31: '1' (3)
> #32: '1' (3)
> #33: '1' (3)
18830c18830
< #35: '0' (2)
---
> #35: '1' (3)
18847c18847
< #52: '0' (2)
---
> #52: '1' (3)
18849c18849
< #54: '0' (2)
---
> #54: '1' (3)
18851c18851
< #56: '0' (2)
---
> #56: '1' (3)
18853c18853
< #58: '0' (2)
---
> #58: '1' (3)
18855c18855
< #60: '0' (2)
---
> #60: '1' (3)
18857c18857
< #62: '0' (2)
---
> #62: '1' (3)
18859,18860c18859,18860
< #64: '0' (2)
< #65: '0' (2)
---
> #64: '1' (3)
> #65: '1' (3)
18863c18863
< #68: '0' (2)
---
> #68: '1' (3)
18880,18881c18880,18881
< #85: '0' (2)
< #86: '0' (2)
---
> #85: '1' (3)
> #86: '1' (3)
18884,18885c18884,18885
< #89: '0' (2)
< #90: '0' (2)
---
> #89: '1' (3)
> #90: '1' (3)
18888,18889c18888,18889
< #93: '0' (2)
< #94: '0' (2)
---
> #93: '1' (3)
> #94: '1' (3)
18891c18891
< #96: '0' (2)
---
> #96: '1' (3)
18896c18896
< #101: '0' (2)
---
> #101: '1' (3)
18898c18898
< #103: '0' (2)
---
> #103: '1' (3)
18900c18900
< #105: '0' (2)
---
> #105: '1' (3)
18902c18902
< #107: '0' (2)
---
> #107: '1' (3)
18904c18904
< #109: '0' (2)
---
> #109: '1' (3)
18906c18906
< #111: '0' (2)
---
> #111: '1' (3)
18908c18908
< #113: '0' (2)
---
> #113: '1' (3)
18912c18912
< #117: '0' (2)
---
> #117: '1' (3)
18915c18915
< #120: '0' (2)
---
> #120: '1' (3)
18918c18918
< #123: '0' (2)
---
> #123: '1' (3)
18921c18921
< #126: '0' (2)
---
> #126: '1' (3)
18924c18924
< #129: '0' (2)
---
> #129: '1' (3)
18928c18928
< #133: '0' (2)
---
> #133: '1' (3)
18945,18947c18945,18947
< #150: '0' (2)
< #151: '0' (2)
< #152: '0' (2)
---
> #150: '1' (3)
> #151: '1' (3)
> #152: '1' (3)
18951,18953c18951,18953
< #156: '0' (2)
< #157: '0' (2)
< #158: '0' (2)
---
> #156: '1' (3)
> #157: '1' (3)
> #158: '1' (3)
18956c18956
< #161: '0' (2)
---
> #161: '1' (3)
18961,18962c18961,18962
< #166: '0' (2)
< #167: '0' (2)
---
> #166: '1' (3)
> #167: '1' (3)
18965,18966c18965,18966
< #170: '0' (2)
< #171: '0' (2)
---
> #170: '1' (3)
> #171: '1' (3)
18969,18970c18969,18970
< #174: '0' (2)
< #175: '0' (2)
---
> #174: '1' (3)
> #175: '1' (3)
18972c18972
< #177: '0' (2)
---
> #177: '1' (3)
18977c18977
< #182: '0' (2)
---
> #182: '1' (3)
18979,18981c18979,18981
< #184: '0' (2)
< #185: '0' (2)
< #186: '0' (2)
---
> #184: '1' (3)
> #185: '1' (3)
> #186: '1' (3)
18983c18983
< #188: '0' (2)
---
> #188: '1' (3)
18987,18988c18987,18988
< #192: '0' (2)
< #193: '0' (2)
---
> #192: '1' (3)
> #193: '1' (3)
18993c18993
< #198: '0' (2)
---
> #198: '1' (3)
18995c18995
< #200: '0' (2)
---
> #200: '1' (3)
18997c18997
< #202: '0' (2)
---
> #202: '1' (3)
18999c18999
< #204: '0' (2)
---
> #204: '1' (3)
19001c19001
< #206: '0' (2)
---
> #206: '1' (3)
19003,19004c19003,19004
< #208: '0' (2)
< #209: '0' (2)
---
> #208: '1' (3)
> #209: '1' (3)
19009c19009
< #214: '0' (2)
---
> #214: '1' (3)
19012,19014c19012,19014
< #217: '0' (2)
< #218: '0' (2)
< #219: '0' (2)
---
> #217: '1' (3)
> #218: '1' (3)
> #219: '1' (3)
19016,19017c19016,19017
< #221: '0' (2)
< #222: '0' (2)
---
> #221: '1' (3)
> #222: '1' (3)
19025c19025
< #230: '0' (2)
---
> #230: '1' (3)
19028c19028
< #233: '0' (2)
---
> #233: '1' (3)
19031c19031
< #236: '0' (2)
---
> #236: '1' (3)
19034c19034
< #239: '0' (2)
---
> #239: '1' (3)
19041c19041
< #246: '0' (2)
---
> #246: '1' (3)
19045c19045
< #250: '0' (2)
---
> #250: '1' (3)
19049c19049
< #254: '0' (2)
---
> #254: '1' (3)
19057c19057
< #262: '0' (2)
---
> #262: '1' (3)
19090,19104c19090,19104
< #19: '0' (2)
< #20: '0' (2)
< #21: '0' (2)
< #22: '0' (2)
< #23: '0' (2)
< #24: '0' (2)
< #25: '0' (2)
< #26: '0' (2)
< #27: '0' (2)
< #28: '0' (2)
< #29: '0' (2)
< #30: '0' (2)
< #31: '0' (2)
< #32: '0' (2)
< #33: '0' (2)
---
> #19: '1' (3)
> #20: '1' (3)
> #21: '1' (3)
> #22: '1' (3)
> #23: '1' (3)
> #24: '1' (3)
> #25: '1' (3)
> #26: '1' (3)
> #27: '1' (3)
> #28: '1' (3)
> #29: '1' (3)
> #30: '1' (3)
> #31: '1' (3)
> #32: '1' (3)
> #33: '1' (3)
19106c19106
< #35: '0' (2)
---
> #35: '1' (3)
19123c19123
< #52: '0' (2)
---
> #52: '1' (3)
19125c19125
< #54: '0' (2)
---
> #54: '1' (3)
19127c19127
< #56: '0' (2)
---
> #56: '1' (3)
19129c19129
< #58: '0' (2)
---
> #58: '1' (3)
19131c19131
< #60: '0' (2)
---
> #60: '1' (3)
19133c19133
< #62: '0' (2)
---
> #62: '1' (3)
19135,19136c19135,19136
< #64: '0' (2)
< #65: '0' (2)
---
> #64: '1' (3)
> #65: '1' (3)
19139c19139
< #68: '0' (2)
---
> #68: '1' (3)
19156,19157c19156,19157
< #85: '0' (2)
< #86: '0' (2)
---
> #85: '1' (3)
> #86: '1' (3)
19160,19161c19160,19161
< #89: '0' (2)
< #90: '0' (2)
---
> #89: '1' (3)
> #90: '1' (3)
19164,19165c19164,19165
< #93: '0' (2)
< #94: '0' (2)
---
> #93: '1' (3)
> #94: '1' (3)
19167c19167
< #96: '0' (2)
---
> #96: '1' (3)
19172c19172
< #101: '0' (2)
---
> #101: '1' (3)
19174c19174
< #103: '0' (2)
---
> #103: '1' (3)
19176c19176
< #105: '0' (2)
---
> #105: '1' (3)
19178c19178
< #107: '0' (2)
---
> #107: '1' (3)
19180c19180
< #109: '0' (2)
---
> #109: '1' (3)
19182c19182
< #111: '0' (2)
---
> #111: '1' (3)
19184c19184
< #113: '0' (2)
---
> #113: '1' (3)
19188c19188
< #117: '0' (2)
---
> #117: '1' (3)
19191c19191
< #120: '0' (2)
---
> #120: '1' (3)
19194c19194
< #123: '0' (2)
---
> #123: '1' (3)
19197c19197
< #126: '0' (2)
---
> #126: '1' (3)
19200c19200
< #129: '0' (2)
---
> #129: '1' (3)
19204c19204
< #133: '0' (2)
---
> #133: '1' (3)
19221,19223c19221,19223
< #150: '0' (2)
< #151: '0' (2)
< #152: '0' (2)
---
> #150: '1' (3)
> #151: '1' (3)
> #152: '1' (3)
19227,19229c19227,19229
< #156: '0' (2)
< #157: '0' (2)
< #158: '0' (2)
---
> #156: '1' (3)
> #157: '1' (3)
> #158: '1' (3)
19232c19232
< #161: '0' (2)
---
> #161: '1' (3)
19237,19238c19237,19238
< #166: '0' (2)
< #167: '0' (2)
---
> #166: '1' (3)
> #167: '1' (3)
19241,19242c19241,19242
< #170: '0' (2)
< #171: '0' (2)
---
> #170: '1' (3)
> #171: '1' (3)
19245,19246c19245,19246
< #174: '0' (2)
< #175: '0' (2)
---
> #174: '1' (3)
> #175: '1' (3)
19248c19248
< #177: '0' (2)
---
> #177: '1' (3)
19253c19253
< #182: '0' (2)
---
> #182: '1' (3)
19255,19257c19255,19257
< #184: '0' (2)
< #185: '0' (2)
< #186: '0' (2)
---
> #184: '1' (3)
> #185: '1' (3)
> #186: '1' (3)
19259c19259
< #188: '0' (2)
---
> #188: '1' (3)
19263,19264c19263,19264
< #192: '0' (2)
< #193: '0' (2)
---
> #192: '1' (3)
> #193: '1' (3)
19269c19269
< #198: '0' (2)
---
> #198: '1' (3)
19271c19271
< #200: '0' (2)
---
> #200: '1' (3)
19273c19273
< #202: '0' (2)
---
> #202: '1' (3)
19275c19275
< #204: '0' (2)
---
> #204: '1' (3)
19277c19277
< #206: '0' (2)
---
> #206: '1' (3)
19279,19280c19279,19280
< #208: '0' (2)
< #209: '0' (2)
---
> #208: '1' (3)
> #209: '1' (3)
19285c19285
< #214: '0' (2)
---
> #214: '1' (3)
19288,19290c19288,19290
< #217: '0' (2)
< #218: '0' (2)
< #219: '0' (2)
---
> #217: '1' (3)
> #218: '1' (3)
> #219: '1' (3)
19292,19293c19292,19293
< #221: '0' (2)
< #222: '0' (2)
---
> #221: '1' (3)
> #222: '1' (3)
19301c19301
< #230: '0' (2)
---
> #230: '1' (3)
19304c19304
< #233: '0' (2)
---
> #233: '1' (3)
19307c19307
< #236: '0' (2)
---
> #236: '1' (3)
19310c19310
< #239: '0' (2)
---
> #239: '1' (3)
19317c19317
< #246: '0' (2)
---
> #246: '1' (3)
19321c19321
< #250: '0' (2)
---
> #250: '1' (3)
19325c19325
< #254: '0' (2)
---
> #254: '1' (3)
19333c19333
< #262: '0' (2)
---
> #262: '1' (3)
19366,19380c19366,19380
< #19: '0' (2)
< #20: '0' (2)
< #21: '0' (2)
< #22: '0' (2)
< #23: '0' (2)
< #24: '0' (2)
< #25: '0' (2)
< #26: '0' (2)
< #27: '0' (2)
< #28: '0' (2)
< #29: '0' (2)
< #30: '0' (2)
< #31: '0' (2)
< #32: '0' (2)
< #33: '0' (2)
---
> #19: '1' (3)
> #20: '1' (3)
> #21: '1' (3)
> #22: '1' (3)
> #23: '1' (3)
> #24: '1' (3)
> #25: '1' (3)
> #26: '1' (3)
> #27: '1' (3)
> #28: '1' (3)
> #29: '1' (3)
> #30: '1' (3)
> #31: '1' (3)
> #32: '1' (3)
> #33: '1' (3)
19382c19382
< #35: '0' (2)
---
> #35: '1' (3)
19399c19399
< #52: '0' (2)
---
> #52: '1' (3)
19401c19401
< #54: '0' (2)
---
> #54: '1' (3)
19403c19403
< #56: '0' (2)
---
> #56: '1' (3)
19405c19405
< #58: '0' (2)
---
> #58: '1' (3)
19407c19407
< #60: '0' (2)
---
> #60: '1' (3)
19409c19409
< #62: '0' (2)
---
> #62: '1' (3)
19411,19412c19411,19412
< #64: '0' (2)
< #65: '0' (2)
---
> #64: '1' (3)
> #65: '1' (3)
19415c19415
< #68: '0' (2)
---
> #68: '1' (3)
19432,19433c19432,19433
< #85: '0' (2)
< #86: '0' (2)
---
> #85: '1' (3)
> #86: '1' (3)
19436,19437c19436,19437
< #89: '0' (2)
< #90: '0' (2)
---
> #89: '1' (3)
> #90: '1' (3)
19440,19441c19440,19441
< #93: '0' (2)
< #94: '0' (2)
---
> #93: '1' (3)
> #94: '1' (3)
19443c19443
< #96: '0' (2)
---
> #96: '1' (3)
19448c19448
< #101: '0' (2)
---
> #101: '1' (3)
19450c19450
< #103: '0' (2)
---
> #103: '1' (3)
19452c19452
< #105: '0' (2)
---
> #105: '1' (3)
19454c19454
< #107: '0' (2)
---
> #107: '1' (3)
19456c19456
< #109: '0' (2)
---
> #109: '1' (3)
19458c19458
< #111: '0' (2)
---
> #111: '1' (3)
19460c19460
< #113: '0' (2)
---
> #113: '1' (3)
19464c19464
< #117: '0' (2)
---
> #117: '1' (3)
19467c19467
< #120: '0' (2)
---
> #120: '1' (3)
19470c19470
< #123: '0' (2)
---
> #123: '1' (3)
19473c19473
< #126: '0' (2)
---
> #126: '1' (3)
19476c19476
< #129: '0' (2)
---
> #129: '1' (3)
19480c19480
< #133: '0' (2)
---
> #133: '1' (3)
19497,19499c19497,19499
< #150: '0' (2)
< #151: '0' (2)
< #152: '0' (2)
---
> #150: '1' (3)
> #151: '1' (3)
> #152: '1' (3)
19503,19505c19503,19505
< #156: '0' (2)
< #157: '0' (2)
< #158: '0' (2)
---
> #156: '1' (3)
> #157: '1' (3)
> #158: '1' (3)
19508c19508
< #161: '0' (2)
---
> #161: '1' (3)
19513,19514c19513,19514
< #166: '0' (2)
< #167: '0' (2)
---
> #166: '1' (3)
> #167: '1' (3)
19517,19518c19517,19518
< #170: '0' (2)
< #171: '0' (2)
---
> #170: '1' (3)
> #171: '1' (3)
19521,19522c19521,19522
< #174: '0' (2)
< #175: '0' (2)
---
> #174: '1' (3)
> #175: '1' (3)
19524c19524
< #177: '0' (2)
---
> #177: '1' (3)
19529c19529
< #182: '0' (2)
---
> #182: '1' (3)
19531,19533c19531,19533
< #184: '0' (2)
< #185: '0' (2)
< #186: '0' (2)
---
> #184: '1' (3)
> #185: '1' (3)
> #186: '1' (3)
19535c19535
< #188: '0' (2)
---
> #188: '1' (3)
19539,19540c19539,19540
< #192: '0' (2)
< #193: '0' (2)
---
> #192: '1' (3)
> #193: '1' (3)
19545c19545
< #198: '0' (2)
---
> #198: '1' (3)
19547c19547
< #200: '0' (2)
---
> #200: '1' (3)
19549c19549
< #202: '0' (2)
---
> #202: '1' (3)
19551c19551
< #204: '0' (2)
---
> #204: '1' (3)
19553c19553
< #206: '0' (2)
---
> #206: '1' (3)
19555,19556c19555,19556
< #208: '0' (2)
< #209: '0' (2)
---
> #208: '1' (3)
> #209: '1' (3)
19561c19561
< #214: '0' (2)
---
> #214: '1' (3)
19564,19566c19564,19566
< #217: '0' (2)
< #218: '0' (2)
< #219: '0' (2)
---
> #217: '1' (3)
> #218: '1' (3)
> #219: '1' (3)
19568,19569c19568,19569
< #221: '0' (2)
< #222: '0' (2)
---
> #221: '1' (3)
> #222: '1' (3)
19577c19577
< #230: '0' (2)
---
> #230: '1' (3)
19580c19580
< #233: '0' (2)
---
> #233: '1' (3)
19583c19583
< #236: '0' (2)
---
> #236: '1' (3)
19586c19586
< #239: '0' (2)
---
> #239: '1' (3)
19593c19593
< #246: '0' (2)
---
> #246: '1' (3)
19597c19597
< #250: '0' (2)
---
> #250: '1' (3)
19601c19601
< #254: '0' (2)
---
> #254: '1' (3)
19609c19609
< #262: '0' (2)
---
> #262: '1' (3)
19642,19656c19642,19656
< #19: '0' (2)
< #20: '0' (2)
< #21: '0' (2)
< #22: '0' (2)
< #23: '0' (2)
< #24: '0' (2)
< #25: '0' (2)
< #26: '0' (2)
< #27: '0' (2)
< #28: '0' (2)
< #29: '0' (2)
< #30: '0' (2)
< #31: '0' (2)
< #32: '0' (2)
< #33: '0' (2)
---
> #19: '1' (3)
> #20: '1' (3)
> #21: '1' (3)
> #22: '1' (3)
> #23: '1' (3)
> #24: '1' (3)
> #25: '1' (3)
> #26: '1' (3)
> #27: '1' (3)
> #28: '1' (3)
> #29: '1' (3)
> #30: '1' (3)
> #31: '1' (3)
> #32: '1' (3)
> #33: '1' (3)
19658c19658
< #35: '0' (2)
---
> #35: '1' (3)
19675c19675
< #52: '0' (2)
---
> #52: '1' (3)
19677c19677
< #54: '0' (2)
---
> #54: '1' (3)
19679c19679
< #56: '0' (2)
---
> #56: '1' (3)
19681c19681
< #58: '0' (2)
---
> #58: '1' (3)
19683c19683
< #60: '0' (2)
---
> #60: '1' (3)
19685c19685
< #62: '0' (2)
---
> #62: '1' (3)
19687,19688c19687,19688
< #64: '0' (2)
< #65: '0' (2)
---
> #64: '1' (3)
> #65: '1' (3)
19691c19691
< #68: '0' (2)
---
> #68: '1' (3)
19708,19709c19708,19709
< #85: '0' (2)
< #86: '0' (2)
---
> #85: '1' (3)
> #86: '1' (3)
19712,19713c19712,19713
< #89: '0' (2)
< #90: '0' (2)
---
> #89: '1' (3)
> #90: '1' (3)
19716,19717c19716,19717
< #93: '0' (2)
< #94: '0' (2)
---
> #93: '1' (3)
> #94: '1' (3)
19719c19719
< #96: '0' (2)
---
> #96: '1' (3)
19724c19724
< #101: '0' (2)
---
> #101: '1' (3)
19726c19726
< #103: '0' (2)
---
> #103: '1' (3)
19728c19728
< #105: '0' (2)
---
> #105: '1' (3)
19730c19730
< #107: '0' (2)
---
> #107: '1' (3)
19732c19732
< #109: '0' (2)
---
> #109: '1' (3)
19734c19734
< #111: '0' (2)
---
> #111: '1' (3)
19736c19736
< #113: '0' (2)
---
> #113: '1' (3)
19740c19740
< #117: '0' (2)
---
> #117: '1' (3)
19743c19743
< #120: '0' (2)
---
> #120: '1' (3)
19746c19746
< #123: '0' (2)
---
> #123: '1' (3)
19749c19749
< #126: '0' (2)
---
> #126: '1' (3)
19752c19752
< #129: '0' (2)
---
> #129: '1' (3)
19756c19756
< #133: '0' (2)
---
> #133: '1' (3)
19773,19775c19773,19775
< #150: '0' (2)
< #151: '0' (2)
< #152: '0' (2)
---
> #150: '1' (3)
> #151: '1' (3)
> #152: '1' (3)
19779,19781c19779,19781
< #156: '0' (2)
< #157: '0' (2)
< #158: '0' (2)
---
> #156: '1' (3)
> #157: '1' (3)
> #158: '1' (3)
19784c19784
< #161: '0' (2)
---
> #161: '1' (3)
19789,19790c19789,19790
< #166: '0' (2)
< #167: '0' (2)
---
> #166: '1' (3)
> #167: '1' (3)
19793,19794c19793,19794
< #170: '0' (2)
< #171: '0' (2)
---
> #170: '1' (3)
> #171: '1' (3)
19797,19798c19797,19798
< #174: '0' (2)
< #175: '0' (2)
---
> #174: '1' (3)
> #175: '1' (3)
19800c19800
< #177: '0' (2)
---
> #177: '1' (3)
19805c19805
< #182: '0' (2)
---
> #182: '1' (3)
19807,19809c19807,19809
< #184: '0' (2)
< #185: '0' (2)
< #186: '0' (2)
---
> #184: '1' (3)
> #185: '1' (3)
> #186: '1' (3)
19811c19811
< #188: '0' (2)
---
> #188: '1' (3)
19815,19816c19815,19816
< #192: '0' (2)
< #193: '0' (2)
---
> #192: '1' (3)
> #193: '1' (3)
19821c19821
< #198: '0' (2)
---
> #198: '1' (3)
19823c19823
< #200: '0' (2)
---
> #200: '1' (3)
19825c19825
< #202: '0' (2)
---
> #202: '1' (3)
19827c19827
< #204: '0' (2)
---
> #204: '1' (3)
19829c19829
< #206: '0' (2)
---
> #206: '1' (3)
19831,19832c19831,19832
< #208: '0' (2)
< #209: '0' (2)
---
> #208: '1' (3)
> #209: '1' (3)
19837c19837
< #214: '0' (2)
---
> #214: '1' (3)
19840,19842c19840,19842
< #217: '0' (2)
< #218: '0' (2)
< #219: '0' (2)
---
> #217: '1' (3)
> #218: '1' (3)
> #219: '1' (3)
19844,19845c19844,19845
< #221: '0' (2)
< #222: '0' (2)
---
> #221: '1' (3)
> #222: '1' (3)
19853c19853
< #230: '0' (2)
---
> #230: '1' (3)
19856c19856
< #233: '0' (2)
---
> #233: '1' (3)
19859c19859
< #236: '0' (2)
---
> #236: '1' (3)
19862c19862
< #239: '0' (2)
---
> #239: '1' (3)
19869c19869
< #246: '0' (2)
---
> #246: '1' (3)
19873c19873
< #250: '0' (2)
---
> #250: '1' (3)
19877c19877
< #254: '0' (2)
---
> #254: '1' (3)
19885c19885
< #262: '0' (2)
---
> #262: '1' (3)
The ghw dump does not match what is expected.


gna bug071: failed
analyze atod.vhdl
elaborate and simulate atod


gna test failed (issue20 issue1389 issue874 issue339 bug071 )
make[1]: [debian/rules:226: /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/stamps/test-llvm] Error 1 (ignored)
touch /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/stamps/test-llvm
dh_testdir

------------------------------------------------------------
Run testsuite for gcc backend
------------------------------------------------------------
# With some paths patched for the Debian packaging, the tests will not
# work right when run from the build directory. To keep it simple
# install into a temporary location and tell the testsuite to find ghdl
# there.
mkdir -p /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/bin
# Place a symlink for the binary ahead of time so that the compilation
# of the VHDL standard libraries works during the gcc install step. The
# binary is properly moved afterwards (so that it can find its
# libraries as relative paths from the executable's location).
ln -sf ../lib/ghdl/gcc/bin/ghdl-gcc /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/bin/ghdl-gcc
/usr/bin/make -C /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build install DESTDIR=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc
make[2]: Entering directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build'
make[3]: Entering directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build'
/bin/bash ../src/mkinstalldirs /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc
mkdir -p -- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc
make[4]: Entering directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/fixincludes'
rm -rf /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/install-tools
/bin/bash ../../src/fixincludes/../mkinstalldirs /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/install-tools
mkdir -p -- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/install-tools
/bin/bash ../../src/fixincludes/../mkinstalldirs /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/install-tools/include
mkdir -p -- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/install-tools/include
/usr/bin/install -c -m 644 ../../src/fixincludes/README-fixinc \
  /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/install-tools/include/README
/usr/bin/install -c fixinc.sh /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/install-tools/fixinc.sh
/usr/bin/install -c fixincl /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/install-tools/fixincl
/usr/bin/install -c mkheaders /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/install-tools/mkheaders
make[4]: Leaving directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/fixincludes'
make[4]: Entering directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/lto-plugin'
make[5]: Entering directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/lto-plugin'
 /bin/mkdir -p '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12'
 /bin/bash ./libtool --tag=disable-static  --mode=install /usr/bin/install -c   liblto_plugin.la '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12'
libtool: install: /usr/bin/install -c .libs/liblto_plugin.so /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/liblto_plugin.so
libtool: install: /usr/bin/install -c .libs/liblto_plugin.lai /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/liblto_plugin.la
libtool: install: warning: remember to run `libtool --finish /usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12'
make[5]: Nothing to be done for 'install-data-am'.
make[5]: Leaving directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/lto-plugin'
make[4]: Leaving directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/lto-plugin'
make[4]: Entering directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc'
gnatmake -v -j4 -R -eS  -c -aI../../src/gcc/vhdl --subdirs=vhdl ortho_gcc-main \
 -cargs -g -O2     -gnata -gnaty3befhkmr -gnatwa -gnatwC

GNATMAKE 12.2.0
Copyright (C) 1992-2022, Free Software Foundation, Inc.
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/ortho_gcc-main.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/ortho_gcc.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/ortho_gcc_front.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/ortho_ident.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/ortho_front.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/bug.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/dyn_interning.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/dyn_maps.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/dyn_tables.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/errorout.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/errorout-console.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/flags.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/hash.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/interning.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/libraries.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/name_table.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/options.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans_be.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/translation.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/types.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-configuration.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-errors.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_lib.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-std_package.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-utils.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/simple_io.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/version.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/files_map.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/str_table.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/logging.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/std_names.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/tables.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-scanner.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-tokens.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-dump_tree.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nodes.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-back_end.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-disp_tree.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-lists.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-parse.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/ortho_nodes.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap1.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap12.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap2.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap3.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap4.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap7.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-helpers2.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-rtis.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans_decls.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-canon.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_specs.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-evaluation.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_walk.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_scopes.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_meta.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_priv.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-types.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-flists.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-elocations.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_1164.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_utils.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_assocs.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_decls.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_expr.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_inst.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_names.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_psl.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_stmts.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_utils.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-xrefs.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_gc.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-post_sems.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-prints.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-types.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt-fcvt.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-errors.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nodes_meta.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-hash.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/lists.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-parse_psl.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap5.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap6.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap9.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap8.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap14.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-foreach_non_composite.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-build.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nfas.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nfas-utils.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-rewrites.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-canon_psl.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt-to_strings.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt-types.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nodes_priv.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/flists.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-elocations_meta.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_types.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt-algos.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-subsets.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-math_real.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric_std_unsigned.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_arith.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_misc.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_unsigned.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-vital_timing.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-prints.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-priorities.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans_analyzes.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-cse.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-disp_nfas.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-optimize.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-qm.ali" being checked ...
End of compilation
gnatmake: objects up to date.
gnatmake -v -j4 -R -eS  -o ghdl1 -aI../../src/gcc/vhdl -aOvhdl ortho_gcc-main \
         -cargs -g -O2     -gnata -gnaty3befhkmr -gnatwa -gnatwC \
 -bargs -E \
 -largs --LINK=arm-linux-gnueabihf-g++-12 -no-pie   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  attribs.o vhdl/ortho-lang.o vhdl/grt-cstdio.o \
 libbackend.a libcommon-target.a libcommon.a ../libcpp/libcpp.a ../libdecnumber/libdecnumber.a libcommon.a ../libcpp/libcpp.a   ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a  -lisl -lmpc -lmpfr -lgmp -rdynamic  -lz 

GNATMAKE 12.2.0
Copyright (C) 1992-2022, Free Software Foundation, Inc.
  -> "ghdl1" final executable
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/ortho_gcc-main.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/ortho_gcc.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/ortho_gcc_front.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/ortho_ident.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/ortho_front.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/bug.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/dyn_interning.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/dyn_maps.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/dyn_tables.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/errorout.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/errorout-console.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/flags.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/hash.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/interning.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/libraries.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/name_table.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/options.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans_be.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/translation.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/types.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-configuration.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-errors.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_lib.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-std_package.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-utils.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/simple_io.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/version.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/files_map.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/str_table.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/logging.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/std_names.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/tables.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-scanner.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-tokens.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-dump_tree.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nodes.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-back_end.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-disp_tree.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-lists.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-parse.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/ortho_nodes.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap1.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap12.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap2.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap3.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap4.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap7.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-helpers2.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-rtis.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans_decls.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-canon.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_specs.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-evaluation.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_walk.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_scopes.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_meta.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_priv.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-types.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-flists.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-elocations.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_1164.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_utils.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_assocs.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_decls.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_expr.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_inst.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_names.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_psl.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_stmts.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_utils.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-xrefs.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_gc.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-post_sems.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-prints.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-types.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt-fcvt.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-errors.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nodes_meta.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-hash.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/lists.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-parse_psl.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap5.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap6.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap9.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap8.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap14.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-foreach_non_composite.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-build.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nfas.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nfas-utils.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-rewrites.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-canon_psl.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt-to_strings.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt-types.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nodes_priv.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/flists.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-elocations_meta.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_types.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt-algos.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-subsets.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-math_real.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric_std_unsigned.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_arith.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_misc.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_unsigned.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-vital_timing.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-prints.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-priorities.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans_analyzes.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-cse.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-disp_nfas.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-optimize.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-qm.ali" being checked ...
End of compilation
gnatmake: "ghdl1" up to date.
gnatmake -v -j4 -R -eS  -o ghdl -aI../../src/gcc/vhdl -aI../../src/gcc/vhdl/ghdldrv \
 -aIvhdl -aOvhdl ghdl_gcc \
 -cargs -g -O2     -gnata -gnaty3befhkmr -gnatwa -gnatwC \
 -bargs -E \
         -largs --LINK=arm-linux-gnueabihf-g++-12 -no-pie   -g -O2     -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  \
           vhdl/grt-cstdio.o libcommon.a ../libcpp/libcpp.a   ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a 

GNATMAKE 12.2.0
Copyright (C) 1992-2022, Free Software Foundation, Inc.
  -> "ghdl" final executable
  "ghdl_gcc.ali" being checked ...
  "ghdldrv.ali" being checked ...
  "ghdllocal.ali" being checked ...
  "ghdlmain.ali" being checked ...
  "ghdlprint.ali" being checked ...
  "ghdlsynth_maybe.ali" being checked ...
  "ghdlvpi.ali" being checked ...
  "ghdlxml.ali" being checked ...
  "default_paths.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/dyn_tables.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/errorout.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/files_map.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/flags.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/libraries.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/name_table.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/options.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/simple_io.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/tables.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/types.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-configuration.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-std_package.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/std_names.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/version.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-errors.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-prints.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-scanner.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_lib.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-utils.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/bug.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/errorout-console.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-canon.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-elocations.ali" being checked ...
  "vhdl-formatters.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-parse.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-tokens.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-xrefs.ali" being checked ...
  "ghdlsynth.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-disp_tree.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_meta.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/str_table.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/logging.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-types.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-dump_tree.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nodes.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-back_end.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-lists.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-evaluation.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_walk.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_scopes.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_priv.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-types.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-flists.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_utils.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_utils.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-errors.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nfas.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-prints.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-priorities.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt-fcvt.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_gc.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-post_sems.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-build.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nfas-utils.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-rewrites.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-canon_psl.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_inst.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_specs.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-elocations_meta.ali" being checked ...
  "utils_io.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt-types.ali" being checked ...
  "grt-vstrings.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-parse_psl.ali" being checked ...
  "elab.ali" being checked ...
  "elab-vhdl_context.ali" being checked ...
  "elab-vhdl_insts.ali" being checked ...
  "elab-vhdl_objtypes.ali" being checked ...
  "ghdlcomp.ali" being checked ...
  "netlists.ali" being checked ...
  "netlists-disp_dot.ali" being checked ...
  "netlists-disp_verilog.ali" being checked ...
  "netlists-disp_vhdl.ali" being checked ...
  "netlists-dump.ali" being checked ...
  "netlists-errors.ali" being checked ...
  "netlists-inference.ali" being checked ...
  "synth.ali" being checked ...
  "synth-disp_vhdl.ali" being checked ...
  "synth-flags.ali" being checked ...
  "synth-vhdl_context.ali" being checked ...
  "synthesis.ali" being checked ...
  "vhdl-annotations.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nodes_meta.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-hash.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/lists.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt-to_strings.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_1164.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nodes_priv.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/flists.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-math_real.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric_std_unsigned.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_arith.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_misc.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_unsigned.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-vital_timing.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_assocs.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_decls.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_expr.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_names.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_psl.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_stmts.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-cse.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-disp_nfas.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-optimize.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-qm.ali" being checked ...
  "grt-c.ali" being checked ...
  "elab-vhdl_values.ali" being checked ...
  "elab-vhdl_decls.ali" being checked ...
  "elab-vhdl_errors.ali" being checked ...
  "elab-vhdl_expr.ali" being checked ...
  "elab-vhdl_files.ali" being checked ...
  "elab-vhdl_stmts.ali" being checked ...
  "elab-vhdl_types.ali" being checked ...
  "mutils.ali" being checked ...
  "areapools.ali" being checked ...
  "elab-memtype.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/dyn_maps.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/hash.ali" being checked ...
  "netlists-gates.ali" being checked ...
  "netlists-utils.ali" being checked ...
  "netlists-iterators.ali" being checked ...
  "netlists-locations.ali" being checked ...
  "types_utils.ali" being checked ...
  "netlists-folds.ali" being checked ...
  "netlists-gates_ports.ali" being checked ...
  "netlists-internings.ali" being checked ...
  "netlists-memories.ali" being checked ...
  "synth-errors.ali" being checked ...
  "netlists-builders.ali" being checked ...
  "grt-severity.ali" being checked ...
  "synth-vhdl_expr.ali" being checked ...
  "synth-context.ali" being checked ...
  "synth-vhdl_environment.ali" being checked ...
  "elab-vhdl_values-debug.ali" being checked ...
  "netlists-cleanup.ali" being checked ...
  "netlists-expands.ali" being checked ...
  "synth-vhdl_insts.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_types.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt-algos.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-subsets.ali" being checked ...
  "grt-files_operations.ali" being checked ...
  "elab-debugger.ali" being checked ...
  "elab-vhdl_heap.ali" being checked ...
  "synth-vhdl_aggr.ali" being checked ...
  "synth-vhdl_oper.ali" being checked ...
  "synth-vhdl_stmts.ali" being checked ...
  "grt-stdio.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/dyn_interning.ali" being checked ...
  "netlists-concats.ali" being checked ...
  "synth-source.ali" being checked ...
  "synth-environment.ali" being checked ...
  "synth-environment-debug.ali" being checked ...
  "netlists-butils.ali" being checked ...
  "/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/interning.ali" being checked ...
  "synth-vhdl_decls.ali" being checked ...
  "grt-table.ali" being checked ...
  "synth-static_oper.ali" being checked ...
  "synth-vhdl_static_proc.ali" being checked ...
  "synth-ieee.ali" being checked ...
  "synth-ieee-numeric_std.ali" being checked ...
  "synth-ieee-std_logic_1164.ali" being checked ...
End of compilation
gnatmake: "ghdl" up to date.
/bin/bash ../../src/gcc/../mkinstalldirs /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12
/bin/bash ../../src/gcc/../mkinstalldirs /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12
/bin/bash ../../src/gcc/../mkinstalldirs /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/bin
mkdir -p -- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/bin
/bin/bash ../../src/gcc/../mkinstalldirs /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/include
mkdir -p -- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/include
/bin/bash ../../src/gcc/../mkinstalldirs /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info
mkdir -p -- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info
/bin/bash ../../src/gcc/../mkinstalldirs /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1
mkdir -p -- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1
/bin/bash ../../src/gcc/../mkinstalldirs /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man7
mkdir -p -- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man7
/usr/bin/install -c lto-dump \
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/bin/arm-linux-gnueabihf-lto-dump-12
mkdir /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/bin
mkdir: cannot create directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/bin': File exists
make[4]: [../../src/gcc/vhdl/Make-lang.in:163: vhdl.install-common] Error 1 (ignored)
rm -f /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/bin/ghdl-gcc
/usr/bin/install -c ghdl /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/bin/ghdl-gcc
mkdir /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib
mkdir: cannot create directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib': File exists
make[4]: [../../src/gcc/vhdl/Make-lang.in:166: vhdl.install-common] Error 1 (ignored)
mkdir /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/ghdl
/usr/bin/install -c -m 644 ../libbacktrace/.libs/libbacktrace.a /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/ghdl
for file in gnat1 cc1 cc1plus d21 f951 go1  lto1 cc1gm2 gm2l gm2lcc            gm2lgen gm2lsub gm2cc            gm2lorder cc1obj cc1objplus ghdl1; do \
  if [ -f $file ] ; then \
    rm -f /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/$file; \
    /usr/bin/install -c $file /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/$file; \
  else true; \
  fi; \
done
for file in  collect2 ..; do \
  if [ x"$file" != x.. ]; then \
    rm -f /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/$file; \
    /usr/bin/install -c $file /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/$file; \
  else true; fi; \
done
rm -f /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/specs
if test "" != "yes" ; then \
  if [ -f gcov ]; \
  then \
    rm -f /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/bin/arm-linux-gnueabihf-gcov-12; \
    /usr/bin/install -c gcov /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/bin/arm-linux-gnueabihf-gcov-12; \
  fi; \
fi
if test "" != "yes" ; then \
  if [ -f gcov-tool ]; \
  then \
    rm -f /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/bin/arm-linux-gnueabihf-gcov-tool-12; \
    /usr/bin/install -c \
    gcov-tool /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/bin/arm-linux-gnueabihf-gcov-tool-12; \
  fi; \
fi
if test "" != "yes" ; then \
  if [ -f gcov-dump ]; \
  then \
    rm -f /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/bin/arm-linux-gnueabihf-gcov-dump-12; \
    /usr/bin/install -c \
    gcov-dump /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/bin/arm-linux-gnueabihf-gcov-dump-12; \
  fi; \
fi
/bin/bash ../../src/gcc/../mkinstalldirs /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/include
mkdir -p -- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/include
rm -rf /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/include-fixed
mkdir /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/include-fixed
chmod a+rx /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/include-fixed
(cd `${PWDCMD-pwd}`/include ; \
 tar -cf - .; exit 0) | (cd /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/include; tar xpf - )
(cd `${PWDCMD-pwd}`/include-fixed ; \
 tar -cf - .; exit 0) | (cd /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/include-fixed; tar xpf - )
files=`cd /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/include-fixed; find . -type l -print 2>/dev/null`; \
if [ $? -eq 0 ]; then \
  dir=`cd include-fixed; ${PWDCMD-pwd}`; \
  for i in $files; do \
    dest=`ls -ld /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/include-fixed/$i | sed -n 's/.*-> //p'`; \
    if expr "$dest" : "$dir.*" > /dev/null; then \
      rm -f /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/include-fixed/$i; \
      ln -s `echo $i | sed "s|/[^/]*|/..|g" | sed 's|/..$||'``echo "$dest" | sed "s|$dir||"` /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/include-fixed/$i; \
    fi; \
  done; \
fi
/bin/bash ../../src/gcc/../mkinstalldirs /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/install-tools/include
/bin/bash ../../src/gcc/../mkinstalldirs /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/install-tools
/usr/bin/install -c -m 644 ../../src/gcc/gsyslimits.h \
  /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/install-tools/gsyslimits.h
/usr/bin/install -c -m 644 macro_list /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/install-tools/macro_list
/usr/bin/install -c -m 644 fixinc_list /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/install-tools/fixinc_list
set -e; for ml in `cat fixinc_list`; do \
  multi_dir=`echo ${ml} | sed -e 's/^[^;]*;//'`; \
  /bin/bash ../../src/gcc/../mkinstalldirs /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/install-tools/include${multi_dir}; \
  /usr/bin/install -c -m 644 include-fixed${multi_dir}/limits.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/install-tools/include${multi_dir}/limits.h; \
done
/usr/bin/install -c ../../src/gcc/../mkinstalldirs \
	/<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/install-tools/mkinstalldirs ; \
sysroot_headers_suffix='${sysroot_headers_suffix}'; \
	echo 'SYSTEM_HEADER_DIR="'"`echo /usr/include | sed -e :a -e 's,[^/]*/\.\.\/,,' -e ta`"'"' \
	> /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/install-tools/mkheaders.conf
echo 'OTHER_FIXINCLUDES_DIRS=""' \
	>> /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/install-tools/mkheaders.conf
echo 'STMP_FIXINC="stmp-fixinc"' \
	>> /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/install-tools/mkheaders.conf
if test "" != "yes" ; then \
  rm -f /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/bin/arm-linux-gnueabihf-cpp-12; \
  /usr/bin/install -c -m 755 cpp /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/bin/arm-linux-gnueabihf-cpp-12; \
  if [ x != x ]; then \
    rm -f /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc//arm-linux-gnueabihf-cpp-12; \
    /usr/bin/install -c -m 755 cpp /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc//arm-linux-gnueabihf-cpp-12; \
  else true; fi; \
fi
rm -f /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1/ghdl.1
/usr/bin/install -c -m 644 ../../src/gcc/vhdl/ghdl.1 /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1/ghdl.1
chmod a-x /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1/ghdl.1
rm -f /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1/arm-linux-gnueabihf-gcc-12.1
/usr/bin/install -c -m 644 doc/gcc.1 /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1/arm-linux-gnueabihf-gcc-12.1
chmod a-x /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1/arm-linux-gnueabihf-gcc-12.1
rm -f /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1/arm-linux-gnueabihf-cpp-12.1
/usr/bin/install -c -m 644 ../../src/gcc/doc/cpp.1 /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1/arm-linux-gnueabihf-cpp-12.1
chmod a-x /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1/arm-linux-gnueabihf-cpp-12.1
rm -f /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1/arm-linux-gnueabihf-gcov-12.1
/usr/bin/install -c -m 644 ../../src/gcc/doc/gcov.1 /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1/arm-linux-gnueabihf-gcov-12.1
chmod a-x /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1/arm-linux-gnueabihf-gcov-12.1
rm -f /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1/arm-linux-gnueabihf-gcov-tool-12.1
/usr/bin/install -c -m 644 ../../src/gcc/doc/gcov-tool.1 /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1/arm-linux-gnueabihf-gcov-tool-12.1
chmod a-x /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1/arm-linux-gnueabihf-gcov-tool-12.1
rm -f /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1/arm-linux-gnueabihf-gcov-dump-12.1
/usr/bin/install -c -m 644 ../../src/gcc/doc/gcov-dump.1 /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1/arm-linux-gnueabihf-gcov-dump-12.1
chmod a-x /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1/arm-linux-gnueabihf-gcov-dump-12.1
rm -f /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1/arm-linux-gnueabihf-lto-dump-12.1
/usr/bin/install -c -m 644 doc/lto-dump.1 /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1/arm-linux-gnueabihf-lto-dump-12.1
chmod a-x /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1/arm-linux-gnueabihf-lto-dump-12.1
rm -f /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man7/fsf-funding.7
/usr/bin/install -c -m 644 ../../src/gcc/doc/fsf-funding.7 /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man7/fsf-funding.7
chmod a-x /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man7/fsf-funding.7
rm -f /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man7/gfdl.7
/usr/bin/install -c -m 644 ../../src/gcc/doc/gfdl.7 /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man7/gfdl.7
chmod a-x /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man7/gfdl.7
rm -f /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man7/gpl.7
/usr/bin/install -c -m 644 ../../src/gcc/doc/gpl.7 /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man7/gpl.7
chmod a-x /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man7/gpl.7
rm -f /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/ghdl.info
if [ -f doc/ghdl.info ]; then \
  for f in doc/ghdl.info*; do \
    realfile=`echo $f | sed -e 's|.*/\([^/]*\)$|\1|'`; \
    /usr/bin/install -c -m 644 $f /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/$realfile; \
    chmod a-x /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/$realfile; \
  done; \
else true; fi
if /bin/bash -c 'install-info --version' >/dev/null 2>&1; then \
  if [ -f /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/ghdl.info ]; then \
    install-info --dir-file=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/dir /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/ghdl.info; \
  else true; fi; \
else true; fi;
rm -f /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/cpp.info
if [ -f doc/cpp.info ]; then \
  for f in doc/cpp.info*; do \
    realfile=`echo $f | sed -e 's|.*/\([^/]*\)$|\1|'`; \
    /usr/bin/install -c -m 644 $f /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/$realfile; \
    chmod a-x /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/$realfile; \
  done; \
else true; fi
if /bin/bash -c 'install-info --version' >/dev/null 2>&1; then \
  if [ -f /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/cpp.info ]; then \
    install-info --dir-file=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/dir /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/cpp.info; \
  else true; fi; \
else true; fi;
rm -f /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/gcc.info
if [ -f doc/gcc.info ]; then \
  for f in doc/gcc.info*; do \
    realfile=`echo $f | sed -e 's|.*/\([^/]*\)$|\1|'`; \
    /usr/bin/install -c -m 644 $f /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/$realfile; \
    chmod a-x /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/$realfile; \
  done; \
else true; fi
if /bin/bash -c 'install-info --version' >/dev/null 2>&1; then \
  if [ -f /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/gcc.info ]; then \
    install-info --dir-file=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/dir /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/gcc.info; \
  else true; fi; \
else true; fi;
rm -f /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/cppinternals.info
if [ -f doc/cppinternals.info ]; then \
  for f in doc/cppinternals.info*; do \
    realfile=`echo $f | sed -e 's|.*/\([^/]*\)$|\1|'`; \
    /usr/bin/install -c -m 644 $f /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/$realfile; \
    chmod a-x /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/$realfile; \
  done; \
else true; fi
if /bin/bash -c 'install-info --version' >/dev/null 2>&1; then \
  if [ -f /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/cppinternals.info ]; then \
    install-info --dir-file=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/dir /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/cppinternals.info; \
  else true; fi; \
else true; fi;
rm -f /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/gccinstall.info
if [ -f doc/gccinstall.info ]; then \
  for f in doc/gccinstall.info*; do \
    realfile=`echo $f | sed -e 's|.*/\([^/]*\)$|\1|'`; \
    /usr/bin/install -c -m 644 $f /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/$realfile; \
    chmod a-x /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/$realfile; \
  done; \
else true; fi
if /bin/bash -c 'install-info --version' >/dev/null 2>&1; then \
  if [ -f /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/gccinstall.info ]; then \
    install-info --dir-file=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/dir /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/gccinstall.info; \
  else true; fi; \
else true; fi;
rm -f /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/gccint.info
if [ -f doc/gccint.info ]; then \
  for f in doc/gccint.info*; do \
    realfile=`echo $f | sed -e 's|.*/\([^/]*\)$|\1|'`; \
    /usr/bin/install -c -m 644 $f /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/$realfile; \
    chmod a-x /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/$realfile; \
  done; \
else true; fi
if /bin/bash -c 'install-info --version' >/dev/null 2>&1; then \
  if [ -f /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/gccint.info ]; then \
    install-info --dir-file=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/dir /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/gccint.info; \
  else true; fi; \
else true; fi;
/bin/bash ../../src/gcc/../mkinstalldirs /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share
cats="po/be.gmo po/da.gmo po/de.gmo po/el.gmo po/es.gmo po/fi.gmo po/fr.gmo po/hr.gmo po/id.gmo po/ja.gmo po/nl.gmo po/ru.gmo po/sr.gmo po/sv.gmo po/tr.gmo po/uk.gmo po/vi.gmo po/zh_CN.gmo po/zh_TW.gmo po/be.gmo po/da.gmo po/de.gmo po/el.gmo po/es.gmo po/fi.gmo po/fr.gmo po/hr.gmo po/id.gmo po/ja.gmo po/nl.gmo po/ru.gmo po/sr.gmo po/sv.gmo po/tr.gmo po/uk.gmo po/vi.gmo po/zh_CN.gmo po/zh_TW.gmo"; for cat in $cats; do \
  lang=`basename $cat | sed 's/\.gmo$//'`; \
  if [ -f $cat ]; then :; \
  elif [ -f ../../src/gcc/$cat ]; then cat=../../src/gcc/$cat; \
  else continue; \
  fi; \
  dir=/usr/lib/ghdl/gcc/share/locale/$lang/LC_MESSAGES; \
  echo /bin/bash ../../src/gcc/../mkinstalldirs /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc$dir; \
  /bin/bash ../../src/gcc/../mkinstalldirs /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc$dir || exit 1; \
  echo /usr/bin/install -c -m 644 $cat /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc$dir/gcc-12.mo; \
  /usr/bin/install -c -m 644 $cat /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc$dir/gcc-12.mo; \
done
/bin/bash ../../src/gcc/../mkinstalldirs /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/be/LC_MESSAGES
mkdir -p -- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/be/LC_MESSAGES
/usr/bin/install -c -m 644 ../../src/gcc/po/be.gmo /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/be/LC_MESSAGES/gcc-12.mo
/bin/bash ../../src/gcc/../mkinstalldirs /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/da/LC_MESSAGES
mkdir -p -- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/da/LC_MESSAGES
/usr/bin/install -c -m 644 ../../src/gcc/po/da.gmo /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/da/LC_MESSAGES/gcc-12.mo
/bin/bash ../../src/gcc/../mkinstalldirs /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/de/LC_MESSAGES
mkdir -p -- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/de/LC_MESSAGES
/usr/bin/install -c -m 644 ../../src/gcc/po/de.gmo /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/de/LC_MESSAGES/gcc-12.mo
/bin/bash ../../src/gcc/../mkinstalldirs /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/el/LC_MESSAGES
mkdir -p -- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/el/LC_MESSAGES
/usr/bin/install -c -m 644 ../../src/gcc/po/el.gmo /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/el/LC_MESSAGES/gcc-12.mo
/bin/bash ../../src/gcc/../mkinstalldirs /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/es/LC_MESSAGES
mkdir -p -- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/es/LC_MESSAGES
/usr/bin/install -c -m 644 ../../src/gcc/po/es.gmo /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/es/LC_MESSAGES/gcc-12.mo
/bin/bash ../../src/gcc/../mkinstalldirs /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/fi/LC_MESSAGES
mkdir -p -- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/fi/LC_MESSAGES
/usr/bin/install -c -m 644 ../../src/gcc/po/fi.gmo /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/fi/LC_MESSAGES/gcc-12.mo
/bin/bash ../../src/gcc/../mkinstalldirs /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/fr/LC_MESSAGES
mkdir -p -- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/fr/LC_MESSAGES
/usr/bin/install -c -m 644 ../../src/gcc/po/fr.gmo /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/fr/LC_MESSAGES/gcc-12.mo
/bin/bash ../../src/gcc/../mkinstalldirs /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/hr/LC_MESSAGES
mkdir -p -- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/hr/LC_MESSAGES
/usr/bin/install -c -m 644 ../../src/gcc/po/hr.gmo /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/hr/LC_MESSAGES/gcc-12.mo
/bin/bash ../../src/gcc/../mkinstalldirs /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/id/LC_MESSAGES
mkdir -p -- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/id/LC_MESSAGES
/usr/bin/install -c -m 644 ../../src/gcc/po/id.gmo /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/id/LC_MESSAGES/gcc-12.mo
/bin/bash ../../src/gcc/../mkinstalldirs /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ja/LC_MESSAGES
mkdir -p -- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ja/LC_MESSAGES
/usr/bin/install -c -m 644 ../../src/gcc/po/ja.gmo /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ja/LC_MESSAGES/gcc-12.mo
/bin/bash ../../src/gcc/../mkinstalldirs /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/nl/LC_MESSAGES
mkdir -p -- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/nl/LC_MESSAGES
/usr/bin/install -c -m 644 ../../src/gcc/po/nl.gmo /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/nl/LC_MESSAGES/gcc-12.mo
/bin/bash ../../src/gcc/../mkinstalldirs /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ru/LC_MESSAGES
mkdir -p -- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ru/LC_MESSAGES
/usr/bin/install -c -m 644 ../../src/gcc/po/ru.gmo /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ru/LC_MESSAGES/gcc-12.mo
/bin/bash ../../src/gcc/../mkinstalldirs /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/sr/LC_MESSAGES
mkdir -p -- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/sr/LC_MESSAGES
/usr/bin/install -c -m 644 ../../src/gcc/po/sr.gmo /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/sr/LC_MESSAGES/gcc-12.mo
/bin/bash ../../src/gcc/../mkinstalldirs /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/sv/LC_MESSAGES
mkdir -p -- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/sv/LC_MESSAGES
/usr/bin/install -c -m 644 ../../src/gcc/po/sv.gmo /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/sv/LC_MESSAGES/gcc-12.mo
/bin/bash ../../src/gcc/../mkinstalldirs /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/tr/LC_MESSAGES
mkdir -p -- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/tr/LC_MESSAGES
/usr/bin/install -c -m 644 ../../src/gcc/po/tr.gmo /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/tr/LC_MESSAGES/gcc-12.mo
/bin/bash ../../src/gcc/../mkinstalldirs /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/uk/LC_MESSAGES
mkdir -p -- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/uk/LC_MESSAGES
/usr/bin/install -c -m 644 ../../src/gcc/po/uk.gmo /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/uk/LC_MESSAGES/gcc-12.mo
/bin/bash ../../src/gcc/../mkinstalldirs /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/vi/LC_MESSAGES
mkdir -p -- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/vi/LC_MESSAGES
/usr/bin/install -c -m 644 ../../src/gcc/po/vi.gmo /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/vi/LC_MESSAGES/gcc-12.mo
/bin/bash ../../src/gcc/../mkinstalldirs /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/zh_CN/LC_MESSAGES
mkdir -p -- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/zh_CN/LC_MESSAGES
/usr/bin/install -c -m 644 ../../src/gcc/po/zh_CN.gmo /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/zh_CN/LC_MESSAGES/gcc-12.mo
/bin/bash ../../src/gcc/../mkinstalldirs /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/zh_TW/LC_MESSAGES
mkdir -p -- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/zh_TW/LC_MESSAGES
/usr/bin/install -c -m 644 ../../src/gcc/po/zh_TW.gmo /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/zh_TW/LC_MESSAGES/gcc-12.mo
/bin/bash ../../src/gcc/../mkinstalldirs /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/be/LC_MESSAGES
/usr/bin/install -c -m 644 ../../src/gcc/po/be.gmo /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/be/LC_MESSAGES/gcc-12.mo
/bin/bash ../../src/gcc/../mkinstalldirs /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/da/LC_MESSAGES
/usr/bin/install -c -m 644 ../../src/gcc/po/da.gmo /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/da/LC_MESSAGES/gcc-12.mo
/bin/bash ../../src/gcc/../mkinstalldirs /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/de/LC_MESSAGES
/usr/bin/install -c -m 644 ../../src/gcc/po/de.gmo /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/de/LC_MESSAGES/gcc-12.mo
/bin/bash ../../src/gcc/../mkinstalldirs /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/el/LC_MESSAGES
/usr/bin/install -c -m 644 ../../src/gcc/po/el.gmo /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/el/LC_MESSAGES/gcc-12.mo
/bin/bash ../../src/gcc/../mkinstalldirs /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/es/LC_MESSAGES
/usr/bin/install -c -m 644 ../../src/gcc/po/es.gmo /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/es/LC_MESSAGES/gcc-12.mo
/bin/bash ../../src/gcc/../mkinstalldirs /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/fi/LC_MESSAGES
/usr/bin/install -c -m 644 ../../src/gcc/po/fi.gmo /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/fi/LC_MESSAGES/gcc-12.mo
/bin/bash ../../src/gcc/../mkinstalldirs /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/fr/LC_MESSAGES
/usr/bin/install -c -m 644 ../../src/gcc/po/fr.gmo /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/fr/LC_MESSAGES/gcc-12.mo
/bin/bash ../../src/gcc/../mkinstalldirs /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/hr/LC_MESSAGES
/usr/bin/install -c -m 644 ../../src/gcc/po/hr.gmo /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/hr/LC_MESSAGES/gcc-12.mo
/bin/bash ../../src/gcc/../mkinstalldirs /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/id/LC_MESSAGES
/usr/bin/install -c -m 644 ../../src/gcc/po/id.gmo /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/id/LC_MESSAGES/gcc-12.mo
/bin/bash ../../src/gcc/../mkinstalldirs /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ja/LC_MESSAGES
/usr/bin/install -c -m 644 ../../src/gcc/po/ja.gmo /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ja/LC_MESSAGES/gcc-12.mo
/bin/bash ../../src/gcc/../mkinstalldirs /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/nl/LC_MESSAGES
/usr/bin/install -c -m 644 ../../src/gcc/po/nl.gmo /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/nl/LC_MESSAGES/gcc-12.mo
/bin/bash ../../src/gcc/../mkinstalldirs /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ru/LC_MESSAGES
/usr/bin/install -c -m 644 ../../src/gcc/po/ru.gmo /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ru/LC_MESSAGES/gcc-12.mo
/bin/bash ../../src/gcc/../mkinstalldirs /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/sr/LC_MESSAGES
/usr/bin/install -c -m 644 ../../src/gcc/po/sr.gmo /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/sr/LC_MESSAGES/gcc-12.mo
/bin/bash ../../src/gcc/../mkinstalldirs /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/sv/LC_MESSAGES
/usr/bin/install -c -m 644 ../../src/gcc/po/sv.gmo /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/sv/LC_MESSAGES/gcc-12.mo
/bin/bash ../../src/gcc/../mkinstalldirs /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/tr/LC_MESSAGES
/usr/bin/install -c -m 644 ../../src/gcc/po/tr.gmo /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/tr/LC_MESSAGES/gcc-12.mo
/bin/bash ../../src/gcc/../mkinstalldirs /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/uk/LC_MESSAGES
/usr/bin/install -c -m 644 ../../src/gcc/po/uk.gmo /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/uk/LC_MESSAGES/gcc-12.mo
/bin/bash ../../src/gcc/../mkinstalldirs /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/vi/LC_MESSAGES
/usr/bin/install -c -m 644 ../../src/gcc/po/vi.gmo /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/vi/LC_MESSAGES/gcc-12.mo
/bin/bash ../../src/gcc/../mkinstalldirs /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/zh_CN/LC_MESSAGES
/usr/bin/install -c -m 644 ../../src/gcc/po/zh_CN.gmo /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/zh_CN/LC_MESSAGES/gcc-12.mo
/bin/bash ../../src/gcc/../mkinstalldirs /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/zh_TW/LC_MESSAGES
/usr/bin/install -c -m 644 ../../src/gcc/po/zh_TW.gmo /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/zh_TW/LC_MESSAGES/gcc-12.mo
rm -f /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/bin/arm-linux-gnueabihf-gcc-12
/usr/bin/install -c xgcc /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/bin/arm-linux-gnueabihf-gcc-12
if test "" != "yes" ; then \
  if [ "arm-linux-gnueabihf-gcc-12" != "arm-linux-gnueabihf-gcc-12" ]; then \
    rm -f /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/bin/arm-linux-gnueabihf-gcc-12; \
    ( cd /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/bin && \
      ln arm-linux-gnueabihf-gcc-12 arm-linux-gnueabihf-gcc-12 ); \
  fi; \
  if [ ! -f gcc-cross ] \
      && [ "arm-linux-gnueabihf-gcc-12" != "arm-linux-gnueabihf-arm-linux-gnueabihf-gcc-12" ]; then \
    rm -f /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/bin/arm-linux-gnueabihf-gcc-tmp; \
    ( cd /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/bin && \
      ln arm-linux-gnueabihf-gcc-12 arm-linux-gnueabihf-gcc-tmp && \
      mv -f arm-linux-gnueabihf-gcc-tmp arm-linux-gnueabihf-arm-linux-gnueabihf-gcc-12 ); \
  fi; \
fi
/usr/bin/install -c lto-wrapper /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/lto-wrapper
if test "" != "yes" ; then \
  for i in gcc-ar gcc-nm gcc-ranlib; do \
    install_name=`echo $i|sed 's&$&-12&;s&^&arm-linux-gnueabihf-&'` ;\
    target_install_name=arm-linux-gnueabihf-`echo $i|sed 's&$&-12&;s&^&arm-linux-gnueabihf-&'` ; \
    rm -f /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/bin/$install_name ; \
    /usr/bin/install -c $i /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/bin/$install_name ;\
    if test -f gcc-cross; then \
      :; \
    else \
      rm -f /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/bin/$target_install_name; \
      ( cd /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/bin && \
	ln $install_name $target_install_name ) ; \
    fi ; \
  done; \
fi
rm -f tmp-header-vars
echo USER_H=float.h iso646.h stdarg.h stdbool.h stddef.h varargs.h stdfix.h stdnoreturn.h stdalign.h stdatomic.h config/arm/mmintrin.h arm_neon.h arm_acle.h arm_fp16.h arm_cmse.h arm_bf16.h arm_mve_types.h arm_mve.h arm_cde.h unwind-arm-common.h >> tmp-header-vars; echo T_GLIMITS_H=glimits.h >> tmp-header-vars; echo T_STDINT_GCC_H=stdint-gcc.h >> tmp-header-vars; echo HASHTAB_H=hashtab.h >> tmp-header-vars; echo OBSTACK_H=obstack.h >> tmp-header-vars; echo SPLAY_TREE_H=splay-tree.h >> tmp-header-vars; echo MD5_H=md5.h >> tmp-header-vars; echo XREGEX_H=xregex.h >> tmp-header-vars; echo FNMATCH_H=fnmatch.h >> tmp-header-vars; echo LINKER_PLUGIN_API_H=plugin-api.h >> tmp-header-vars; echo BCONFIG_H=bconfig.h auto-host.h ansidecl.h >> tmp-header-vars; echo CONFIG_H=config.h auto-host.h ansidecl.h >> tmp-header-vars; echo TCONFIG_H=tconfig.h auto-host.h ansidecl.h >> tmp-header-vars; echo TM_P_H=tm_p.h config/arm/arm-flags.h arm-protos.h aarch-common-protos.h linux-protos.h tm-preds.h >> tmp-header-vars; echo TM_D_H=tm_d.h config/arm/arm.h arm-protos.h defaults.h >> tmp-header-vars; echo GTM_H=tm.h options.h config/vxworks-dummy.h dbxelf.h elfos.h gnu-user.h linux.h linux-android.h glibc-stdint.h elf.h linux-gas.h linux-elf.h bpabi.h linux-eabi.h aout.h arm.h initfini-array.h defaults.h insn-constants.h arm-cpu.h arm-isa.h >> tmp-header-vars; echo TM_H=tm.h options.h config/vxworks-dummy.h dbxelf.h elfos.h gnu-user.h linux.h linux-android.h glibc-stdint.h elf.h linux-gas.h linux-elf.h bpabi.h linux-eabi.h aout.h arm.h initfini-array.h defaults.h insn-constants.h arm-cpu.h arm-isa.h insn-flags.h options.h flag-types.h arm-opts.h >> tmp-header-vars; echo DUMPFILE_H=line-map.h dumpfile.h >> tmp-header-vars; echo VEC_H=vec.h statistics.h ggc.h gtype-desc.h statistics.h >> tmp-header-vars; echo HASH_TABLE_H=hashtab.h hash-table.h ggc.h gtype-desc.h statistics.h >> tmp-header-vars; echo EXCEPT_H=except.h hashtab.h >> tmp-header-vars; echo TARGET_H=tm.h options.h config/vxworks-dummy.h dbxelf.h elfos.h gnu-user.h linux.h linux-android.h glibc-stdint.h elf.h linux-gas.h linux-elf.h bpabi.h linux-eabi.h aout.h arm.h initfini-array.h defaults.h insn-constants.h arm-cpu.h arm-isa.h insn-flags.h options.h flag-types.h arm-opts.h target.h target.def target-hooks-macros.h target-insns.def insn-modes.h insn-codes.h >> tmp-header-vars; echo C_TARGET_H=c-family/c-target.h c-family/c-target.def target-hooks-macros.h >> tmp-header-vars; echo COMMON_TARGET_H=common/common-target.h line-map.h input.h common/common-target.def target-hooks-macros.h >> tmp-header-vars; echo D_TARGET_H=d/d-target.h d/d-target.def target-hooks-macros.h >> tmp-header-vars; echo MACHMODE_H=machmode.h mode-classes.def >> tmp-header-vars; echo HOOKS_H=hooks.h >> tmp-header-vars; echo HOSTHOOKS_DEF_H=hosthooks-def.h hooks.h >> tmp-header-vars; echo LANGHOOKS_DEF_H=langhooks-def.h hooks.h >> tmp-header-vars; echo TARGET_DEF_H=target-def.h target-hooks-def.h hooks.h targhooks.h >> tmp-header-vars; echo C_TARGET_DEF_H=c-family/c-target-def.h c-family/c-target-hooks-def.h tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h config/arm/arm-opts.h real.h fixed-value.h tree-check.h c-family/c-common.h c-family/c-common.def tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h arm-opts.h real.h fixed-value.h tree-check.h splay-tree.h line-map.h cpplib.h ggc.h gtype-desc.h statistics.h diagnostic-core.h line-map.h input.h bversion.h diagnostic.def hooks.h common/common-targhooks.h >> tmp-header-vars; echo CORETYPES_H=coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h >> tmp-header-vars; echo RTL_BASE_H=coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h rtl.h rtl.def reg-notes.def insn-notes.def line-map.h input.h real.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h fixed-value.h alias.h hashtab.h >> tmp-header-vars; echo FIXED_VALUE_H=fixed-value.h >> tmp-header-vars; echo RTL_H=coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h rtl.h rtl.def reg-notes.def insn-notes.def line-map.h input.h real.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h fixed-value.h alias.h hashtab.h flags.h flag-types.h options.h flag-types.h config/arm/arm-opts.h genrtl.h >> tmp-header-vars; echo READ_MD_H=obstack.h hashtab.h read-md.h >> tmp-header-vars; echo INTERNAL_FN_H=internal-fn.h internal-fn.def >> tmp-header-vars; echo TREE_CORE_H=tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h config/arm/arm-opts.h real.h fixed-value.h >> tmp-header-vars; echo TREE_H=tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h config/arm/arm-opts.h real.h fixed-value.h tree-check.h >> tmp-header-vars; echo REGSET_H=regset.h bitmap.h hashtab.h statistics.h hard-reg-set.h >> tmp-header-vars; echo BASIC_BLOCK_H=basic-block.h predict.h predict.def vec.h statistics.h ggc.h gtype-desc.h statistics.h function.h hashtab.h tm.h options.h config/vxworks-dummy.h dbxelf.h elfos.h gnu-user.h linux.h linux-android.h glibc-stdint.h elf.h linux-gas.h linux-elf.h bpabi.h linux-eabi.h aout.h arm.h initfini-array.h defaults.h insn-constants.h arm-cpu.h arm-isa.h insn-flags.h options.h flag-types.h arm-opts.h hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cfg-flags.def cfghooks.h profile-count.h >> tmp-header-vars; echo GIMPLE_H=gimple.h gimple.def gsstruct.def vec.h statistics.h ggc.h gtype-desc.h statistics.h ggc.h gtype-desc.h statistics.h basic-block.h predict.h predict.def vec.h statistics.h ggc.h gtype-desc.h statistics.h function.h hashtab.h tm.h options.h config/vxworks-dummy.h dbxelf.h elfos.h gnu-user.h linux.h linux-android.h glibc-stdint.h elf.h linux-gas.h linux-elf.h bpabi.h linux-eabi.h aout.h arm.h initfini-array.h defaults.h insn-constants.h arm-cpu.h arm-isa.h insn-flags.h options.h flag-types.h arm-opts.h hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cfg-flags.def cfghooks.h profile-count.h tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h arm-opts.h real.h fixed-value.h tree-check.h tree-ssa-operands.h tree-ssa-alias.h internal-fn.h internal-fn.def hashtab.h hash-table.h ggc.h gtype-desc.h statistics.h is-a.h >> tmp-header-vars; echo GCOV_IO_H=gcov-io.h version.h auto-host.h gcov-counter.def >> tmp-header-vars; echo RECOG_H=recog.h >> tmp-header-vars; echo EMIT_RTL_H=emit-rtl.h >> tmp-header-vars; echo FLAGS_H=flags.h flag-types.h options.h flag-types.h config/arm/arm-opts.h >> tmp-header-vars; echo OPTIONS_H=options.h flag-types.h config/arm/arm-opts.h >> tmp-header-vars; echo FUNCTION_H=function.h hashtab.h tm.h options.h config/vxworks-dummy.h dbxelf.h elfos.h gnu-user.h linux.h linux-android.h glibc-stdint.h elf.h linux-gas.h linux-elf.h bpabi.h linux-eabi.h aout.h arm.h initfini-array.h defaults.h insn-constants.h arm-cpu.h arm-isa.h insn-flags.h options.h flag-types.h arm-opts.h hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h >> tmp-header-vars; echo EXPR_H=expr.h insn-config.h function.h hashtab.h tm.h options.h config/vxworks-dummy.h dbxelf.h elfos.h gnu-user.h linux.h linux-android.h glibc-stdint.h elf.h linux-gas.h linux-elf.h bpabi.h linux-eabi.h aout.h arm.h initfini-array.h defaults.h insn-constants.h arm-cpu.h arm-isa.h insn-flags.h options.h flag-types.h arm-opts.h hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h rtl.h rtl.def reg-notes.def insn-notes.def line-map.h input.h real.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h fixed-value.h alias.h hashtab.h flags.h flag-types.h options.h flag-types.h arm-opts.h genrtl.h flags.h flag-types.h options.h flag-types.h arm-opts.h tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h arm-opts.h real.h fixed-value.h tree-check.h emit-rtl.h >> tmp-header-vars; echo OPTABS_H=optabs.h insn-codes.h insn-opinit.h >> tmp-header-vars; echo REGS_H=regs.h hard-reg-set.h >> tmp-header-vars; echo CFGLOOP_H=cfgloop.h basic-block.h predict.h predict.def vec.h statistics.h ggc.h gtype-desc.h statistics.h function.h hashtab.h tm.h options.h config/vxworks-dummy.h dbxelf.h elfos.h gnu-user.h linux.h linux-android.h glibc-stdint.h elf.h linux-gas.h linux-elf.h bpabi.h linux-eabi.h aout.h arm.h initfini-array.h defaults.h insn-constants.h arm-cpu.h arm-isa.h insn-flags.h options.h flag-types.h arm-opts.h hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cfg-flags.def cfghooks.h profile-count.h bitmap.h hashtab.h statistics.h sbitmap.h >> tmp-header-vars; echo IPA_UTILS_H=ipa-utils.h tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h config/arm/arm-opts.h real.h fixed-value.h tree-check.h cgraph.h vec.h statistics.h ggc.h gtype-desc.h statistics.h tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h arm-opts.h real.h fixed-value.h tree-check.h basic-block.h predict.h predict.def vec.h statistics.h ggc.h gtype-desc.h statistics.h function.h hashtab.h tm.h options.h vxworks-dummy.h dbxelf.h elfos.h gnu-user.h linux.h linux-android.h glibc-stdint.h elf.h linux-gas.h linux-elf.h bpabi.h linux-eabi.h aout.h arm.h initfini-array.h defaults.h insn-constants.h arm-cpu.h arm-isa.h insn-flags.h options.h flag-types.h arm-opts.h hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cfg-flags.def cfghooks.h profile-count.h function.h hashtab.h tm.h options.h vxworks-dummy.h dbxelf.h elfos.h gnu-user.h linux.h linux-android.h glibc-stdint.h elf.h linux-gas.h linux-elf.h bpabi.h linux-eabi.h aout.h arm.h initfini-array.h defaults.h insn-constants.h arm-cpu.h arm-isa.h insn-flags.h options.h flag-types.h arm-opts.h hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cif-code.def ipa-ref.h plugin-api.h is-a.h >> tmp-header-vars; echo IPA_REFERENCE_H=ipa-reference.h bitmap.h hashtab.h statistics.h tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h config/arm/arm-opts.h real.h fixed-value.h tree-check.h >> tmp-header-vars; echo CGRAPH_H=cgraph.h vec.h statistics.h ggc.h gtype-desc.h statistics.h tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h config/arm/arm-opts.h real.h fixed-value.h tree-check.h basic-block.h predict.h predict.def vec.h statistics.h ggc.h gtype-desc.h statistics.h function.h hashtab.h tm.h options.h vxworks-dummy.h dbxelf.h elfos.h gnu-user.h linux.h linux-android.h glibc-stdint.h elf.h linux-gas.h linux-elf.h bpabi.h linux-eabi.h aout.h arm.h initfini-array.h defaults.h insn-constants.h arm-cpu.h arm-isa.h insn-flags.h options.h flag-types.h arm-opts.h hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cfg-flags.def cfghooks.h profile-count.h function.h hashtab.h tm.h options.h vxworks-dummy.h dbxelf.h elfos.h gnu-user.h linux.h linux-android.h glibc-stdint.h elf.h linux-gas.h linux-elf.h bpabi.h linux-eabi.h aout.h arm.h initfini-array.h defaults.h insn-constants.h arm-cpu.h arm-isa.h insn-flags.h options.h flag-types.h arm-opts.h hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cif-code.def ipa-ref.h plugin-api.h is-a.h >> tmp-header-vars; echo DF_H=df.h bitmap.h hashtab.h statistics.h regset.h bitmap.h hashtab.h statistics.h hard-reg-set.h sbitmap.h basic-block.h predict.h predict.def vec.h statistics.h ggc.h gtype-desc.h statistics.h function.h hashtab.h tm.h options.h config/vxworks-dummy.h dbxelf.h elfos.h gnu-user.h linux.h linux-android.h glibc-stdint.h elf.h linux-gas.h linux-elf.h bpabi.h linux-eabi.h aout.h arm.h initfini-array.h defaults.h insn-constants.h arm-cpu.h arm-isa.h insn-flags.h options.h flag-types.h arm-opts.h hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cfg-flags.def cfghooks.h profile-count.h alloc-pool.h timevar.h timevar.def >> tmp-header-vars; echo RESOURCE_H=resource.h hard-reg-set.h df.h bitmap.h hashtab.h statistics.h regset.h bitmap.h hashtab.h statistics.h hard-reg-set.h sbitmap.h basic-block.h predict.h predict.def vec.h statistics.h ggc.h gtype-desc.h statistics.h function.h hashtab.h tm.h options.h config/vxworks-dummy.h dbxelf.h elfos.h gnu-user.h linux.h linux-android.h glibc-stdint.h elf.h linux-gas.h linux-elf.h bpabi.h linux-eabi.h aout.h arm.h initfini-array.h defaults.h insn-constants.h arm-cpu.h arm-isa.h insn-flags.h options.h flag-types.h arm-opts.h hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cfg-flags.def cfghooks.h profile-count.h alloc-pool.h timevar.h timevar.def >> tmp-header-vars; echo GCC_H=gcc.h version.h diagnostic-core.h line-map.h input.h bversion.h diagnostic.def >> tmp-header-vars; echo GGC_H=ggc.h gtype-desc.h statistics.h >> tmp-header-vars; echo TIMEVAR_H=timevar.h timevar.def >> tmp-header-vars; echo INSN_ATTR_H=insn-attr.h insn-attr-common.h insn-addr.h >> tmp-header-vars; echo INSN_ADDR_H=insn-addr.h >> tmp-header-vars; echo C_COMMON_H=c-family/c-common.h c-family/c-common.def tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h config/arm/arm-opts.h real.h fixed-value.h tree-check.h splay-tree.h line-map.h cpplib.h ggc.h gtype-desc.h statistics.h diagnostic-core.h line-map.h input.h bversion.h diagnostic.def >> tmp-header-vars; echo C_PRAGMA_H=c-family/c-pragma.h line-map.h cpplib.h >> tmp-header-vars; echo C_TREE_H=c/c-tree.h c-family/c-common.h c-family/c-common.def tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h config/arm/arm-opts.h real.h fixed-value.h tree-check.h splay-tree.h line-map.h cpplib.h ggc.h gtype-desc.h statistics.h diagnostic-core.h line-map.h input.h bversion.h diagnostic.def diagnostic.h diagnostic-core.h line-map.h input.h bversion.h diagnostic.def pretty-print.h line-map.h input.h obstack.h wide-int-print.h >> tmp-header-vars; echo SYSTEM_H=system.h hwint.h libiberty.h safe-ctype.h filenames.h >> tmp-header-vars; echo PREDICT_H=predict.h predict.def >> tmp-header-vars; echo CPPLIB_H=line-map.h cpplib.h >> tmp-header-vars; echo CODYLIB_H=cody.hh >> tmp-header-vars; echo INPUT_H=line-map.h input.h >> tmp-header-vars; echo OPTS_H=line-map.h input.h vec.h statistics.h ggc.h gtype-desc.h statistics.h opts.h obstack.h >> tmp-header-vars; echo SYMTAB_H=symtab.h obstack.h >> tmp-header-vars; echo CPP_INTERNAL_H=internal.h >> tmp-header-vars; echo TREE_DUMP_H=tree-dump.h splay-tree.h line-map.h dumpfile.h >> tmp-header-vars; echo TREE_PASS_H=tree-pass.h timevar.h timevar.def line-map.h dumpfile.h >> tmp-header-vars; echo TREE_SSA_H=tree-ssa.h tree-ssa-operands.h bitmap.h hashtab.h statistics.h sbitmap.h basic-block.h predict.h predict.def vec.h statistics.h ggc.h gtype-desc.h statistics.h function.h hashtab.h tm.h options.h config/vxworks-dummy.h dbxelf.h elfos.h gnu-user.h linux.h linux-android.h glibc-stdint.h elf.h linux-gas.h linux-elf.h bpabi.h linux-eabi.h aout.h arm.h initfini-array.h defaults.h insn-constants.h arm-cpu.h arm-isa.h insn-flags.h options.h flag-types.h arm-opts.h hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cfg-flags.def cfghooks.h profile-count.h gimple.h gimple.def gsstruct.def vec.h statistics.h ggc.h gtype-desc.h statistics.h ggc.h gtype-desc.h statistics.h basic-block.h predict.h predict.def vec.h statistics.h ggc.h gtype-desc.h statistics.h function.h hashtab.h tm.h options.h vxworks-dummy.h dbxelf.h elfos.h gnu-user.h linux.h linux-android.h glibc-stdint.h elf.h linux-gas.h linux-elf.h bpabi.h linux-eabi.h aout.h arm.h initfini-array.h defaults.h insn-constants.h arm-cpu.h arm-isa.h insn-flags.h options.h flag-types.h arm-opts.h hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cfg-flags.def cfghooks.h profile-count.h tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h arm-opts.h real.h fixed-value.h tree-check.h tree-ssa-operands.h tree-ssa-alias.h internal-fn.h internal-fn.def hashtab.h hash-table.h ggc.h gtype-desc.h statistics.h is-a.h hashtab.h cgraph.h vec.h statistics.h ggc.h gtype-desc.h statistics.h tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h arm-opts.h real.h fixed-value.h tree-check.h basic-block.h predict.h predict.def vec.h statistics.h ggc.h gtype-desc.h statistics.h function.h hashtab.h tm.h options.h vxworks-dummy.h dbxelf.h elfos.h gnu-user.h linux.h linux-android.h glibc-stdint.h elf.h linux-gas.h linux-elf.h bpabi.h linux-eabi.h aout.h arm.h initfini-array.h defaults.h insn-constants.h arm-cpu.h arm-isa.h insn-flags.h options.h flag-types.h arm-opts.h hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cfg-flags.def cfghooks.h profile-count.h function.h hashtab.h tm.h options.h vxworks-dummy.h dbxelf.h elfos.h gnu-user.h linux.h linux-android.h glibc-stdint.h elf.h linux-gas.h linux-elf.h bpabi.h linux-eabi.h aout.h arm.h initfini-array.h defaults.h insn-constants.h arm-cpu.h arm-isa.h insn-flags.h options.h flag-types.h arm-opts.h hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cif-code.def ipa-ref.h plugin-api.h is-a.h ipa-reference.h bitmap.h hashtab.h statistics.h tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h arm-opts.h real.h fixed-value.h tree-check.h tree-ssa-alias.h >> tmp-header-vars; echo PRETTY_PRINT_H=pretty-print.h line-map.h input.h obstack.h wide-int-print.h >> tmp-header-vars; echo TREE_PRETTY_PRINT_H=tree-pretty-print.h pretty-print.h line-map.h input.h obstack.h wide-int-print.h >> tmp-header-vars; echo GIMPLE_PRETTY_PRINT_H=gimple-pretty-print.h tree-pretty-print.h pretty-print.h line-map.h input.h obstack.h wide-int-print.h >> tmp-header-vars; echo DIAGNOSTIC_CORE_H=diagnostic-core.h line-map.h input.h bversion.h diagnostic.def >> tmp-header-vars; echo DIAGNOSTIC_H=diagnostic.h diagnostic-core.h line-map.h input.h bversion.h diagnostic.def pretty-print.h line-map.h input.h obstack.h wide-int-print.h >> tmp-header-vars; echo C_PRETTY_PRINT_H=c-family/c-pretty-print.h pretty-print.h line-map.h input.h obstack.h wide-int-print.h c-family/c-common.h c-family/c-common.def tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h config/arm/arm-opts.h real.h fixed-value.h tree-check.h splay-tree.h line-map.h cpplib.h ggc.h gtype-desc.h statistics.h diagnostic-core.h line-map.h input.h bversion.h diagnostic.def tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h arm-opts.h real.h fixed-value.h tree-check.h >> tmp-header-vars; echo TREE_INLINE_H=tree-inline.h >> tmp-header-vars; echo REAL_H=real.h >> tmp-header-vars; echo LTO_STREAMER_H=lto-streamer.h plugin-api.h tm.h options.h config/vxworks-dummy.h dbxelf.h elfos.h gnu-user.h linux.h linux-android.h glibc-stdint.h elf.h linux-gas.h linux-elf.h bpabi.h linux-eabi.h aout.h arm.h initfini-array.h defaults.h insn-constants.h arm-cpu.h arm-isa.h insn-flags.h options.h flag-types.h arm-opts.h target.h target.def target-hooks-macros.h target-insns.def insn-modes.h insn-codes.h cgraph.h vec.h statistics.h ggc.h gtype-desc.h statistics.h tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h arm-opts.h real.h fixed-value.h tree-check.h basic-block.h predict.h predict.def vec.h statistics.h ggc.h gtype-desc.h statistics.h function.h hashtab.h tm.h options.h vxworks-dummy.h dbxelf.h elfos.h gnu-user.h linux.h linux-android.h glibc-stdint.h elf.h linux-gas.h linux-elf.h bpabi.h linux-eabi.h aout.h arm.h initfini-array.h defaults.h insn-constants.h arm-cpu.h arm-isa.h insn-flags.h options.h flag-types.h arm-opts.h hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cfg-flags.def cfghooks.h profile-count.h function.h hashtab.h tm.h options.h vxworks-dummy.h dbxelf.h elfos.h gnu-user.h linux.h linux-android.h glibc-stdint.h elf.h linux-gas.h linux-elf.h bpabi.h linux-eabi.h aout.h arm.h initfini-array.h defaults.h insn-constants.h arm-cpu.h arm-isa.h insn-flags.h options.h flag-types.h arm-opts.h hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cif-code.def ipa-ref.h plugin-api.h is-a.h vec.h statistics.h ggc.h gtype-desc.h statistics.h hashtab.h hash-table.h ggc.h gtype-desc.h statistics.h tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h arm-opts.h real.h fixed-value.h tree-check.h gimple.h gimple.def gsstruct.def vec.h statistics.h ggc.h gtype-desc.h statistics.h ggc.h gtype-desc.h statistics.h basic-block.h predict.h predict.def vec.h statistics.h ggc.h gtype-desc.h statistics.h function.h hashtab.h tm.h options.h vxworks-dummy.h dbxelf.h elfos.h gnu-user.h linux.h linux-android.h glibc-stdint.h elf.h linux-gas.h linux-elf.h bpabi.h linux-eabi.h aout.h arm.h initfini-array.h defaults.h insn-constants.h arm-cpu.h arm-isa.h insn-flags.h options.h flag-types.h arm-opts.h hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cfg-flags.def cfghooks.h profile-count.h tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h arm-opts.h real.h fixed-value.h tree-check.h tree-ssa-operands.h tree-ssa-alias.h internal-fn.h internal-fn.def hashtab.h hash-table.h ggc.h gtype-desc.h statistics.h is-a.h gcov-io.h version.h auto-host.h gcov-counter.def diagnostic.h diagnostic-core.h line-map.h input.h bversion.h diagnostic.def pretty-print.h line-map.h input.h obstack.h wide-int-print.h alloc-pool.h >> tmp-header-vars; echo IPA_PROP_H=ipa-prop.h tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h config/arm/arm-opts.h real.h fixed-value.h tree-check.h vec.h statistics.h ggc.h gtype-desc.h statistics.h cgraph.h vec.h statistics.h ggc.h gtype-desc.h statistics.h tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h arm-opts.h real.h fixed-value.h tree-check.h basic-block.h predict.h predict.def vec.h statistics.h ggc.h gtype-desc.h statistics.h function.h hashtab.h tm.h options.h vxworks-dummy.h dbxelf.h elfos.h gnu-user.h linux.h linux-android.h glibc-stdint.h elf.h linux-gas.h linux-elf.h bpabi.h linux-eabi.h aout.h arm.h initfini-array.h defaults.h insn-constants.h arm-cpu.h arm-isa.h insn-flags.h options.h flag-types.h arm-opts.h hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cfg-flags.def cfghooks.h profile-count.h function.h hashtab.h tm.h options.h vxworks-dummy.h dbxelf.h elfos.h gnu-user.h linux.h linux-android.h glibc-stdint.h elf.h linux-gas.h linux-elf.h bpabi.h linux-eabi.h aout.h arm.h initfini-array.h defaults.h insn-constants.h arm-cpu.h arm-isa.h insn-flags.h options.h flag-types.h arm-opts.h hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cif-code.def ipa-ref.h plugin-api.h is-a.h gimple.h gimple.def gsstruct.def vec.h statistics.h ggc.h gtype-desc.h statistics.h ggc.h gtype-desc.h statistics.h basic-block.h predict.h predict.def vec.h statistics.h ggc.h gtype-desc.h statistics.h function.h hashtab.h tm.h options.h vxworks-dummy.h dbxelf.h elfos.h gnu-user.h linux.h linux-android.h glibc-stdint.h elf.h linux-gas.h linux-elf.h bpabi.h linux-eabi.h aout.h arm.h initfini-array.h defaults.h insn-constants.h arm-cpu.h arm-isa.h insn-flags.h options.h flag-types.h arm-opts.h hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cfg-flags.def cfghooks.h profile-count.h tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h arm-opts.h real.h fixed-value.h tree-check.h tree-ssa-operands.h tree-ssa-alias.h internal-fn.h internal-fn.def hashtab.h hash-table.h ggc.h gtype-desc.h statistics.h is-a.h alloc-pool.h >> tmp-header-vars; echo BITMAP_H=bitmap.h hashtab.h statistics.h >> tmp-header-vars; echo GCC_PLUGIN_H=gcc-plugin.h highlev-plugin-common.h plugin.def config.h auto-host.h ansidecl.h system.h hwint.h libiberty.h safe-ctype.h filenames.h hashtab.h >> tmp-header-vars; echo PLUGIN_H=plugin.h gcc-plugin.h highlev-plugin-common.h plugin.def config.h auto-host.h ansidecl.h system.h hwint.h libiberty.h safe-ctype.h filenames.h hashtab.h >> tmp-header-vars; echo PLUGIN_VERSION_H=plugin-version.h configargs.h >> tmp-header-vars; echo CONTEXT_H=context.h >> tmp-header-vars; echo GENSUPPORT_H=gensupport.h read-md.h optabs.def >> tmp-header-vars; echo RTL_SSA_H=pretty-print.h line-map.h input.h obstack.h wide-int-print.h insn-config.h splay-tree-utils.h recog.h regs.h hard-reg-set.h function-abi.h obstack-utils.h mux-utils.h rtlanal.h memmodel.h emit-rtl.h rtl-ssa/accesses.h rtl-ssa/insns.h rtl-ssa/blocks.h rtl-ssa/changes.h rtl-ssa/functions.h rtl-ssa/is-a.inl rtl-ssa/access-utils.h rtl-ssa/insn-utils.h rtl-ssa/movement.h rtl-ssa/change-utils.h rtl-ssa/member-fns.inl >> tmp-header-vars; echo GTFILES_H=gt-coverage.h gt-symtab-thunks.h gt-caller-save.h gt-symtab.h gt-alias.h gt-bitmap.h gt-cselib.h gt-cgraph.h gt-ipa-prop.h gt-ipa-cp.h gt-ipa-sra.h gt-dbxout.h gt-ipa-modref.h gt-diagnostic-spec.h gt-dwarf2asm.h gt-dwarf2cfi.h gt-dwarf2ctf.h gt-dwarf2out.h gt-ctfout.h gt-btfout.h gt-tree-vect-generic.h gt-gimple-isel.h gt-dojump.h gt-emit-rtl.h gt-explow.h gt-expr.h gt-function.h gt-except.h gt-ggc-tests.h gt-gcse.h gt-godump.h gt-lists.h gt-optabs-libfuncs.h gt-profile.h gt-mcf.h gt-reg-stack.h gt-cfgrtl.h gt-stor-layout.h gt-stringpool.h gt-tree.h gt-varasm.h gt-tree-ssanames.h gt-tree-eh.h gt-tree-ssa-address.h gt-tree-cfg.h gt-tree-ssa-loop-ivopts.h gt-tree-dfa.h gt-tree-iterator.h gt-gimple-expr.h gt-tree-scalar-evolution.h gt-tree-profile.h gt-tree-nested.h gt-omp-general.h gt-omp-low.h gt-targhooks.h gt-arm.h gt-passes.h gt-cgraphclones.h gt-tree-phinodes.h gt-trans-mem.h gt-vtable-verify.h gt-asan.h gt-ubsan.h gt-tsan.h gt-sanopt.h gt-sancov.h gt-ipa-devirt.h gt-calls.h gt-arm-builtins.h gt-arm-mve-builtins.h gt-ada-decl.h gt-ada-trans.h gt-ada-utils.h gt-ada-misc.h gt-c-c-lang.h gt-c-c-decl.h gt-c-family-c-common.h gt-c-family-c-cppbuiltin.h gt-c-family-c-pragma.h gt-c-family-c-format.h gt-c-c-objc-common.h gt-c-c-parser.h gt-c-family-c-common.h gt-c-family-c-format.h gt-c-family-c-cppbuiltin.h gt-c-family-c-pragma.h gt-cp-call.h gt-cp-class.h gt-cp-constexpr.h gt-cp-constraint.h gt-cp-coroutines.h gt-cp-cp-gimplify.h gt-cp-cp-lang.h gt-cp-cp-objcp-common.h gt-cp-decl.h gt-cp-decl2.h gt-cp-except.h gt-cp-friend.h gt-cp-init.h gt-cp-lambda.h gt-cp-lex.h gt-cp-logic.h gt-cp-mangle.h gt-cp-method.h gt-cp-module.h gt-cp-name-lookup.h gt-cp-parser.h gt-cp-pt.h gt-cp-rtti.h gt-cp-semantics.h gt-cp-tree.h gt-cp-typeck2.h gt-cp-vtable-class-hierarchy.h gt-d-d-builtins.h gt-d-d-lang.h gt-d-typeinfo.h gt-fortran-f95-lang.h gt-fortran-trans-decl.h gt-fortran-trans-intrinsic.h gt-fortran-trans-io.h gt-fortran-trans-stmt.h gt-fortran-trans-types.h gt-go-go-lang.h gt-jit-dummy-frontend.h gt-lto-lto-lang.h gt-lto-lto.h gt-lto-lto-common.h gt-lto-lto-dump.h gt-m2-gm2-lang.h gt-m2-rtegraph.h gt-m2-m2block.h gt-m2-m2builtins.h gt-m2-m2decl.h gt-m2-m2except.h gt-m2-m2expr.h gt-m2-m2statement.h gt-m2-m2type.h gt-objc-objc-act.h gt-objc-objc-runtime-shared-support.h gt-objc-objc-gnu-runtime-abi-01.h gt-objc-objc-next-runtime-abi-01.h gt-objc-objc-next-runtime-abi-02.h gt-c-c-parser.h gt-c-c-decl.h gt-c-c-objc-common.h gt-c-family-c-common.h gt-c-family-c-cppbuiltin.h gt-c-family-c-pragma.h gt-c-family-c-format.h gt-c-family-c-common.h gt-c-family-c-format.h gt-c-family-c-cppbuiltin.h gt-c-family-c-pragma.h gt-cp-call.h gt-cp-class.h gt-cp-constexpr.h gt-cp-constraint.h gt-cp-coroutines.h gt-cp-cp-gimplify.h gt-objcp-objcp-lang.h gt-cp-cp-objcp-common.h gt-cp-decl.h gt-cp-decl2.h gt-cp-except.h gt-cp-friend.h gt-cp-init.h gt-cp-lambda.h gt-cp-lex.h gt-cp-logic.h gt-cp-mangle.h gt-cp-method.h gt-cp-module.h gt-cp-name-lookup.h gt-cp-parser.h gt-cp-pt.h gt-cp-rtti.h gt-cp-semantics.h gt-cp-tree.h gt-cp-typeck2.h gt-cp-vtable-class-hierarchy.h gt-objc-objc-act.h gt-objc-objc-gnu-runtime-abi-01.h gt-objc-objc-next-runtime-abi-01.h gt-objc-objc-next-runtime-abi-02.h gt-objc-objc-runtime-shared-support.h >> tmp-header-vars; echo GTFILES_LANG_H=gtype-ada.h gtype-c.h gtype-cp.h gtype-d.h gtype-fortran.h gtype-go.h gtype-jit.h gtype-lto.h gtype-m2.h gtype-objc.h gtype-objcp.h gtype-vhdl.h >> tmp-header-vars;
/bin/bash ../../src/gcc/../move-if-change tmp-header-vars b-header-vars
echo timestamp > s-header-vars
/bin/bash ../../src/gcc/../mkinstalldirs /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin
mkdir -p -- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin
/usr/bin/install -c -m 644 gtype.state /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/gtype.state
/bin/bash ../../src/gcc/../mkinstalldirs /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin
/usr/bin/install -c gengtype /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/gengtype
/bin/bash ../../src/gcc/../mkinstalldirs /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include
mkdir -p -- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include
headers=`echo ../../src/gcc/../include/ansidecl.h ../../src/gcc/../include/filenames.h ../../src/gcc/../include/gomp-constants.h ../../src/gcc/../include/hashtab.h ../../src/gcc/../include/libiberty.h ../../src/gcc/../include/md5.h ../../src/gcc/../include/obstack.h ../../src/gcc/../include/plugin-api.h ../../src/gcc/../include/safe-ctype.h ../../src/gcc/../include/splay-tree.h ../../src/gcc/../libcpp/include/cpplib.h ../../src/gcc/../libcpp/include/line-map.h ../../src/gcc/../libcpp/include/symtab.h ../../src/gcc/ada/gcc-interface/ada-tree.def ../../src/gcc/config/arm/aarch-common-protos.h ../../src/gcc/config/arm/aout.h ../../src/gcc/config/arm/arm-flags.h ../../src/gcc/config/arm/arm-opts.h ../../src/gcc/config/arm/arm-protos.h ../../src/gcc/config/arm/arm.h ../../src/gcc/config/arm/bpabi.h ../../src/gcc/config/arm/elf.h ../../src/gcc/config/arm/linux-eabi.h ../../src/gcc/config/arm/linux-elf.h ../../src/gcc/config/arm/linux-gas.h ../../src/gcc/config/dbxelf.h ../../src/gcc/config/elfos.h ../../src/gcc/config/glibc-stdint.h ../../src/gcc/config/gnu-user.h ../../src/gcc/config/initfini-array.h ../../src/gcc/config/linux-android.h ../../src/gcc/config/linux-protos.h ../../src/gcc/config/linux.h ../../src/gcc/config/vxworks-dummy.h ../../src/gcc/cp/cp-tree.def ../../src/gcc/d/d-tree.def ../../src/gcc/defaults.h ../../src/gcc/insn-addr.h ../../src/gcc/m2/m2-tree.def ../../src/gcc/objc/objc-tree.def alias.h all-tree.def alloc-pool.h ansidecl.h arm-cpu.h arm-isa.h attribs.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-family/c-common.def c-family/c-common.h c-family/c-objc.h c-family/c-pragma.h c-family/c-pretty-print.h c/c-tree.h calls.h cfg-flags.def cfg.h cfganal.h cfgbuild.h cfgcleanup.h cfgexpand.h cfghooks.h cfgloop.h cfgloopmanip.h cfgrtl.h cgraph.h cif-code.def config.h config/arm/aarch-common-protos.h config/arm/aout.h config/arm/arm-flags.h config/arm/arm-protos.h config/arm/arm.h config/arm/bpabi.h config/arm/elf.h config/arm/linux-eabi.h config/arm/linux-elf.h config/arm/linux-gas.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/gnu-user.h config/initfini-array.h config/linux-android.h config/linux-protos.h config/linux.h config/vxworks-dummy.h configargs.h context.h coretypes.h cppdefault.h debug.h defaults.h df.h diagnostic-color.h diagnostic-core.h diagnostic.def diagnostic.h dominance.h double-int.h dumpfile.h emit-rtl.h except.h expr.h file-prefix-map.h fixed-value.h flag-types.h flags.h fold-const-call.h fold-const.h function.h gcc-plugin.h gcc-symtab.h genrtl.h ggc.h gimple-builder.h gimple-expr.h gimple-fold.h gimple-iterator.h gimple-low.h gimple-pretty-print.h gimple-ssa.h gimple-walk.h gimple.def gimple.h gimplify-me.h gimplify.h gsstruct.def gtm-builtins.def gtype-desc.h hard-reg-set.h hash-map.h hash-set.h hash-table.h highlev-plugin-common.h hwint.h inchash.h incpath.h input.h insn-attr-common.h insn-attr.h insn-codes.h insn-config.h insn-constants.h insn-flags.h insn-modes-inline.h insn-modes.h insn-notes.def internal-fn.def internal-fn.h intl.h ipa-param-manipulation.h ipa-prop.h ipa-ref.h ipa-reference.h ipa-utils.h is-a.h langhooks.h lcm.h machmode.h memmodel.h mode-classes.def omp-builtins.def options.h opts.h output.h params-enum.h params.def params.h params.list pass-instances.def pass_manager.h plugin-version.h plugin.def plugin.h predict.def predict.h prefix.h pretty-print.h print-rtl.h print-tree.h profile-count.h real.h realmpfr.h reg-notes.def regset.h resource.h rtl.def rtl.h sanitizer.def sbitmap.h signop.h ssa-iterators.h statistics.h stmt.h stor-layout.h stringpool.h sync-builtins.def system.h target-hooks-macros.h target-insns.def target.def target.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-cfg.h tree-cfgcleanup.h tree-check.h tree-core.h tree-dfa.h tree-dump.h tree-eh.h tree-hasher.h tree-inline.h tree-into-ssa.h tree-iterator.h tree-nested.h tree-object-size.h tree-outof-ssa.h tree-parloops.h tree-pass.h tree-phinodes.h tree-pretty-print.h tree-ssa-address.h tree-ssa-alias.h tree-ssa-coalesce.h tree-ssa-dom.h tree-ssa-loop-ivopts.h tree-ssa-loop-manip.h tree-ssa-loop-niter.h tree-ssa-loop.h tree-ssa-operands.h tree-ssa-sccvn.h tree-ssa-ter.h tree-ssa-threadedge.h tree-ssa-threadupdate.h tree-ssa.h tree-ssanames.h tree.def tree.h treestruct.def varasm.h vec.h version.h wide-int-print.h wide-int.h $(cd ../../src/gcc; echo *.h *.def) | tr ' ' '\012' | sort -u`; \
srcdirstrip=`echo "../../src/gcc" | sed 's/[].[^$\\*|]/\\\\&/g'`; \
for file in $headers; do \
  if [ -f $file ] ; then \
    path=$file; \
  elif [ -f ../../src/gcc/$file ]; then \
    path=../../src/gcc/$file; \
  else continue; \
  fi; \
  case $path in \
  "../../src/gcc"/config/* | "../../src/gcc"/common/config/* \
  | "../../src/gcc"/c-family/* | "../../src/gcc"/*.def ) \
    base=`echo "$path" | sed -e "s|$srcdirstrip/||"`;; \
  *) base=`basename $path` ;; \
  esac; \
  dest=/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/$base; \
  echo /usr/bin/install -c -m 644 $path /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc$dest; \
  dir=`dirname $dest`; \
  /bin/bash ../../src/gcc/../mkinstalldirs /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc$dir; \
  /usr/bin/install -c -m 644 $path /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc$dest; \
done
/usr/bin/install -c -m 644 ../../src/gcc/../include/ansidecl.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/ansidecl.h
/usr/bin/install -c -m 644 ../../src/gcc/../include/filenames.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/filenames.h
/usr/bin/install -c -m 644 ../../src/gcc/../include/gomp-constants.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/gomp-constants.h
/usr/bin/install -c -m 644 ../../src/gcc/../include/hashtab.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/hashtab.h
/usr/bin/install -c -m 644 ../../src/gcc/../include/libiberty.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/libiberty.h
/usr/bin/install -c -m 644 ../../src/gcc/../include/md5.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/md5.h
/usr/bin/install -c -m 644 ../../src/gcc/../include/obstack.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/obstack.h
/usr/bin/install -c -m 644 ../../src/gcc/../include/plugin-api.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/plugin-api.h
/usr/bin/install -c -m 644 ../../src/gcc/../include/safe-ctype.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/safe-ctype.h
/usr/bin/install -c -m 644 ../../src/gcc/../include/splay-tree.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/splay-tree.h
/usr/bin/install -c -m 644 ../../src/gcc/../libcpp/include/cpplib.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/cpplib.h
/usr/bin/install -c -m 644 ../../src/gcc/../libcpp/include/line-map.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/line-map.h
/usr/bin/install -c -m 644 ../../src/gcc/../libcpp/include/symtab.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/symtab.h
/usr/bin/install -c -m 644 ../../src/gcc/ada/gcc-interface/ada-tree.def /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/ada/gcc-interface/ada-tree.def
mkdir -p -- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/ada/gcc-interface
/usr/bin/install -c -m 644 ../../src/gcc/config/arm/aarch-common-protos.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/config/arm/aarch-common-protos.h
mkdir -p -- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/config/arm
/usr/bin/install -c -m 644 ../../src/gcc/config/arm/aout.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/config/arm/aout.h
/usr/bin/install -c -m 644 ../../src/gcc/config/arm/arm-flags.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/config/arm/arm-flags.h
/usr/bin/install -c -m 644 ../../src/gcc/config/arm/arm-opts.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/config/arm/arm-opts.h
/usr/bin/install -c -m 644 ../../src/gcc/config/arm/arm-protos.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/config/arm/arm-protos.h
/usr/bin/install -c -m 644 ../../src/gcc/config/arm/arm.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/config/arm/arm.h
/usr/bin/install -c -m 644 ../../src/gcc/config/arm/bpabi.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/config/arm/bpabi.h
/usr/bin/install -c -m 644 ../../src/gcc/config/arm/elf.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/config/arm/elf.h
/usr/bin/install -c -m 644 ../../src/gcc/config/arm/linux-eabi.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/config/arm/linux-eabi.h
/usr/bin/install -c -m 644 ../../src/gcc/config/arm/linux-elf.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/config/arm/linux-elf.h
/usr/bin/install -c -m 644 ../../src/gcc/config/arm/linux-gas.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/config/arm/linux-gas.h
/usr/bin/install -c -m 644 ../../src/gcc/config/dbxelf.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/config/dbxelf.h
/usr/bin/install -c -m 644 ../../src/gcc/config/elfos.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/config/elfos.h
/usr/bin/install -c -m 644 ../../src/gcc/config/glibc-stdint.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/config/glibc-stdint.h
/usr/bin/install -c -m 644 ../../src/gcc/config/gnu-user.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/config/gnu-user.h
/usr/bin/install -c -m 644 ../../src/gcc/config/initfini-array.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/config/initfini-array.h
/usr/bin/install -c -m 644 ../../src/gcc/config/linux-android.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/config/linux-android.h
/usr/bin/install -c -m 644 ../../src/gcc/config/linux-protos.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/config/linux-protos.h
/usr/bin/install -c -m 644 ../../src/gcc/config/linux.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/config/linux.h
/usr/bin/install -c -m 644 ../../src/gcc/config/vxworks-dummy.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/config/vxworks-dummy.h
/usr/bin/install -c -m 644 ../../src/gcc/cp/cp-tree.def /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/cp/cp-tree.def
mkdir -p -- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/cp
/usr/bin/install -c -m 644 ../../src/gcc/d/d-tree.def /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/d/d-tree.def
mkdir -p -- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/d
/usr/bin/install -c -m 644 ../../src/gcc/defaults.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/defaults.h
/usr/bin/install -c -m 644 ../../src/gcc/insn-addr.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/insn-addr.h
/usr/bin/install -c -m 644 ../../src/gcc/m2/m2-tree.def /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/m2/m2-tree.def
mkdir -p -- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/m2
/usr/bin/install -c -m 644 ../../src/gcc/objc/objc-tree.def /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/objc/objc-tree.def
mkdir -p -- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/objc
/usr/bin/install -c -m 644 ../../src/gcc/addresses.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/addresses.h
/usr/bin/install -c -m 644 ../../src/gcc/alias.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/alias.h
/usr/bin/install -c -m 644 ../../src/gcc/align.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/align.h
/usr/bin/install -c -m 644 all-tree.def /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/all-tree.def
/usr/bin/install -c -m 644 ../../src/gcc/alloc-pool.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/alloc-pool.h
/usr/bin/install -c -m 644 arm-cpu.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/arm-cpu.h
/usr/bin/install -c -m 644 arm-isa.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/arm-isa.h
/usr/bin/install -c -m 644 ../../src/gcc/array-traits.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/array-traits.h
/usr/bin/install -c -m 644 ../../src/gcc/asan.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/asan.h
/usr/bin/install -c -m 644 ../../src/gcc/attr-fnspec.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/attr-fnspec.h
/usr/bin/install -c -m 644 ../../src/gcc/attribs.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/attribs.h
/usr/bin/install -c -m 644 auto-host.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/auto-host.h
/usr/bin/install -c -m 644 ../../src/gcc/auto-profile.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/auto-profile.h
/usr/bin/install -c -m 644 ../../src/gcc/backend.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/backend.h
/usr/bin/install -c -m 644 ../../src/gcc/basic-block.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/basic-block.h
/usr/bin/install -c -m 644 ../../src/gcc/bb-reorder.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/bb-reorder.h
/usr/bin/install -c -m 644 ../../src/gcc/bitmap.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/bitmap.h
/usr/bin/install -c -m 644 ../../src/gcc/builtin-attrs.def /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/builtin-attrs.def
/usr/bin/install -c -m 644 ../../src/gcc/builtin-types.def /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/builtin-types.def
/usr/bin/install -c -m 644 ../../src/gcc/builtins.def /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/builtins.def
/usr/bin/install -c -m 644 ../../src/gcc/builtins.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/builtins.h
/usr/bin/install -c -m 644 bversion.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/bversion.h
/usr/bin/install -c -m 644 ../../src/gcc/c-family/c-common.def /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/c-family/c-common.def
mkdir -p -- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/c-family
/usr/bin/install -c -m 644 ../../src/gcc/c-family/c-common.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/c-family/c-common.h
/usr/bin/install -c -m 644 ../../src/gcc/c-family/c-objc.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/c-family/c-objc.h
/usr/bin/install -c -m 644 ../../src/gcc/c-family/c-pragma.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/c-family/c-pragma.h
/usr/bin/install -c -m 644 ../../src/gcc/c-family/c-pretty-print.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/c-family/c-pretty-print.h
/usr/bin/install -c -m 644 ../../src/gcc/c/c-tree.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/c-tree.h
/usr/bin/install -c -m 644 ../../src/gcc/calls.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/calls.h
/usr/bin/install -c -m 644 ../../src/gcc/ccmp.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/ccmp.h
/usr/bin/install -c -m 644 ../../src/gcc/cfg-flags.def /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/cfg-flags.def
/usr/bin/install -c -m 644 ../../src/gcc/cfg.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/cfg.h
/usr/bin/install -c -m 644 ../../src/gcc/cfganal.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/cfganal.h
/usr/bin/install -c -m 644 ../../src/gcc/cfgbuild.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/cfgbuild.h
/usr/bin/install -c -m 644 ../../src/gcc/cfgcleanup.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/cfgcleanup.h
/usr/bin/install -c -m 644 ../../src/gcc/cfgexpand.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/cfgexpand.h
/usr/bin/install -c -m 644 ../../src/gcc/cfghooks.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/cfghooks.h
/usr/bin/install -c -m 644 ../../src/gcc/cfgloop.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/cfgloop.h
/usr/bin/install -c -m 644 ../../src/gcc/cfgloopmanip.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/cfgloopmanip.h
/usr/bin/install -c -m 644 ../../src/gcc/cfgrtl.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/cfgrtl.h
/usr/bin/install -c -m 644 ../../src/gcc/cgraph.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/cgraph.h
/usr/bin/install -c -m 644 ../../src/gcc/cif-code.def /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/cif-code.def
/usr/bin/install -c -m 644 ../../src/gcc/collect-utils.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/collect-utils.h
/usr/bin/install -c -m 644 ../../src/gcc/collect2-aix.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/collect2-aix.h
/usr/bin/install -c -m 644 ../../src/gcc/collect2.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/collect2.h
/usr/bin/install -c -m 644 ../../src/gcc/color-macros.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/color-macros.h
/usr/bin/install -c -m 644 ../../src/gcc/conditions.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/conditions.h
/usr/bin/install -c -m 644 config.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/config.h
/usr/bin/install -c -m 644 ../../src/gcc/config/arm/aarch-common-protos.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/config/arm/aarch-common-protos.h
/usr/bin/install -c -m 644 ../../src/gcc/config/arm/aout.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/config/arm/aout.h
/usr/bin/install -c -m 644 ../../src/gcc/config/arm/arm-flags.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/config/arm/arm-flags.h
/usr/bin/install -c -m 644 ../../src/gcc/config/arm/arm-protos.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/config/arm/arm-protos.h
/usr/bin/install -c -m 644 ../../src/gcc/config/arm/arm.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/config/arm/arm.h
/usr/bin/install -c -m 644 ../../src/gcc/config/arm/bpabi.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/config/arm/bpabi.h
/usr/bin/install -c -m 644 ../../src/gcc/config/arm/elf.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/config/arm/elf.h
/usr/bin/install -c -m 644 ../../src/gcc/config/arm/linux-eabi.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/config/arm/linux-eabi.h
/usr/bin/install -c -m 644 ../../src/gcc/config/arm/linux-elf.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/config/arm/linux-elf.h
/usr/bin/install -c -m 644 ../../src/gcc/config/arm/linux-gas.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/config/arm/linux-gas.h
/usr/bin/install -c -m 644 ../../src/gcc/config/dbxelf.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/config/dbxelf.h
/usr/bin/install -c -m 644 ../../src/gcc/config/elfos.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/config/elfos.h
/usr/bin/install -c -m 644 ../../src/gcc/config/glibc-stdint.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/config/glibc-stdint.h
/usr/bin/install -c -m 644 ../../src/gcc/config/gnu-user.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/config/gnu-user.h
/usr/bin/install -c -m 644 ../../src/gcc/config/initfini-array.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/config/initfini-array.h
/usr/bin/install -c -m 644 ../../src/gcc/config/linux-android.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/config/linux-android.h
/usr/bin/install -c -m 644 ../../src/gcc/config/linux-protos.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/config/linux-protos.h
/usr/bin/install -c -m 644 ../../src/gcc/config/linux.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/config/linux.h
/usr/bin/install -c -m 644 ../../src/gcc/config/vxworks-dummy.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/config/vxworks-dummy.h
/usr/bin/install -c -m 644 configargs.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/configargs.h
/usr/bin/install -c -m 644 ../../src/gcc/context.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/context.h
/usr/bin/install -c -m 644 ../../src/gcc/convert.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/convert.h
/usr/bin/install -c -m 644 ../../src/gcc/coretypes.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/coretypes.h
/usr/bin/install -c -m 644 ../../src/gcc/coroutine-builtins.def /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/coroutine-builtins.def
/usr/bin/install -c -m 644 ../../src/gcc/coverage.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/coverage.h
/usr/bin/install -c -m 644 ../../src/gcc/cppbuiltin.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/cppbuiltin.h
/usr/bin/install -c -m 644 ../../src/gcc/cppdefault.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/cppdefault.h
/usr/bin/install -c -m 644 ../../src/gcc/cselib.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/cselib.h
/usr/bin/install -c -m 644 ../../src/gcc/ctfc.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/ctfc.h
/usr/bin/install -c -m 644 ../../src/gcc/data-streamer.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/data-streamer.h
/usr/bin/install -c -m 644 ../../src/gcc/dbgcnt.def /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/dbgcnt.def
/usr/bin/install -c -m 644 ../../src/gcc/dbgcnt.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/dbgcnt.h
/usr/bin/install -c -m 644 ../../src/gcc/dbxout.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/dbxout.h
/usr/bin/install -c -m 644 ../../src/gcc/dce.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/dce.h
/usr/bin/install -c -m 644 ../../src/gcc/ddg.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/ddg.h
/usr/bin/install -c -m 644 ../../src/gcc/debug.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/debug.h
/usr/bin/install -c -m 644 ../../src/gcc/defaults.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/defaults.h
/usr/bin/install -c -m 644 ../../src/gcc/df.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/df.h
/usr/bin/install -c -m 644 ../../src/gcc/dfp.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/dfp.h
/usr/bin/install -c -m 644 ../../src/gcc/diagnostic-color.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/diagnostic-color.h
/usr/bin/install -c -m 644 ../../src/gcc/diagnostic-core.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/diagnostic-core.h
/usr/bin/install -c -m 644 ../../src/gcc/diagnostic-event-id.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/diagnostic-event-id.h
/usr/bin/install -c -m 644 ../../src/gcc/diagnostic-metadata.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/diagnostic-metadata.h
/usr/bin/install -c -m 644 ../../src/gcc/diagnostic-path.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/diagnostic-path.h
/usr/bin/install -c -m 644 ../../src/gcc/diagnostic-spec.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/diagnostic-spec.h
/usr/bin/install -c -m 644 ../../src/gcc/diagnostic-url.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/diagnostic-url.h
/usr/bin/install -c -m 644 ../../src/gcc/diagnostic.def /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/diagnostic.def
/usr/bin/install -c -m 644 ../../src/gcc/diagnostic.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/diagnostic.h
/usr/bin/install -c -m 644 ../../src/gcc/digraph.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/digraph.h
/usr/bin/install -c -m 644 ../../src/gcc/distro-defaults.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/distro-defaults.h
/usr/bin/install -c -m 644 ../../src/gcc/dojump.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/dojump.h
/usr/bin/install -c -m 644 ../../src/gcc/dominance.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/dominance.h
/usr/bin/install -c -m 644 ../../src/gcc/domwalk.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/domwalk.h
/usr/bin/install -c -m 644 ../../src/gcc/double-int.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/double-int.h
/usr/bin/install -c -m 644 ../../src/gcc/dump-context.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/dump-context.h
/usr/bin/install -c -m 644 ../../src/gcc/dumpfile.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/dumpfile.h
/usr/bin/install -c -m 644 ../../src/gcc/dwarf2asm.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/dwarf2asm.h
/usr/bin/install -c -m 644 ../../src/gcc/dwarf2ctf.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/dwarf2ctf.h
/usr/bin/install -c -m 644 ../../src/gcc/dwarf2out.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/dwarf2out.h
/usr/bin/install -c -m 644 ../../src/gcc/edit-context.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/edit-context.h
/usr/bin/install -c -m 644 ../../src/gcc/emit-rtl.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/emit-rtl.h
/usr/bin/install -c -m 644 ../../src/gcc/errors.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/errors.h
/usr/bin/install -c -m 644 ../../src/gcc/escaped_string.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/escaped_string.h
/usr/bin/install -c -m 644 ../../src/gcc/et-forest.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/et-forest.h
/usr/bin/install -c -m 644 ../../src/gcc/except.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/except.h
/usr/bin/install -c -m 644 ../../src/gcc/explow.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/explow.h
/usr/bin/install -c -m 644 ../../src/gcc/expmed.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/expmed.h
/usr/bin/install -c -m 644 ../../src/gcc/expr.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/expr.h
/usr/bin/install -c -m 644 ../../src/gcc/fibonacci_heap.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/fibonacci_heap.h
/usr/bin/install -c -m 644 ../../src/gcc/file-find.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/file-find.h
/usr/bin/install -c -m 644 ../../src/gcc/file-prefix-map.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/file-prefix-map.h
/usr/bin/install -c -m 644 ../../src/gcc/fixed-value.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/fixed-value.h
/usr/bin/install -c -m 644 ../../src/gcc/flag-types.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/flag-types.h
/usr/bin/install -c -m 644 ../../src/gcc/flags.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/flags.h
/usr/bin/install -c -m 644 ../../src/gcc/fold-const-call.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/fold-const-call.h
/usr/bin/install -c -m 644 ../../src/gcc/fold-const.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/fold-const.h
/usr/bin/install -c -m 644 ../../src/gcc/function-abi.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/function-abi.h
/usr/bin/install -c -m 644 ../../src/gcc/function.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/function.h
/usr/bin/install -c -m 644 ../../src/gcc/gcc-plugin.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/gcc-plugin.h
/usr/bin/install -c -m 644 ../../src/gcc/gcc-rich-location.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/gcc-rich-location.h
/usr/bin/install -c -m 644 ../../src/gcc/gcc-symtab.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/gcc-symtab.h
/usr/bin/install -c -m 644 ../../src/gcc/gcc.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/gcc.h
/usr/bin/install -c -m 644 ../../src/gcc/gcov-counter.def /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/gcov-counter.def
/usr/bin/install -c -m 644 ../../src/gcc/gcov-io.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/gcov-io.h
/usr/bin/install -c -m 644 ../../src/gcc/gcse-common.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/gcse-common.h
/usr/bin/install -c -m 644 ../../src/gcc/gcse.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/gcse.h
/usr/bin/install -c -m 644 ../../src/gcc/generic-match.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/generic-match.h
/usr/bin/install -c -m 644 ../../src/gcc/gengtype.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/gengtype.h
/usr/bin/install -c -m 644 genrtl.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/genrtl.h
/usr/bin/install -c -m 644 ../../src/gcc/gensupport.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/gensupport.h
/usr/bin/install -c -m 644 ../../src/gcc/ggc-internal.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/ggc-internal.h
/usr/bin/install -c -m 644 ../../src/gcc/ggc.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/ggc.h
/usr/bin/install -c -m 644 ../../src/gcc/gimple-array-bounds.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/gimple-array-bounds.h
/usr/bin/install -c -m 644 ../../src/gcc/gimple-builder.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/gimple-builder.h
/usr/bin/install -c -m 644 ../../src/gcc/gimple-expr.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/gimple-expr.h
/usr/bin/install -c -m 644 ../../src/gcc/gimple-fold.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/gimple-fold.h
/usr/bin/install -c -m 644 ../../src/gcc/gimple-iterator.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/gimple-iterator.h
/usr/bin/install -c -m 644 ../../src/gcc/gimple-low.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/gimple-low.h
/usr/bin/install -c -m 644 ../../src/gcc/gimple-match.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/gimple-match.h
/usr/bin/install -c -m 644 ../../src/gcc/gimple-predicate-analysis.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/gimple-predicate-analysis.h
/usr/bin/install -c -m 644 ../../src/gcc/gimple-predict.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/gimple-predict.h
/usr/bin/install -c -m 644 ../../src/gcc/gimple-pretty-print.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/gimple-pretty-print.h
/usr/bin/install -c -m 644 ../../src/gcc/gimple-range-cache.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/gimple-range-cache.h
/usr/bin/install -c -m 644 ../../src/gcc/gimple-range-edge.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/gimple-range-edge.h
/usr/bin/install -c -m 644 ../../src/gcc/gimple-range-fold.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/gimple-range-fold.h
/usr/bin/install -c -m 644 ../../src/gcc/gimple-range-gori.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/gimple-range-gori.h
/usr/bin/install -c -m 644 ../../src/gcc/gimple-range-path.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/gimple-range-path.h
/usr/bin/install -c -m 644 ../../src/gcc/gimple-range-trace.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/gimple-range-trace.h
/usr/bin/install -c -m 644 ../../src/gcc/gimple-range.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/gimple-range.h
/usr/bin/install -c -m 644 ../../src/gcc/gimple-ssa-evrp-analyze.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/gimple-ssa-evrp-analyze.h
/usr/bin/install -c -m 644 ../../src/gcc/gimple-ssa-warn-access.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/gimple-ssa-warn-access.h
/usr/bin/install -c -m 644 ../../src/gcc/gimple-ssa-warn-restrict.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/gimple-ssa-warn-restrict.h
/usr/bin/install -c -m 644 ../../src/gcc/gimple-ssa.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/gimple-ssa.h
/usr/bin/install -c -m 644 ../../src/gcc/gimple-streamer.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/gimple-streamer.h
/usr/bin/install -c -m 644 ../../src/gcc/gimple-walk.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/gimple-walk.h
/usr/bin/install -c -m 644 ../../src/gcc/gimple.def /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/gimple.def
/usr/bin/install -c -m 644 ../../src/gcc/gimple.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/gimple.h
/usr/bin/install -c -m 644 ../../src/gcc/gimplify-me.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/gimplify-me.h
/usr/bin/install -c -m 644 ../../src/gcc/gimplify.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/gimplify.h
/usr/bin/install -c -m 644 ../../src/gcc/glimits.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/glimits.h
/usr/bin/install -c -m 644 ../../src/gcc/graph.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/graph.h
/usr/bin/install -c -m 644 ../../src/gcc/graphds.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/graphds.h
/usr/bin/install -c -m 644 ../../src/gcc/graphite.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/graphite.h
/usr/bin/install -c -m 644 ../../src/gcc/graphviz.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/graphviz.h
/usr/bin/install -c -m 644 ../../src/gcc/gsstruct.def /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/gsstruct.def
/usr/bin/install -c -m 644 ../../src/gcc/gstab.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/gstab.h
/usr/bin/install -c -m 644 ../../src/gcc/gsyms.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/gsyms.h
/usr/bin/install -c -m 644 ../../src/gcc/gsyslimits.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/gsyslimits.h
/usr/bin/install -c -m 644 ../../src/gcc/gtm-builtins.def /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/gtm-builtins.def
/usr/bin/install -c -m 644 gtype-desc.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/gtype-desc.h
/usr/bin/install -c -m 644 ../../src/gcc/hard-reg-set.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/hard-reg-set.h
/usr/bin/install -c -m 644 ../../src/gcc/hash-map-traits.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/hash-map-traits.h
/usr/bin/install -c -m 644 ../../src/gcc/hash-map.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/hash-map.h
/usr/bin/install -c -m 644 ../../src/gcc/hash-set.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/hash-set.h
/usr/bin/install -c -m 644 ../../src/gcc/hash-table.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/hash-table.h
/usr/bin/install -c -m 644 ../../src/gcc/hash-traits.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/hash-traits.h
/usr/bin/install -c -m 644 ../../src/gcc/highlev-plugin-common.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/highlev-plugin-common.h
/usr/bin/install -c -m 644 ../../src/gcc/hooks.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/hooks.h
/usr/bin/install -c -m 644 ../../src/gcc/hosthooks-def.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/hosthooks-def.h
/usr/bin/install -c -m 644 ../../src/gcc/hosthooks.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/hosthooks.h
/usr/bin/install -c -m 644 ../../src/gcc/hw-doloop.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/hw-doloop.h
/usr/bin/install -c -m 644 ../../src/gcc/hwint.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/hwint.h
/usr/bin/install -c -m 644 ../../src/gcc/ifcvt.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/ifcvt.h
/usr/bin/install -c -m 644 ../../src/gcc/inchash.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/inchash.h
/usr/bin/install -c -m 644 ../../src/gcc/incpath.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/incpath.h
/usr/bin/install -c -m 644 ../../src/gcc/input.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/input.h
/usr/bin/install -c -m 644 ../../src/gcc/insn-addr.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/insn-addr.h
/usr/bin/install -c -m 644 insn-attr-common.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/insn-attr-common.h
/usr/bin/install -c -m 644 insn-attr.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/insn-attr.h
/usr/bin/install -c -m 644 insn-codes.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/insn-codes.h
/usr/bin/install -c -m 644 insn-config.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/insn-config.h
/usr/bin/install -c -m 644 insn-constants.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/insn-constants.h
/usr/bin/install -c -m 644 insn-flags.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/insn-flags.h
/usr/bin/install -c -m 644 insn-modes-inline.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/insn-modes-inline.h
/usr/bin/install -c -m 644 insn-modes.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/insn-modes.h
/usr/bin/install -c -m 644 ../../src/gcc/insn-notes.def /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/insn-notes.def
/usr/bin/install -c -m 644 ../../src/gcc/int-vector-builder.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/int-vector-builder.h
/usr/bin/install -c -m 644 ../../src/gcc/internal-fn.def /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/internal-fn.def
/usr/bin/install -c -m 644 ../../src/gcc/internal-fn.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/internal-fn.h
/usr/bin/install -c -m 644 ../../src/gcc/intl.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/intl.h
/usr/bin/install -c -m 644 ../../src/gcc/ipa-fnsummary.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/ipa-fnsummary.h
/usr/bin/install -c -m 644 ../../src/gcc/ipa-icf-gimple.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/ipa-icf-gimple.h
/usr/bin/install -c -m 644 ../../src/gcc/ipa-icf.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/ipa-icf.h
/usr/bin/install -c -m 644 ../../src/gcc/ipa-inline.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/ipa-inline.h
/usr/bin/install -c -m 644 ../../src/gcc/ipa-modref-tree.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/ipa-modref-tree.h
/usr/bin/install -c -m 644 ../../src/gcc/ipa-modref.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/ipa-modref.h
/usr/bin/install -c -m 644 ../../src/gcc/ipa-param-manipulation.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/ipa-param-manipulation.h
/usr/bin/install -c -m 644 ../../src/gcc/ipa-predicate.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/ipa-predicate.h
/usr/bin/install -c -m 644 ../../src/gcc/ipa-prop.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/ipa-prop.h
/usr/bin/install -c -m 644 ../../src/gcc/ipa-ref.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/ipa-ref.h
/usr/bin/install -c -m 644 ../../src/gcc/ipa-reference.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/ipa-reference.h
/usr/bin/install -c -m 644 ../../src/gcc/ipa-utils.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/ipa-utils.h
/usr/bin/install -c -m 644 ../../src/gcc/ira-int.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/ira-int.h
/usr/bin/install -c -m 644 ../../src/gcc/ira.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/ira.h
/usr/bin/install -c -m 644 ../../src/gcc/is-a.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/is-a.h
/usr/bin/install -c -m 644 ../../src/gcc/iterator-utils.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/iterator-utils.h
/usr/bin/install -c -m 644 ../../src/gcc/json.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/json.h
/usr/bin/install -c -m 644 ../../src/gcc/langhooks-def.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/langhooks-def.h
/usr/bin/install -c -m 644 ../../src/gcc/langhooks.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/langhooks.h
/usr/bin/install -c -m 644 ../../src/gcc/lcm.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/lcm.h
/usr/bin/install -c -m 644 ../../src/gcc/libfuncs.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/libfuncs.h
/usr/bin/install -c -m 644 ../../src/gcc/limitx.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/limitx.h
/usr/bin/install -c -m 644 ../../src/gcc/limity.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/limity.h
/usr/bin/install -c -m 644 ../../src/gcc/loop-unroll.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/loop-unroll.h
/usr/bin/install -c -m 644 ../../src/gcc/lower-subreg.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/lower-subreg.h
/usr/bin/install -c -m 644 ../../src/gcc/lra-int.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/lra-int.h
/usr/bin/install -c -m 644 ../../src/gcc/lra.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/lra.h
/usr/bin/install -c -m 644 ../../src/gcc/lto-compress.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/lto-compress.h
/usr/bin/install -c -m 644 ../../src/gcc/lto-section-names.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/lto-section-names.h
/usr/bin/install -c -m 644 ../../src/gcc/lto-streamer.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/lto-streamer.h
/usr/bin/install -c -m 644 ../../src/gcc/machmode.def /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/machmode.def
/usr/bin/install -c -m 644 ../../src/gcc/machmode.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/machmode.h
/usr/bin/install -c -m 644 ../../src/gcc/mem-stats-traits.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/mem-stats-traits.h
/usr/bin/install -c -m 644 ../../src/gcc/mem-stats.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/mem-stats.h
/usr/bin/install -c -m 644 ../../src/gcc/memmodel.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/memmodel.h
/usr/bin/install -c -m 644 ../../src/gcc/memory-block.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/memory-block.h
/usr/bin/install -c -m 644 ../../src/gcc/mode-classes.def /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/mode-classes.def
/usr/bin/install -c -m 644 ../../src/gcc/mux-utils.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/mux-utils.h
/usr/bin/install -c -m 644 ../../src/gcc/obstack-utils.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/obstack-utils.h
/usr/bin/install -c -m 644 ../../src/gcc/omp-builtins.def /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/omp-builtins.def
/usr/bin/install -c -m 644 ../../src/gcc/omp-expand.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/omp-expand.h
/usr/bin/install -c -m 644 ../../src/gcc/omp-general.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/omp-general.h
/usr/bin/install -c -m 644 ../../src/gcc/omp-low.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/omp-low.h
/usr/bin/install -c -m 644 ../../src/gcc/omp-offload.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/omp-offload.h
/usr/bin/install -c -m 644 ../../src/gcc/omp-simd-clone.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/omp-simd-clone.h
/usr/bin/install -c -m 644 ../../src/gcc/opt-problem.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/opt-problem.h
/usr/bin/install -c -m 644 ../../src/gcc/opt-suggestions.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/opt-suggestions.h
/usr/bin/install -c -m 644 ../../src/gcc/optabs-libfuncs.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/optabs-libfuncs.h
/usr/bin/install -c -m 644 ../../src/gcc/optabs-query.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/optabs-query.h
/usr/bin/install -c -m 644 ../../src/gcc/optabs-tree.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/optabs-tree.h
/usr/bin/install -c -m 644 ../../src/gcc/optabs.def /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/optabs.def
/usr/bin/install -c -m 644 ../../src/gcc/optabs.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/optabs.h
/usr/bin/install -c -m 644 ../../src/gcc/optinfo-emit-json.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/optinfo-emit-json.h
/usr/bin/install -c -m 644 ../../src/gcc/optinfo.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/optinfo.h
/usr/bin/install -c -m 644 options.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/options.h
/usr/bin/install -c -m 644 ../../src/gcc/opts-diagnostic.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/opts-diagnostic.h
/usr/bin/install -c -m 644 ../../src/gcc/opts.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/opts.h
/usr/bin/install -c -m 644 ../../src/gcc/ordered-hash-map.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/ordered-hash-map.h
/usr/bin/install -c -m 644 ../../src/gcc/output.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/output.h
/usr/bin/install -c -m 644 pass-instances.def /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/pass-instances.def
/usr/bin/install -c -m 644 ../../src/gcc/pass_manager.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/pass_manager.h
/usr/bin/install -c -m 644 ../../src/gcc/passes.def /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/passes.def
/usr/bin/install -c -m 644 plugin-version.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/plugin-version.h
/usr/bin/install -c -m 644 ../../src/gcc/plugin.def /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/plugin.def
/usr/bin/install -c -m 644 ../../src/gcc/plugin.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/plugin.h
/usr/bin/install -c -m 644 ../../src/gcc/pointer-query.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/pointer-query.h
/usr/bin/install -c -m 644 ../../src/gcc/poly-int-types.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/poly-int-types.h
/usr/bin/install -c -m 644 ../../src/gcc/poly-int.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/poly-int.h
/usr/bin/install -c -m 644 ../../src/gcc/predict.def /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/predict.def
/usr/bin/install -c -m 644 ../../src/gcc/predict.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/predict.h
/usr/bin/install -c -m 644 ../../src/gcc/prefix.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/prefix.h
/usr/bin/install -c -m 644 ../../src/gcc/pretty-print.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/pretty-print.h
/usr/bin/install -c -m 644 ../../src/gcc/print-rtl.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/print-rtl.h
/usr/bin/install -c -m 644 ../../src/gcc/print-tree.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/print-tree.h
/usr/bin/install -c -m 644 ../../src/gcc/profile-count.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/profile-count.h
/usr/bin/install -c -m 644 ../../src/gcc/profile.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/profile.h
/usr/bin/install -c -m 644 ../../src/gcc/range-op.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/range-op.h
/usr/bin/install -c -m 644 ../../src/gcc/range.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/range.h
/usr/bin/install -c -m 644 ../../src/gcc/read-md.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/read-md.h
/usr/bin/install -c -m 644 ../../src/gcc/read-rtl-function.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/read-rtl-function.h
/usr/bin/install -c -m 644 ../../src/gcc/real.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/real.h
/usr/bin/install -c -m 644 ../../src/gcc/realmpfr.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/realmpfr.h
/usr/bin/install -c -m 644 ../../src/gcc/recog.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/recog.h
/usr/bin/install -c -m 644 ../../src/gcc/reg-notes.def /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/reg-notes.def
/usr/bin/install -c -m 644 ../../src/gcc/regcprop.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/regcprop.h
/usr/bin/install -c -m 644 ../../src/gcc/regrename.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/regrename.h
/usr/bin/install -c -m 644 ../../src/gcc/regs.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/regs.h
/usr/bin/install -c -m 644 ../../src/gcc/regset.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/regset.h
/usr/bin/install -c -m 644 ../../src/gcc/reload.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/reload.h
/usr/bin/install -c -m 644 ../../src/gcc/resource.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/resource.h
/usr/bin/install -c -m 644 ../../src/gcc/rtl-error.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/rtl-error.h
/usr/bin/install -c -m 644 ../../src/gcc/rtl-iter.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/rtl-iter.h
/usr/bin/install -c -m 644 ../../src/gcc/rtl-ssa.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/rtl-ssa.h
/usr/bin/install -c -m 644 ../../src/gcc/rtl.def /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/rtl.def
/usr/bin/install -c -m 644 ../../src/gcc/rtl.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/rtl.h
/usr/bin/install -c -m 644 ../../src/gcc/rtlanal.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/rtlanal.h
/usr/bin/install -c -m 644 ../../src/gcc/rtlhash.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/rtlhash.h
/usr/bin/install -c -m 644 ../../src/gcc/rtlhooks-def.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/rtlhooks-def.h
/usr/bin/install -c -m 644 ../../src/gcc/rtx-vector-builder.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/rtx-vector-builder.h
/usr/bin/install -c -m 644 ../../src/gcc/run-rtl-passes.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/run-rtl-passes.h
/usr/bin/install -c -m 644 ../../src/gcc/sanitizer.def /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/sanitizer.def
/usr/bin/install -c -m 644 ../../src/gcc/sbitmap.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/sbitmap.h
/usr/bin/install -c -m 644 ../../src/gcc/sched-int.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/sched-int.h
/usr/bin/install -c -m 644 ../../src/gcc/sel-sched-dump.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/sel-sched-dump.h
/usr/bin/install -c -m 644 ../../src/gcc/sel-sched-ir.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/sel-sched-ir.h
/usr/bin/install -c -m 644 ../../src/gcc/sel-sched.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/sel-sched.h
/usr/bin/install -c -m 644 ../../src/gcc/selftest-diagnostic.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/selftest-diagnostic.h
/usr/bin/install -c -m 644 ../../src/gcc/selftest-rtl.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/selftest-rtl.h
/usr/bin/install -c -m 644 ../../src/gcc/selftest.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/selftest.h
/usr/bin/install -c -m 644 ../../src/gcc/sese.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/sese.h
/usr/bin/install -c -m 644 ../../src/gcc/shortest-paths.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/shortest-paths.h
/usr/bin/install -c -m 644 ../../src/gcc/shrink-wrap.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/shrink-wrap.h
/usr/bin/install -c -m 644 ../../src/gcc/signop.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/signop.h
/usr/bin/install -c -m 644 ../../src/gcc/sparseset.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/sparseset.h
/usr/bin/install -c -m 644 ../../src/gcc/spellcheck-tree.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/spellcheck-tree.h
/usr/bin/install -c -m 644 ../../src/gcc/spellcheck.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/spellcheck.h
/usr/bin/install -c -m 644 ../../src/gcc/splay-tree-utils.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/splay-tree-utils.h
/usr/bin/install -c -m 644 ../../src/gcc/sreal.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/sreal.h
/usr/bin/install -c -m 644 ../../src/gcc/ssa-iterators.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/ssa-iterators.h
/usr/bin/install -c -m 644 ../../src/gcc/ssa.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/ssa.h
/usr/bin/install -c -m 644 ../../src/gcc/stab.def /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/stab.def
/usr/bin/install -c -m 644 ../../src/gcc/statistics.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/statistics.h
/usr/bin/install -c -m 644 ../../src/gcc/stmt.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/stmt.h
/usr/bin/install -c -m 644 ../../src/gcc/stor-layout.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/stor-layout.h
/usr/bin/install -c -m 644 ../../src/gcc/streamer-hooks.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/streamer-hooks.h
/usr/bin/install -c -m 644 ../../src/gcc/stringpool.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/stringpool.h
/usr/bin/install -c -m 644 ../../src/gcc/substring-locations.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/substring-locations.h
/usr/bin/install -c -m 644 ../../src/gcc/symbol-summary.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/symbol-summary.h
/usr/bin/install -c -m 644 ../../src/gcc/symtab-clones.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/symtab-clones.h
/usr/bin/install -c -m 644 ../../src/gcc/symtab-thunks.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/symtab-thunks.h
/usr/bin/install -c -m 644 ../../src/gcc/sync-builtins.def /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/sync-builtins.def
/usr/bin/install -c -m 644 ../../src/gcc/system.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/system.h
/usr/bin/install -c -m 644 ../../src/gcc/target-def.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/target-def.h
/usr/bin/install -c -m 644 ../../src/gcc/target-globals.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/target-globals.h
/usr/bin/install -c -m 644 ../../src/gcc/target-hooks-macros.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/target-hooks-macros.h
/usr/bin/install -c -m 644 ../../src/gcc/target-insns.def /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/target-insns.def
/usr/bin/install -c -m 644 ../../src/gcc/target.def /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/target.def
/usr/bin/install -c -m 644 ../../src/gcc/target.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/target.h
/usr/bin/install -c -m 644 ../../src/gcc/targhooks.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/targhooks.h
/usr/bin/install -c -m 644 ../../src/gcc/timevar.def /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/timevar.def
/usr/bin/install -c -m 644 ../../src/gcc/timevar.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/timevar.h
/usr/bin/install -c -m 644 tm-preds.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/tm-preds.h
/usr/bin/install -c -m 644 tm.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/tm.h
/usr/bin/install -c -m 644 tm_p.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/tm_p.h
/usr/bin/install -c -m 644 ../../src/gcc/toplev.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/toplev.h
/usr/bin/install -c -m 644 ../../src/gcc/tracer.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/tracer.h
/usr/bin/install -c -m 644 ../../src/gcc/trans-mem.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/trans-mem.h
/usr/bin/install -c -m 644 ../../src/gcc/tree-affine.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/tree-affine.h
/usr/bin/install -c -m 644 ../../src/gcc/tree-cfg.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/tree-cfg.h
/usr/bin/install -c -m 644 ../../src/gcc/tree-cfgcleanup.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/tree-cfgcleanup.h
/usr/bin/install -c -m 644 tree-check.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/tree-check.h
/usr/bin/install -c -m 644 ../../src/gcc/tree-chrec.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/tree-chrec.h
/usr/bin/install -c -m 644 ../../src/gcc/tree-core.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/tree-core.h
/usr/bin/install -c -m 644 ../../src/gcc/tree-data-ref.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/tree-data-ref.h
/usr/bin/install -c -m 644 ../../src/gcc/tree-dfa.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/tree-dfa.h
/usr/bin/install -c -m 644 ../../src/gcc/tree-diagnostic.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/tree-diagnostic.h
/usr/bin/install -c -m 644 ../../src/gcc/tree-dump.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/tree-dump.h
/usr/bin/install -c -m 644 ../../src/gcc/tree-eh.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/tree-eh.h
/usr/bin/install -c -m 644 ../../src/gcc/tree-hash-traits.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/tree-hash-traits.h
/usr/bin/install -c -m 644 ../../src/gcc/tree-hasher.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/tree-hasher.h
/usr/bin/install -c -m 644 ../../src/gcc/tree-if-conv.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/tree-if-conv.h
/usr/bin/install -c -m 644 ../../src/gcc/tree-inline.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/tree-inline.h
/usr/bin/install -c -m 644 ../../src/gcc/tree-into-ssa.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/tree-into-ssa.h
/usr/bin/install -c -m 644 ../../src/gcc/tree-iterator.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/tree-iterator.h
/usr/bin/install -c -m 644 ../../src/gcc/tree-nested.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/tree-nested.h
/usr/bin/install -c -m 644 ../../src/gcc/tree-object-size.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/tree-object-size.h
/usr/bin/install -c -m 644 ../../src/gcc/tree-outof-ssa.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/tree-outof-ssa.h
/usr/bin/install -c -m 644 ../../src/gcc/tree-parloops.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/tree-parloops.h
/usr/bin/install -c -m 644 ../../src/gcc/tree-pass.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/tree-pass.h
/usr/bin/install -c -m 644 ../../src/gcc/tree-phinodes.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/tree-phinodes.h
/usr/bin/install -c -m 644 ../../src/gcc/tree-pretty-print.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/tree-pretty-print.h
/usr/bin/install -c -m 644 ../../src/gcc/tree-scalar-evolution.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/tree-scalar-evolution.h
/usr/bin/install -c -m 644 ../../src/gcc/tree-sra.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/tree-sra.h
/usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-address.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/tree-ssa-address.h
/usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-alias-compare.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/tree-ssa-alias-compare.h
/usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-alias.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/tree-ssa-alias.h
/usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-ccp.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/tree-ssa-ccp.h
/usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-coalesce.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/tree-ssa-coalesce.h
/usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-dce.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/tree-ssa-dce.h
/usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-dom.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/tree-ssa-dom.h
/usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-dse.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/tree-ssa-dse.h
/usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-live.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/tree-ssa-live.h
/usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-loop-ivopts.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/tree-ssa-loop-ivopts.h
/usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-loop-manip.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/tree-ssa-loop-manip.h
/usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-loop-niter.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/tree-ssa-loop-niter.h
/usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-loop.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/tree-ssa-loop.h
/usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-math-opts.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/tree-ssa-math-opts.h
/usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-operands.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/tree-ssa-operands.h
/usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-propagate.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/tree-ssa-propagate.h
/usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-reassoc.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/tree-ssa-reassoc.h
/usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-sccvn.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/tree-ssa-sccvn.h
/usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-scopedtables.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/tree-ssa-scopedtables.h
/usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-strlen.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/tree-ssa-strlen.h
/usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-ter.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/tree-ssa-ter.h
/usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-threadedge.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/tree-ssa-threadedge.h
/usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-threadupdate.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/tree-ssa-threadupdate.h
/usr/bin/install -c -m 644 ../../src/gcc/tree-ssa.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/tree-ssa.h
/usr/bin/install -c -m 644 ../../src/gcc/tree-ssanames.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/tree-ssanames.h
/usr/bin/install -c -m 644 ../../src/gcc/tree-stdarg.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/tree-stdarg.h
/usr/bin/install -c -m 644 ../../src/gcc/tree-streamer.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/tree-streamer.h
/usr/bin/install -c -m 644 ../../src/gcc/tree-switch-conversion.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/tree-switch-conversion.h
/usr/bin/install -c -m 644 ../../src/gcc/tree-vector-builder.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/tree-vector-builder.h
/usr/bin/install -c -m 644 ../../src/gcc/tree-vectorizer.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/tree-vectorizer.h
/usr/bin/install -c -m 644 ../../src/gcc/tree-vrp.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/tree-vrp.h
/usr/bin/install -c -m 644 ../../src/gcc/tree.def /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/tree.def
/usr/bin/install -c -m 644 ../../src/gcc/tree.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/tree.h
/usr/bin/install -c -m 644 ../../src/gcc/treestruct.def /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/treestruct.def
/usr/bin/install -c -m 644 ../../src/gcc/tristate.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/tristate.h
/usr/bin/install -c -m 644 ../../src/gcc/tsan.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/tsan.h
/usr/bin/install -c -m 644 ../../src/gcc/tsystem.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/tsystem.h
/usr/bin/install -c -m 644 ../../src/gcc/typeclass.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/typeclass.h
/usr/bin/install -c -m 644 ../../src/gcc/typed-splay-tree.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/typed-splay-tree.h
/usr/bin/install -c -m 644 ../../src/gcc/ubsan.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/ubsan.h
/usr/bin/install -c -m 644 ../../src/gcc/valtrack.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/valtrack.h
/usr/bin/install -c -m 644 ../../src/gcc/value-pointer-equiv.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/value-pointer-equiv.h
/usr/bin/install -c -m 644 ../../src/gcc/value-prof.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/value-prof.h
/usr/bin/install -c -m 644 ../../src/gcc/value-query.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/value-query.h
/usr/bin/install -c -m 644 ../../src/gcc/value-range-equiv.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/value-range-equiv.h
/usr/bin/install -c -m 644 ../../src/gcc/value-range.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/value-range.h
/usr/bin/install -c -m 644 ../../src/gcc/value-relation.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/value-relation.h
/usr/bin/install -c -m 644 ../../src/gcc/varasm.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/varasm.h
/usr/bin/install -c -m 644 ../../src/gcc/vec-perm-indices.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/vec-perm-indices.h
/usr/bin/install -c -m 644 ../../src/gcc/vec.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/vec.h
/usr/bin/install -c -m 644 ../../src/gcc/vector-builder.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/vector-builder.h
/usr/bin/install -c -m 644 version.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/version.h
/usr/bin/install -c -m 644 ../../src/gcc/vmsdbg.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/vmsdbg.h
/usr/bin/install -c -m 644 ../../src/gcc/vr-values.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/vr-values.h
/usr/bin/install -c -m 644 ../../src/gcc/vtable-verify.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/vtable-verify.h
/usr/bin/install -c -m 644 ../../src/gcc/wide-int-bitmask.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/wide-int-bitmask.h
/usr/bin/install -c -m 644 ../../src/gcc/wide-int-print.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/wide-int-print.h
/usr/bin/install -c -m 644 ../../src/gcc/wide-int.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/wide-int.h
/usr/bin/install -c -m 644 ../../src/gcc/xcoff.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/xcoff.h
/usr/bin/install -c -m 644 ../../src/gcc/xcoffout.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/xcoffout.h
/usr/bin/install -c -m 644 b-header-vars /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/include/b-header-vars
make[4]: Leaving directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc'
make[4]: Entering directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/intl'
make[4]: Nothing to be done for 'install'.
make[4]: Leaving directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/intl'
make[4]: Entering directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/libbacktrace'
true  DO=all multi-do # /usr/bin/make
make[5]: Entering directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/libbacktrace'
true  DO=install multi-do # /usr/bin/make
make[5]: Nothing to be done for 'install-data-am'.
make[5]: Leaving directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/libbacktrace'
make[4]: Leaving directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/libbacktrace'
make[4]: Entering directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/libcpp'
test -f config.h || (rm -f stamp-h1 && /usr/bin/make stamp-h1)
mkdir -p -- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ca/LC_MESSAGES
mkdir -p -- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/eo/LC_MESSAGES
mkdir -p -- /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/pt_BR/LC_MESSAGES
cats="po/be.gmo po/ca.gmo po/da.gmo po/de.gmo po/el.gmo po/eo.gmo po/es.gmo po/fi.gmo po/fr.gmo po/id.gmo po/ja.gmo po/nl.gmo po/pt_BR.gmo po/ru.gmo po/sr.gmo po/sv.gmo po/tr.gmo po/uk.gmo po/vi.gmo po/zh_CN.gmo po/zh_TW.gmo po/be.gmo po/ca.gmo po/da.gmo po/de.gmo po/el.gmo po/eo.gmo po/es.gmo po/fi.gmo po/fr.gmo po/id.gmo po/ja.gmo po/nl.gmo po/pt_BR.gmo po/ru.gmo po/sr.gmo po/sv.gmo po/tr.gmo po/uk.gmo po/vi.gmo po/zh_CN.gmo po/zh_TW.gmo"; for cat in $cats; do \
  lang=`basename $cat | sed 's/\.gmo$//'`; \
  if [ -f $cat ]; then :; \
  elif [ -f ../../src/libcpp/$cat ]; then cat=../../src/libcpp/$cat; \
  else continue; \
  fi; \
  dir=/usr/lib/ghdl/gcc/share/locale/$lang/LC_MESSAGES; \
  echo /usr/bin/install -c -m 644 $cat /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc$dir/cpplib-12.mo; \
  /usr/bin/install -c -m 644 $cat /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc$dir/cpplib-12.mo; \
done
/usr/bin/install -c -m 644 ../../src/libcpp/po/be.gmo /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/be/LC_MESSAGES/cpplib-12.mo
/usr/bin/install -c -m 644 ../../src/libcpp/po/ca.gmo /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ca/LC_MESSAGES/cpplib-12.mo
/usr/bin/install -c -m 644 ../../src/libcpp/po/da.gmo /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/da/LC_MESSAGES/cpplib-12.mo
/usr/bin/install -c -m 644 ../../src/libcpp/po/de.gmo /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/de/LC_MESSAGES/cpplib-12.mo
/usr/bin/install -c -m 644 ../../src/libcpp/po/el.gmo /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/el/LC_MESSAGES/cpplib-12.mo
/usr/bin/install -c -m 644 ../../src/libcpp/po/eo.gmo /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/eo/LC_MESSAGES/cpplib-12.mo
/usr/bin/install -c -m 644 ../../src/libcpp/po/es.gmo /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/es/LC_MESSAGES/cpplib-12.mo
/usr/bin/install -c -m 644 ../../src/libcpp/po/fi.gmo /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/fi/LC_MESSAGES/cpplib-12.mo
/usr/bin/install -c -m 644 ../../src/libcpp/po/fr.gmo /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/fr/LC_MESSAGES/cpplib-12.mo
/usr/bin/install -c -m 644 ../../src/libcpp/po/id.gmo /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/id/LC_MESSAGES/cpplib-12.mo
/usr/bin/install -c -m 644 ../../src/libcpp/po/ja.gmo /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ja/LC_MESSAGES/cpplib-12.mo
/usr/bin/install -c -m 644 ../../src/libcpp/po/nl.gmo /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/nl/LC_MESSAGES/cpplib-12.mo
/usr/bin/install -c -m 644 ../../src/libcpp/po/pt_BR.gmo /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/pt_BR/LC_MESSAGES/cpplib-12.mo
/usr/bin/install -c -m 644 ../../src/libcpp/po/ru.gmo /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ru/LC_MESSAGES/cpplib-12.mo
/usr/bin/install -c -m 644 ../../src/libcpp/po/sr.gmo /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/sr/LC_MESSAGES/cpplib-12.mo
/usr/bin/install -c -m 644 ../../src/libcpp/po/sv.gmo /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/sv/LC_MESSAGES/cpplib-12.mo
/usr/bin/install -c -m 644 ../../src/libcpp/po/tr.gmo /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/tr/LC_MESSAGES/cpplib-12.mo
/usr/bin/install -c -m 644 ../../src/libcpp/po/uk.gmo /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/uk/LC_MESSAGES/cpplib-12.mo
/usr/bin/install -c -m 644 ../../src/libcpp/po/vi.gmo /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/vi/LC_MESSAGES/cpplib-12.mo
/usr/bin/install -c -m 644 ../../src/libcpp/po/zh_CN.gmo /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/zh_CN/LC_MESSAGES/cpplib-12.mo
/usr/bin/install -c -m 644 ../../src/libcpp/po/zh_TW.gmo /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/zh_TW/LC_MESSAGES/cpplib-12.mo
/usr/bin/install -c -m 644 ../../src/libcpp/po/be.gmo /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/be/LC_MESSAGES/cpplib-12.mo
/usr/bin/install -c -m 644 ../../src/libcpp/po/ca.gmo /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ca/LC_MESSAGES/cpplib-12.mo
/usr/bin/install -c -m 644 ../../src/libcpp/po/da.gmo /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/da/LC_MESSAGES/cpplib-12.mo
/usr/bin/install -c -m 644 ../../src/libcpp/po/de.gmo /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/de/LC_MESSAGES/cpplib-12.mo
/usr/bin/install -c -m 644 ../../src/libcpp/po/el.gmo /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/el/LC_MESSAGES/cpplib-12.mo
/usr/bin/install -c -m 644 ../../src/libcpp/po/eo.gmo /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/eo/LC_MESSAGES/cpplib-12.mo
/usr/bin/install -c -m 644 ../../src/libcpp/po/es.gmo /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/es/LC_MESSAGES/cpplib-12.mo
/usr/bin/install -c -m 644 ../../src/libcpp/po/fi.gmo /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/fi/LC_MESSAGES/cpplib-12.mo
/usr/bin/install -c -m 644 ../../src/libcpp/po/fr.gmo /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/fr/LC_MESSAGES/cpplib-12.mo
/usr/bin/install -c -m 644 ../../src/libcpp/po/id.gmo /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/id/LC_MESSAGES/cpplib-12.mo
/usr/bin/install -c -m 644 ../../src/libcpp/po/ja.gmo /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ja/LC_MESSAGES/cpplib-12.mo
/usr/bin/install -c -m 644 ../../src/libcpp/po/nl.gmo /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/nl/LC_MESSAGES/cpplib-12.mo
/usr/bin/install -c -m 644 ../../src/libcpp/po/pt_BR.gmo /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/pt_BR/LC_MESSAGES/cpplib-12.mo
/usr/bin/install -c -m 644 ../../src/libcpp/po/ru.gmo /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ru/LC_MESSAGES/cpplib-12.mo
/usr/bin/install -c -m 644 ../../src/libcpp/po/sr.gmo /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/sr/LC_MESSAGES/cpplib-12.mo
/usr/bin/install -c -m 644 ../../src/libcpp/po/sv.gmo /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/sv/LC_MESSAGES/cpplib-12.mo
/usr/bin/install -c -m 644 ../../src/libcpp/po/tr.gmo /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/tr/LC_MESSAGES/cpplib-12.mo
/usr/bin/install -c -m 644 ../../src/libcpp/po/uk.gmo /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/uk/LC_MESSAGES/cpplib-12.mo
/usr/bin/install -c -m 644 ../../src/libcpp/po/vi.gmo /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/vi/LC_MESSAGES/cpplib-12.mo
/usr/bin/install -c -m 644 ../../src/libcpp/po/zh_CN.gmo /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/zh_CN/LC_MESSAGES/cpplib-12.mo
/usr/bin/install -c -m 644 ../../src/libcpp/po/zh_TW.gmo /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/zh_TW/LC_MESSAGES/cpplib-12.mo
make[4]: Leaving directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/libcpp'
make[4]: Entering directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/libdecnumber'
make[4]: Nothing to be done for 'install'.
make[4]: Leaving directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/libdecnumber'
make[4]: Entering directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/libiberty'
make[5]: Entering directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/libiberty/testsuite'
make[5]: Nothing to be done for 'all'.
make[5]: Leaving directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/libiberty/testsuite'
if test -n ""; then \
	/bin/bash ../../src/libiberty/../mkinstalldirs /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/`arm-linux-gnueabihf-gcc-12 -g -O2     -print-multi-os-directory`; \
	/usr/bin/install -c -m 644 ./libiberty.a /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/`arm-linux-gnueabihf-gcc-12 -g -O2     -print-multi-os-directory`/./libiberty.an; \
	( cd /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/`arm-linux-gnueabihf-gcc-12 -g -O2     -print-multi-os-directory` ; chmod 644 ./libiberty.an ;arm-linux-gnueabihf-ranlib ./libiberty.an ); \
	mv -f /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/`arm-linux-gnueabihf-gcc-12 -g -O2     -print-multi-os-directory`/./libiberty.an /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/`arm-linux-gnueabihf-gcc-12 -g -O2     -print-multi-os-directory`/./libiberty.a; \
	case "" in \
	  /*)    thd=;; \
	  *)     thd=/usr/lib/ghdl/gcc/include/;; \
	esac; \
	/bin/bash ../../src/libiberty/../mkinstalldirs /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc${thd}; \
	for h in ../../src/libiberty/../include/ansidecl.h ../../src/libiberty/../include/demangle.h ../../src/libiberty/../include/dyn-string.h ../../src/libiberty/../include/fibheap.h ../../src/libiberty/../include/floatformat.h ../../src/libiberty/../include/hashtab.h ../../src/libiberty/../include/libiberty.h ../../src/libiberty/../include/objalloc.h ../../src/libiberty/../include/partition.h ../../src/libiberty/../include/safe-ctype.h ../../src/libiberty/../include/sort.h ../../src/libiberty/../include/splay-tree.h ../../src/libiberty/../include/timeval-utils.h; do \
	  /usr/bin/install -c -m 644 $h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc${thd}; \
	done; \
fi
make[5]: Entering directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/libiberty/testsuite'
make[5]: Nothing to be done for 'install'.
make[5]: Leaving directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/libiberty/testsuite'
make[4]: Leaving directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/libiberty'
make[4]: Entering directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/c++tools'
/bin/bash ../../src/c++tools/../mkinstalldirs /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12
/usr/bin/install -c g++-mapper-server /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12
make[4]: Leaving directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/c++tools'
make[4]: Entering directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/libcc1'
make[5]: Entering directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/libcc1'
make[5]: Nothing to be done for 'install-exec-am'.
 /bin/mkdir -p '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/.'
 /bin/bash ./libtool   --mode=install /usr/bin/install -c   libcc1.la '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/.'
libtool: install: /usr/bin/install -c .libs/libcc1.so.0.0.0 /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/./libcc1.so.0.0.0
libtool: install: (cd /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/. && { ln -s -f libcc1.so.0.0.0 libcc1.so.0 || { rm -f libcc1.so.0 && ln -s libcc1.so.0.0.0 libcc1.so.0; }; })
libtool: install: (cd /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/. && { ln -s -f libcc1.so.0.0.0 libcc1.so || { rm -f libcc1.so && ln -s libcc1.so.0.0.0 libcc1.so; }; })
libtool: install: /usr/bin/install -c .libs/libcc1.lai /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/./libcc1.la
libtool: install: warning: remember to run `libtool --finish /usr/lib/ghdl/gcc/lib/.'
 /bin/mkdir -p '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin'
 /bin/bash ./libtool   --mode=install /usr/bin/install -c   libcc1plugin.la libcp1plugin.la '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin'
libtool: install: /usr/bin/install -c .libs/libcc1plugin.so.0.0.0 /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/libcc1plugin.so.0.0.0
libtool: install: (cd /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin && { ln -s -f libcc1plugin.so.0.0.0 libcc1plugin.so.0 || { rm -f libcc1plugin.so.0 && ln -s libcc1plugin.so.0.0.0 libcc1plugin.so.0; }; })
libtool: install: (cd /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin && { ln -s -f libcc1plugin.so.0.0.0 libcc1plugin.so || { rm -f libcc1plugin.so && ln -s libcc1plugin.so.0.0.0 libcc1plugin.so; }; })
libtool: install: /usr/bin/install -c .libs/libcc1plugin.lai /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/libcc1plugin.la
libtool: install: /usr/bin/install -c .libs/libcp1plugin.so.0.0.0 /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/libcp1plugin.so.0.0.0
libtool: install: (cd /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin && { ln -s -f libcp1plugin.so.0.0.0 libcp1plugin.so.0 || { rm -f libcp1plugin.so.0 && ln -s libcp1plugin.so.0.0.0 libcp1plugin.so.0; }; })
libtool: install: (cd /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin && { ln -s -f libcp1plugin.so.0.0.0 libcp1plugin.so || { rm -f libcp1plugin.so && ln -s libcp1plugin.so.0.0.0 libcp1plugin.so; }; })
libtool: install: /usr/bin/install -c .libs/libcp1plugin.lai /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin/libcp1plugin.la
libtool: install: warning: remember to run `libtool --finish /usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/plugin'
make[5]: Leaving directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/libcc1'
make[4]: Leaving directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/libcc1'
make[4]: Entering directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/arm-linux-gnueabihf/libgcc'
/bin/bash ../../../src/libgcc/../mkinstalldirs /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12
/usr/bin/install -c -m 644 libgcc_eh.a /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/
chmod 644 /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/libgcc_eh.a
arm-linux-gnueabihf-ranlib /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/libgcc_eh.a
/bin/bash ../../../src/libgcc/../mkinstalldirs /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib; /usr/bin/install -c -m 644 ./libgcc_s.so.1 /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/libgcc_s.so.1; rm -f /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/libgcc_s.so; /usr/bin/install -c -m 644 ./libgcc_s.so /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/libgcc_s.so
/bin/bash ../../../src/libgcc/../mkinstalldirs /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12
/usr/bin/install -c -m 644 libgcc.a /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/
chmod 644 /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/libgcc.a
arm-linux-gnueabihf-ranlib /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/libgcc.a
/usr/bin/install -c -m 644 libgcov.a /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/
chmod 644 /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/libgcov.a
arm-linux-gnueabihf-ranlib /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/libgcov.a
parts="crtbegin.o crtbeginS.o crtbeginT.o crtend.o crtendS.o crtfastmath.o";				\
for file in $parts; do					\
  rm -f /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/$file;		\
  /usr/bin/install -c -m 644 $file /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/;	\
  case $file in 					\
    *.a)						\
      arm-linux-gnueabihf-ranlib ../.././gcc/$file ;;	\
  esac;							\
done
/bin/bash ../../../src/libgcc/../mkinstalldirs /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/include
/usr/bin/install -c -m 644 unwind.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/include
/bin/bash ../../../src/libgcc/../mkinstalldirs /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/include
/usr/bin/install -c -m 644 ../../../src/libgcc/gcov.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/arm-linux-gnueabihf/12/include
make[5]: Entering directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/arm-linux-gnueabihf/libgcc'
make[5]: Leaving directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/arm-linux-gnueabihf/libgcc'
make[4]: Leaving directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build/arm-linux-gnueabihf/libgcc'
make[3]: Leaving directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build'
make[2]: Leaving directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/build'
/usr/bin/make -C /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc install DESTDIR=/<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc
make[2]: Entering directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc'
cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j4 -R -eS  -c -aI/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -aI.. \
  -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY ghdl_main -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -cargs 

GNATMAKE 12.2.0
Copyright (C) 1992-2022, Free Software Foundation, Inc.
  "ghdl_main.ali" being checked ...
  "grt.ali" being checked ...
  "grt-errors.ali" being checked ...
  "grt-main.ali" being checked ...
  "grt-options.ali" being checked ...
  "grt-rtis_binding.ali" being checked ...
  "grt-std_logic_1164.ali" being checked ...
  "grt-types.ali" being checked ...
  "grt-astdio.ali" being checked ...
  "grt-astdio-vhdl.ali" being checked ...
  "grt-hooks.ali" being checked ...
  "grt-stdio.ali" being checked ...
  "grt-change_generics.ali" being checked ...
  "grt-files.ali" being checked ...
  "grt-images.ali" being checked ...
  "grt-lib.ali" being checked ...
  "grt-modules.ali" being checked ...
  "grt-names.ali" being checked ...
  "grt-processes.ali" being checked ...
  "grt-shadow_ieee.ali" being checked ...
  "grt-signals.ali" being checked ...
  "grt-stats.ali" being checked ...
  "grt-values.ali" being checked ...
  "grt-severity.ali" being checked ...
  "grt-strings.ali" being checked ...
  "grt-wave_opt.ali" being checked ...
  "grt-wave_opt-file.ali" being checked ...
  "grt-rtis.ali" being checked ...
  "grt-c.ali" being checked ...
  "grt-callbacks.ali" being checked ...
  "grt-avhpi.ali" being checked ...
  "grt-avhpi_utils.ali" being checked ...
  "grt-rtis_addr.ali" being checked ...
  "grt-errors_exec.ali" being checked ...
  "grt-table.ali" being checked ...
  "grt-rtis_utils.ali" being checked ...
  "grt-to_strings.ali" being checked ...
  "grt-backtraces.ali" being checked ...
  "grt-fcvt.ali" being checked ...
  "grt-disp_rti.ali" being checked ...
  "grt-disp_tree.ali" being checked ...
  "grt-fst.ali" being checked ...
  "grt-psl.ali" being checked ...
  "grt-vcd.ali" being checked ...
  "grt-vcdz.ali" being checked ...
  "grt-vhpi.ali" being checked ...
  "grt-vital_annotate.ali" being checked ...
  "grt-vpi.ali" being checked ...
  "grt-waves.ali" being checked ...
  "grt-vstrings.ali" being checked ...
  "grt-disp.ali" being checked ...
  "grt-disp_signals.ali" being checked ...
  "grt-threads.ali" being checked ...
  "grt-stack2.ali" being checked ...
  "grt-vstrings_io.ali" being checked ...
  "grt-backtraces-impl.ali" being checked ...
  "grt-fst_api.ali" being checked ...
  "grt-rtis_types.ali" being checked ...
  "grt-wave_opt-design.ali" being checked ...
  "grt-zlib.ali" being checked ...
  "grt-sdf.ali" being checked ...
  "version.ali" being checked ...
  "grt-algos.ali" being checked ...
  "grt-avls.ali" being checked ...
  "grt-ghw.ali" being checked ...
  "grt-unithread.ali" being checked ...
  "grt-backtraces-gcc.ali" being checked ...
End of compilation
gnatmake: objects up to date.
sed -e '/^P /s/P /P NR /' < grt/ghdl_main.ali > grt/ghdl_main-tmp.ali
mv grt/ghdl_main-tmp.ali grt/ghdl_main.ali
cd grt && gnatmake -v -j4 -R -eS  -b ghdl_main.ali -bargs  -Lgrt_ -o run-bind.adb -n

GNATMAKE 12.2.0
Copyright (C) 1992-2022, Free Software Foundation, Inc.
arm-linux-gnueabihf-gnatbind-12 -Lgrt_ -o run-bind.adb -n -x ghdl_main.ali
cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j4 -R -eS  -u -c  -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -o run-bind.o run-bind.adb

GNATMAKE 12.2.0
Copyright (C) 1992-2022, Free Software Foundation, Inc.
  -> "run-bind.o" final executable
  "run-bind.ali" being checked ...
End of compilation
gnatmake: objects up to date.
cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j4 -R -eS  -u -c  -gnatec/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -o main.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/main.adb -Igrt

GNATMAKE 12.2.0
Copyright (C) 1992-2022, Free Software Foundation, Inc.
  -> "main.o" final executable
  "main.ali" being checked ...
End of compilation
gnatmake: objects up to date.
sed -e "1,/-- *BEGIN/d" -e "/-- *END/,\$d" \
  -e "s/   --   //" < grt/run-bind.adb | tr -d '\r' > grt/grt-files
rm -f -f lib/ghdl/gcc/libgrt.a
ar rcv lib/ghdl/gcc/libgrt.a `sed -e "/^-/d" -e "s!^!grt/!" < grt/grt-files` \
 jumps.o times.o grt-cstdio.o grt-cgnatrts.o grt-cvpi.o grt-cvhpi.o grt-cdynload.o fstapi.o lz4.o fastlz.o grt/run-bind.o grt/main.o
a - grt/./grt.o
a - grt/./grt-c.o
a - grt/./grt-fcvt.o
a - grt/./grt-severity.o
a - grt/./grt-stdio.o
a - grt/./grt-strings.o
a - grt/./grt-types.o
a - grt/./grt-astdio.o
a - grt/./grt-callbacks.o
a - grt/./grt-hooks.o
a - grt/./grt-wave_opt.o
a - grt/./grt-wave_opt-file.o
a - grt/./grt-astdio-vhdl.o
a - grt/./grt-errors.o
a - grt/./grt-options.o
a - grt/./grt-rtis.o
a - grt/./grt-rtis_binding.o
a - grt/./grt-shadow_ieee.o
a - grt/./grt-vstrings.o
a - grt/./grt-wave_opt-design.o
a - grt/./grt-algos.o
a - grt/./grt-avls.o
a - grt/./grt-fst_api.o
a - grt/./grt-ghw.o
a - grt/./grt-rtis_addr.o
a - grt/./grt-stack2.o
a - grt/./grt-table.o
a - grt/./grt-to_strings.o
a - grt/./grt-vstrings_io.o
a - grt/./grt-rtis_utils.o
a - grt/./grt-avhpi.o
a - grt/./grt-avhpi_utils.o
a - grt/./grt-backtraces-gcc.o
a - grt/./grt-backtraces-impl.o
a - grt/./grt-backtraces.o
a - grt/./grt-disp.o
a - grt/./grt-disp_signals.o
a - grt/./grt-stats.o
a - grt/./grt-unithread.o
a - grt/./grt-threads.o
a - grt/./grt-errors_exec.o
a - grt/./grt-processes.o
a - grt/./grt-signals.o
a - grt/./grt-disp_rti.o
a - grt/./grt-disp_tree.o
a - grt/./grt-files.o
a - grt/./grt-images.o
a - grt/./grt-lib.o
a - grt/./grt-names.o
a - grt/./grt-psl.o
a - grt/./grt-rtis_types.o
a - grt/./grt-vital_annotate.o
a - grt/./grt-sdf.o
a - grt/./grt-std_logic_1164.o
a - grt/./grt-values.o
a - grt/./grt-change_generics.o
a - grt/./grt-vcd.o
a - grt/./grt-fst.o
a - grt/./grt-vhpi.o
a - grt/./grt-waves.o
a - grt/./grt-zlib.o
a - grt/./grt-vcdz.o
a - grt/./version.o
a - grt/./grt-vpi.o
a - grt/./grt-modules.o
a - grt/./grt-main.o
a - grt/./ghdl_main.o
a - jumps.o
a - times.o
a - grt-cstdio.o
a - grt-cgnatrts.o
a - grt-cvpi.o
a - grt-cvhpi.o
a - grt-cdynload.o
a - fstapi.o
a - lz4.o
a - fastlz.o
a - grt/run-bind.o
a - grt/main.o
ranlib lib/ghdl/gcc/libgrt.a
sed -e "\!^.[/\\]!d" -e "/-shared/d" -e "/-static/d" -e "/-lgnat/d" \
  -e "\X-L/Xd" < grt/grt-files > grt/grt-files.in
echo "@/libgrt.a" > lib/ghdl/gcc/grt.lst
for i in -ldl -lm; do echo $i >> lib/ghdl/gcc/grt.lst; done
echo "@/libbacktrace.a" >> lib/ghdl/gcc/grt.lst
cat grt/grt-files.in >> lib/ghdl/gcc/grt.lst
echo "# link options for executables" > lib/ghdl/gcc/grt-exec.lst
for i in -Wl,--version-script=@/grt.ver -Wl,--export-dynamic; do echo $i >> lib/ghdl/gcc/grt-exec.lst; done
echo "# link options for shared libraries" > lib/ghdl/gcc/grt-shared.lst
for i in -Wl,-u,ghdl_main; do echo $i >> lib/ghdl/gcc/grt-shared.lst; done
mkdir -p /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr
mkdir -p /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/bin
mkdir -p /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc
mkdir -p /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc
mkdir -p /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/vhdl
mkdir -p /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/include
mkdir -p /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/include/ghdl
install -m 644 lib/ghdl/gcc/libgrt.a /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/vhdl/libgrt.a
install -m 644 lib/ghdl/gcc/grt.lst /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/vhdl/grt.lst
install -m 644 lib/ghdl/gcc/grt-exec.lst /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/vhdl/grt-exec.lst
install -m 644 lib/ghdl/gcc/grt-shared.lst /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/vhdl/grt-shared.lst
install -m 644 /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.ver /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/vhdl/grt.ver
test "x/usr/lib/ghdl/gcc/libbacktrace.a" = x || test "xgcc" = xgcc || \
  install -m 644 /usr/lib/ghdl/gcc/libbacktrace.a /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/vhdl/libbacktrace.a
for d in ieee/v87 ieee/v93 ieee/v08 std/v87 std/v93 std/v08 src/ieee src/ieee/v87 src/ieee/v93 src/ieee2008 src/std src/std/v87 src/std/v93 src/std/v08 src/synopsys src/synopsys/v08 src/upf; do \
  mkdir -p /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/vhdl/$d; \
  install -m 644 -p \
    lib/ghdl/gcc/$d/* /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/vhdl/$d; \
done
install: omitting directory 'lib/ghdl/gcc/src/ieee/v87'
install: omitting directory 'lib/ghdl/gcc/src/ieee/v93'
install: omitting directory 'lib/ghdl/gcc/src/std/v08'
install: omitting directory 'lib/ghdl/gcc/src/std/v87'
install: omitting directory 'lib/ghdl/gcc/src/std/v93'
install: omitting directory 'lib/ghdl/gcc/src/synopsys/v08'
install -m 644 -p \
    ../../scripts/ansi_color.sh /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/vhdl/;
mkdir -p /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/vhdl/vendors
install -m 644 -p \
    ../../scripts/vendors/* /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/vhdl/vendors/
install -m 755 -p \
    ../../scripts/vendors/*.sh /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/vhdl/vendors/
gcc-12 -c -g -o vpi_thunk.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vpi_thunk.c -fPIC -g -Wall -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong
gcc-12 -c -g -o vhpi_thunk.o /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_thunk.c -fPIC -g -Wall -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-2.0.0+dfsg=. -fstack-protector-strong
In file included from /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_thunk.c:30:
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:2:1: warning: "/*" within comment [-Wcomment]
    2 | /*
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:3:1: warning: "/*" within comment [-Wcomment]
    3 | /* Copyright 2019 IEEE P1076 WG Authors
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:4:1: warning: "/*" within comment [-Wcomment]
    4 | /*
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:5:1: warning: "/*" within comment [-Wcomment]
    5 | /* See the LICENSE file distributed with this work for copyright and
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:6:1: warning: "/*" within comment [-Wcomment]
    6 | /* licensing information and the AUTHORS file.
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:7:1: warning: "/*" within comment [-Wcomment]
    7 | /*
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:8:1: warning: "/*" within comment [-Wcomment]
    8 | /* This file to you under the Apache License, Version 2.0 (the "License").
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:9:1: warning: "/*" within comment [-Wcomment]
    9 | /* You may obtain a copy of the License at
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:10:1: warning: "/*" within comment [-Wcomment]
   10 | /*
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:11:1: warning: "/*" within comment [-Wcomment]
   11 | /*     http://www.apache.org/licenses/LICENSE-2.0
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:12:1: warning: "/*" within comment [-Wcomment]
   12 | /*
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:13:1: warning: "/*" within comment [-Wcomment]
   13 | /* Unless required by applicable law or agreed to in writing, software
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:14:1: warning: "/*" within comment [-Wcomment]
   14 | /* distributed under the License is distributed on an "AS IS" BASIS,
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:15:1: warning: "/*" within comment [-Wcomment]
   15 | /* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:16:1: warning: "/*" within comment [-Wcomment]
   16 | /* implied.  See the License for the specific language governing
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:17:1: warning: "/*" within comment [-Wcomment]
   17 | /* permissions and limitations under the License.
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:18:1: warning: "/*" within comment [-Wcomment]
   18 | /*
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:19:1: warning: "/*" within comment [-Wcomment]
   19 | /*
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:20:1: warning: "/*" within comment [-Wcomment]
   20 | /*   Title     :  vhpi_user.h
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:21:1: warning: "/*" within comment [-Wcomment]
   21 | /*             :
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:22:1: warning: "/*" within comment [-Wcomment]
   22 | /*   Developers:  IEEE P1076 Working Group, VHPI Task Force
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:23:1: warning: "/*" within comment [-Wcomment]
   23 | /*             :
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:24:1: warning: "/*" within comment [-Wcomment]
   24 | /*   Purpose   :  This header file describes the procedural interface
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:25:1: warning: "/*" within comment [-Wcomment]
   25 | /*             :  to access VHDL compiled, instantiated and run-time
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:26:1: warning: "/*" within comment [-Wcomment]
   26 | /*             :  data.It is derived from the UML model. For conformance
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:27:1: warning: "/*" within comment [-Wcomment]
   27 | /*             :  with the VHPI standard, a VHPI application or program
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:28:1: warning: "/*" within comment [-Wcomment]
   28 | /*             :  shall reference this header file.
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:29:1: warning: "/*" within comment [-Wcomment]
   29 | /*             :
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:30:1: warning: "/*" within comment [-Wcomment]
   30 | /*   Note      :  The contents of this file may be modified in an
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:31:1: warning: "/*" within comment [-Wcomment]
   31 | /*             :  implementation to provide implementation-defined
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:32:1: warning: "/*" within comment [-Wcomment]
   32 | /*             :  functionality, as described in B.3.
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:33:1: warning: "/*" within comment [-Wcomment]
   33 | /*             :
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:34:1: warning: "/*" within comment [-Wcomment]
   34 | /* --------------------------------------------------------------------
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:35:1: warning: "/*" within comment [-Wcomment]
   35 | /* modification history :
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:36:1: warning: "/*" within comment [-Wcomment]
   36 | /* --------------------------------------------------------------------
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:37:1: warning: "/*" within comment [-Wcomment]
   37 | /* $Revision: 1315 $
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:38:1: warning: "/*" within comment [-Wcomment]
   38 | /* $Date: 2008-07-13 10:11:53 +0930 (Sun, 13 Jul 2008) $
      |  
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:39:1: warning: "/*" within comment [-Wcomment]
   39 | /* --------------------------------------------------------------------
      |  
gcc-12 -g -Wl,-z,relro -Wl,-z,now -Wl,-soname,libghdlvpi.so -Wl,-z,relro -Wl,-z,now -shared -o lib/libghdlvpi.so vpi_thunk.o vhpi_thunk.o
install -m 755 -p lib/libghdlvpi.so /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/
install -m 644 -p /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vpi_user.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/include/ghdl/
install -m 644 -p /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/include/ghdl/
install -m 755 -p lib/libghdl-2_0_0.so /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/
install -m 755 -p libghdl.a /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/
install -m 644 -p libghdl.link /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/
install -m 644 -p ../../src/synth/include/synth.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/include/ghdl
install -m 644 -p ../../src/synth/include/synth_gates.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/include/ghdl
install -m 755 -p ghwdump /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/bin/
install -m 755 -p lib/libghw.so /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/
install -m 644 -p ../../ghw/libghw.h /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/include/ghdl/
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/bin/ghdl-gcc --disp-standard --std=87 > /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/vhdl/src/std/v87/standard.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/bin/ghdl-gcc --disp-standard --std=93 > /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/vhdl/src/std/v93/standard.vhdl
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/bin/ghdl-gcc --disp-standard --std=08 > /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/vhdl/src/std/v08/standard.vhdl
make[2]: Leaving directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/gcc'
mv /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/bin/ghdl-gcc \
   /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/bin/ghdl-gcc
mv /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/ghdl/libbacktrace.a \
   /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/vhdl/libbacktrace.a
ln -sf ghdl/gcc/lib/gcc /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/gcc
debian/tests/ghdl-tests buildtest gcc
> tests:  sanity gna vests synth vpi vhpi
> args: --keep-going
GHDL is: /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/bin/ghdl-gcc
GHDL 2.0.0 (Raspbian 2.0.0+dfsg-6.2) [Dunoon edition]
 Compiled with GNAT Version: 12.2.0
 GCC back-end code generator
Written by Tristan Gingold.

Copyright (C) 2003 - 2022 Tristan Gingold.
GHDL is free software, covered by the GNU General Public License.  There is NO
warranty; not even for MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.
REF: unknown
HASH: unknown
GHDL help
usage: /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/bin/ghdl-gcc COMMAND [OPTIONS] ...
COMMAND is one of:
analyze [OPTS] FILEs
  Analyze one or multiple VHDL files
  aliases: -a, analyse
elaborate [OPTS] UNIT [ARCH]
  Elaborate design UNIT
  alias: -e
run UNIT [ARCH] [RUNOPTS]
  Run design UNIT
  alias: -r
elab-run [OPTS] UNIT [ARCH] [RUNOPTS]
  Elaborate and run design UNIT
  alias: --elab-run
bind [OPTS] UNIT [ARCH]
  Bind design UNIT
  alias: --bind
link [OPTS] UNIT [ARCH]
  Link design UNIT
  alias: --link
list-link [OPTS] UNIT [ARCH]
  List objects file to link UNIT
  alias: --list-link
compile [OPTS] FILEs -e UNIT [ARCH]
  Generate whole sequence to elaborate design UNIT from FILEs
  alias: -c
make [OPTS] UNIT [ARCH]
  Make design UNIT
  alias: -m
gen-makefile [OPTS] UNIT [ARCH]
  Generate a Makefile for design UNIT
  alias: --gen-makefile
gen-depends [OPTS] UNIT [ARCH]
  Generate dependencies of design UNIT
  alias: --gen-depends
disp-config
  Display tools path
  aliases: --disp-config, dispconfig, --dispconfig
bootstrap-std
  (internal) Compile std.standard
  alias: --bootstrap-standard
synth [FILES... -e] UNIT [ARCH]
  Synthesis from UNIT
  alias: --synth
--libghdl-name
  Display libghdl name
--libghdl-library-path
  Display libghdl library path
--libghdl-include-dir
  Display libghdl include directory
import [OPTS] FILEs
  Import units of FILEs
  alias: -i
syntax [OPTS] FILEs
  Check syntax of FILEs
  alias: -s
dir [LIBs]
  Display contents of the libraries
  alias: --dir
files FILEs
  Display units in FILES
  alias: -f
clean
  Remove generated files
  alias: --clean
remove
  Remove generated files and library file
  alias: --remove
copy
  Copy work library to current directory
  alias: --copy
disp-standard
  Disp std.standard in pseudo-vhdl
  alias: --disp-standard
elab-order [--libraries] [OPTS] UNIT [ARCH]
  Display ordered source files
  alias: --elab-order
find-top
  Display possible top entity in work library
  alias: --find-top
chop [OPTS] FILEs
  Chop FILEs
  alias: --chop
lines FILEs
  Precede line with its number
  alias: --lines
reprint [OPTS] FILEs
  Redisplay FILEs
  alias: --reprint
fmt [OPTS] FILEs
  Format FILEs
  alias: --format
compare-tokens [OPTS] REF FILEs
  Compare FILEs with REF
  alias: --compare-tokens
pp-html FILEs
  Pretty-print FILEs in HTML
  alias: --pp-html
xref-html FILEs
  Display FILEs in HTML with xrefs
  alias: --xref-html
xref FILEs
  Generate xrefs
  alias: --xref
--vpi-compile CMD ARGS
  Compile with VPI/VHPI include path
--vpi-link CMD ARGS
  Link with VPI/VHPI library
--vpi-cflags
  Display VPI/VHPI compile flags
--vpi-ldflags
  Display VPI/VHPI link flags
--vpi-include-dir
  Display VPI/VHPI include directory
--vpi-library-dir
  Display VPI/VHPI library directory
--vpi-library-dir-unix
  Display VPI/VHPI library directory (unix form)
file-to-xml FILEs
  Dump AST in XML
  alias: --file-to-xml
help [CMD]
  Display this help or [help on CMD]
  aliases: -h, --help
version
  Display ghdl version
  aliases: -v, --version
opts-help
  Display help for analyzer options
  alias: --options-help

To display the options of a GHDL program,
  run your program with the 'help' option.
Also see 'opts-help' for analyzer options.

Please, refer to the GHDL manual for more information.
Report issues on https://github.com/ghdl/ghdl
[GHDL - test] sanity
..Running with 4 test workers.
sanity 005examples: ok
sanity 006upf: ok
sanity 004all08: ok
sanity 000hello: failed
analyze hello.vhdl
elaborate and simulate hello
/usr/bin/ld: error: hello uses VFP register arguments, e~hello.o does not
/usr/bin/ld: failed to merge target specific data of file e~hello.o
/usr/bin/ld: error: hello uses VFP register arguments, /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/vhdl/std/v93/std_standard.o does not
/usr/bin/ld: failed to merge target specific data of file /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/vhdl/std/v93/std_standard.o
/usr/bin/ld: error: hello uses VFP register arguments, hello.o does not
/usr/bin/ld: failed to merge target specific data of file hello.o
collect2: error: ld returned 1 exit status
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/bin/ghdl-gcc: compilation error


sanity 002hello2008: failed
analyze hello.vhdl
elaborate and simulate hello
/usr/bin/ld: error: hello uses VFP register arguments, e~hello.o does not
/usr/bin/ld: failed to merge target specific data of file e~hello.o
/usr/bin/ld: error: hello uses VFP register arguments, /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/vhdl/std/v08/std_standard.o does not
/usr/bin/ld: failed to merge target specific data of file /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/vhdl/std/v08/std_standard.o
/usr/bin/ld: error: hello uses VFP register arguments, hello.o does not
/usr/bin/ld: failed to merge target specific data of file hello.o
collect2: error: ld returned 1 exit status
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/bin/ghdl-gcc: compilation error


sanity 001hello87: failed
analyze hello.vhdl
elaborate and simulate hello
/usr/bin/ld: error: hello uses VFP register arguments, e~hello.o does not
/usr/bin/ld: failed to merge target specific data of file e~hello.o
/usr/bin/ld: error: hello uses VFP register arguments, /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/vhdl/std/v87/std_standard.o does not
/usr/bin/ld: failed to merge target specific data of file /<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/vhdl/std/v87/std_standard.o
/usr/bin/ld: error: hello uses VFP register arguments, hello.o does not
/usr/bin/ld: failed to merge target specific data of file hello.o
collect2: error: ld returned 1 exit status
/<<BUILDDIR>>/ghdl-2.0.0+dfsg/testrundir/gcc/usr/bin/ghdl-gcc: compilation error


sanity test failed (000hello 002hello2008 001hello87 )
make[1]: *** [debian/rules:323: /<<BUILDDIR>>/ghdl-2.0.0+dfsg/builddir/stamps/test-gcc] Error 1
make[1]: Leaving directory '/<<BUILDDIR>>/ghdl-2.0.0+dfsg'
make: *** [debian/rules:68: binary-arch] Error 2
dpkg-buildpackage: error: debian/rules binary-arch subprocess returned exit status 2
--------------------------------------------------------------------------------
Build finished at 2023-02-13T10:53:38Z

Finished
--------


+------------------------------------------------------------------------------+
| Cleanup                                                                      |
+------------------------------------------------------------------------------+

Purging /<<BUILDDIR>>
Not cleaning session: cloned chroot in use
E: Build failure (dpkg-buildpackage died)

+------------------------------------------------------------------------------+
| Summary                                                                      |
+------------------------------------------------------------------------------+

Build Architecture: armhf
Build-Space: 0
Build-Time: 12153
Distribution: bookworm-staging
Fail-Stage: build
Host Architecture: armhf
Install-Time: 1506
Job: ghdl_2.0.0+dfsg-6.2
Machine Architecture: armhf
Package: ghdl
Package-Time: 13730
Source-Version: 2.0.0+dfsg-6.2
Space: 0
Status: failed
Version: 2.0.0+dfsg-6.2
--------------------------------------------------------------------------------
Finished at 2023-02-13T10:53:38Z
Build needed 00:00:00, 0k disc space