Raspbian Package Auto-Building

Build log for ghdl (1.0.0+dfsg-5) on armhf

ghdl1.0.0+dfsg-5armhf → 2021-11-07 09:01:35

sbuild (Debian sbuild) 0.71.0 (24 Aug 2016) on testwandboard

+==============================================================================+
| ghdl 1.0.0+dfsg-5 (armhf)                    Sun, 07 Nov 2021 06:34:01 +0000 |
+==============================================================================+

Package: ghdl
Version: 1.0.0+dfsg-5
Source Version: 1.0.0+dfsg-5
Distribution: bookworm-staging
Machine Architecture: armhf
Host Architecture: armhf
Build Architecture: armhf

I: NOTICE: Log filtering will replace 'var/lib/schroot/mount/bookworm-staging-armhf-sbuild-4f042bc3-5b71-4d82-a322-4aec69f8bce6' with '<<CHROOT>>'

+------------------------------------------------------------------------------+
| Update chroot                                                                |
+------------------------------------------------------------------------------+

Get:1 http://172.17.0.1/private bookworm-staging InRelease [11.3 kB]
Get:2 http://172.17.0.1/private bookworm-staging/main Sources [12.5 MB]
Get:3 http://172.17.0.1/private bookworm-staging/main armhf Packages [13.4 MB]
Fetched 25.9 MB in 30s (869 kB/s)
Reading package lists...
W: No sandbox user '_apt' on the system, can not drop privileges

+------------------------------------------------------------------------------+
| Fetch source files                                                           |
+------------------------------------------------------------------------------+


Check APT
---------

Checking available source versions...

Download source files with APT
------------------------------

Reading package lists...
NOTICE: 'ghdl' packaging is maintained in the 'Git' version control system at:
https://salsa.debian.org/electronics-team/ghdl/ghdl.git
Please use:
git clone https://salsa.debian.org/electronics-team/ghdl/ghdl.git
to retrieve the latest (possibly unreleased) updates to the package.
Need to get 3921 kB of source archives.
Get:1 http://172.17.0.1/private bookworm-staging/main ghdl 1.0.0+dfsg-5 (dsc) [2571 B]
Get:2 http://172.17.0.1/private bookworm-staging/main ghdl 1.0.0+dfsg-5 (tar) [3897 kB]
Get:3 http://172.17.0.1/private bookworm-staging/main ghdl 1.0.0+dfsg-5 (diff) [21.5 kB]
Fetched 3921 kB in 2s (2465 kB/s)
Download complete and in download only mode
I: NOTICE: Log filtering will replace 'build/ghdl-giQBxl/ghdl-1.0.0+dfsg' with '<<PKGBUILDDIR>>'
I: NOTICE: Log filtering will replace 'build/ghdl-giQBxl' with '<<BUILDDIR>>'

+------------------------------------------------------------------------------+
| Install build-essential                                                      |
+------------------------------------------------------------------------------+


Setup apt archive
-----------------

Merged Build-Depends: build-essential, fakeroot
Filtered Build-Depends: build-essential, fakeroot
dpkg-deb: building package 'sbuild-build-depends-core-dummy' in '/<<BUILDDIR>>/resolver-ZVVTAH/apt_archive/sbuild-build-depends-core-dummy.deb'.
dpkg-scanpackages: warning: Packages in archive but missing from override file:
dpkg-scanpackages: warning:   sbuild-build-depends-core-dummy
dpkg-scanpackages: info: Wrote 1 entries to output Packages file.
gpg: keybox '/<<BUILDDIR>>/resolver-ZVVTAH/gpg/pubring.kbx' created
gpg: /<<BUILDDIR>>/resolver-ZVVTAH/gpg/trustdb.gpg: trustdb created
gpg: key 35506D9A48F77B2E: public key "Sbuild Signer (Sbuild Build Dependency Archive Key) <buildd-tools-devel@lists.alioth.debian.org>" imported
gpg: Total number processed: 1
gpg:               imported: 1
gpg: key 35506D9A48F77B2E: "Sbuild Signer (Sbuild Build Dependency Archive Key) <buildd-tools-devel@lists.alioth.debian.org>" not changed
gpg: key 35506D9A48F77B2E: secret key imported
gpg: Total number processed: 1
gpg:              unchanged: 1
gpg:       secret keys read: 1
gpg:   secret keys imported: 1
gpg: using "Sbuild Signer" as default secret key for signing
Ign:1 copy:/<<BUILDDIR>>/resolver-ZVVTAH/apt_archive ./ InRelease
Get:2 copy:/<<BUILDDIR>>/resolver-ZVVTAH/apt_archive ./ Release [957 B]
Get:3 copy:/<<BUILDDIR>>/resolver-ZVVTAH/apt_archive ./ Release.gpg [370 B]
Get:4 copy:/<<BUILDDIR>>/resolver-ZVVTAH/apt_archive ./ Sources [349 B]
Get:5 copy:/<<BUILDDIR>>/resolver-ZVVTAH/apt_archive ./ Packages [434 B]
Fetched 2110 B in 1s (2558 B/s)
Reading package lists...
W: No sandbox user '_apt' on the system, can not drop privileges
Reading package lists...

Install core build dependencies (apt-based resolver)
----------------------------------------------------

Installing build dependencies
Reading package lists...
Building dependency tree...
Reading state information...
The following package was automatically installed and is no longer required:
  netbase
Use 'apt autoremove' to remove it.
The following NEW packages will be installed:
  sbuild-build-depends-core-dummy
0 upgraded, 1 newly installed, 0 to remove and 4 not upgraded.
Need to get 848 B of archives.
After this operation, 0 B of additional disk space will be used.
Get:1 copy:/<<BUILDDIR>>/resolver-ZVVTAH/apt_archive ./ sbuild-build-depends-core-dummy 0.invalid.0 [848 B]
debconf: delaying package configuration, since apt-utils is not installed
Fetched 848 B in 0s (15.5 kB/s)
Selecting previously unselected package sbuild-build-depends-core-dummy.
(Reading database ... 12500 files and directories currently installed.)
Preparing to unpack .../sbuild-build-depends-core-dummy_0.invalid.0_armhf.deb ...
Unpacking sbuild-build-depends-core-dummy (0.invalid.0) ...
Setting up sbuild-build-depends-core-dummy (0.invalid.0) ...
W: No sandbox user '_apt' on the system, can not drop privileges

+------------------------------------------------------------------------------+
| Check architectures                                                          |
+------------------------------------------------------------------------------+

Arch check ok (armhf included in any)

+------------------------------------------------------------------------------+
| Install package build dependencies                                           |
+------------------------------------------------------------------------------+


Setup apt archive
-----------------

Merged Build-Depends: debhelper-compat (= 13), gnat-10, gcc-10-source, libisl-dev (>= 0.14), libmpc-dev (>= 1.0), libmpfr-dev (>= 3.0.0-9~), libgmp-dev (>= 2:5.0.1~), llvm-dev (>= 1:3.5), clang (>= 1:3.5), zlib1g-dev
Filtered Build-Depends: debhelper-compat (= 13), gnat-10, gcc-10-source, libisl-dev (>= 0.14), libmpc-dev (>= 1.0), libmpfr-dev (>= 3.0.0-9~), libgmp-dev (>= 2:5.0.1~), llvm-dev (>= 1:3.5), clang (>= 1:3.5), zlib1g-dev
dpkg-deb: building package 'sbuild-build-depends-ghdl-dummy' in '/<<BUILDDIR>>/resolver-ZVVTAH/apt_archive/sbuild-build-depends-ghdl-dummy.deb'.
dpkg-scanpackages: warning: Packages in archive but missing from override file:
dpkg-scanpackages: warning:   sbuild-build-depends-core-dummy sbuild-build-depends-ghdl-dummy
dpkg-scanpackages: info: Wrote 2 entries to output Packages file.
gpg: using "Sbuild Signer" as default secret key for signing
Ign:1 copy:/<<BUILDDIR>>/resolver-ZVVTAH/apt_archive ./ InRelease
Get:2 copy:/<<BUILDDIR>>/resolver-ZVVTAH/apt_archive ./ Release [963 B]
Get:3 copy:/<<BUILDDIR>>/resolver-ZVVTAH/apt_archive ./ Release.gpg [370 B]
Get:4 copy:/<<BUILDDIR>>/resolver-ZVVTAH/apt_archive ./ Sources [592 B]
Get:5 copy:/<<BUILDDIR>>/resolver-ZVVTAH/apt_archive ./ Packages [661 B]
Fetched 2586 B in 1s (3387 B/s)
Reading package lists...
W: No sandbox user '_apt' on the system, can not drop privileges
Reading package lists...

Install ghdl build dependencies (apt-based resolver)
----------------------------------------------------

Installing build dependencies
Reading package lists...
Building dependency tree...
Reading state information...
The following package was automatically installed and is no longer required:
  netbase
Use 'apt autoremove' to remove it.
The following additional packages will be installed:
  autoconf autoconf2.69 automake autopoint autotools-dev bsdextrautils clang
  clang-11 debhelper dh-autoreconf dh-strip-nondeterminism diffstat
  distro-info-data dwz ed file gawk gcc-10-source gettext gettext-base gnat-10
  groff-base icu-devtools intltool-debian libarchive-zip-perl libbsd0
  libclang-common-11-dev libclang-cpp11 libclang1-11 libdebhelper-perl
  libedit2 libelf1 libexpat1 libffi-dev libfile-stripnondeterminism-perl
  libgc1 libgmp-dev libgmpxx4ldbl libgnat-10 libgnat-util10 libicu-dev
  libicu67 libisl-dev libllvm11 libmagic-mgc libmagic1 libmd0 libmpc-dev
  libmpdec3 libmpfr-dev libncurses-dev libncurses6 libobjc-10-dev libobjc4
  libpfm4 libpipeline1 libpython3-stdlib libpython3.9-minimal
  libpython3.9-stdlib libsigsegv2 libsub-override-perl libtinfo-dev libtool
  libuchardet0 libxml2 libxml2-dev libyaml-0-2 libz3-4 libz3-dev llvm llvm-11
  llvm-11-dev llvm-11-linker-tools llvm-11-runtime llvm-11-tools llvm-dev
  llvm-runtime lsb-release m4 man-db media-types patchutils po-debconf python3
  python3-minimal python3-pkg-resources python3-pygments python3-yaml
  python3.9 python3.9-minimal quilt sensible-utils sharutils time zlib1g-dev
Suggested packages:
  autoconf-archive gnu-standards autoconf-doc clang-11-doc dh-make gawk-doc
  gettext-doc libasprintf-dev libgettextpo-dev gnat-10-doc
  ada-reference-manual-2012 gnat-10-sjlj groff gmp-doc libgmp10-doc icu-doc
  libmpfr-doc ncurses-doc libtool-doc gfortran | fortran95-compiler gcj-jdk
  pkg-config llvm-11-doc m4-doc apparmor less www-browser libmail-box-perl
  python3-doc python3-tk python3-venv python3-setuptools python-pygments-doc
  ttf-bitstream-vera python3.9-venv python3.9-doc binfmt-support default-mta
  | mail-transport-agent graphviz procmail sharutils-doc bsd-mailx | mailx
Recommended packages:
  curl | wget | lynx libarchive-cpio-perl libgpm2 ca-certificates libltdl-dev
  binfmt-support libmail-sendmail-perl less
The following NEW packages will be installed:
  autoconf autoconf2.69 automake autopoint autotools-dev bsdextrautils clang
  clang-11 debhelper dh-autoreconf dh-strip-nondeterminism diffstat
  distro-info-data dwz ed file gawk gcc-10-source gettext gettext-base gnat-10
  groff-base icu-devtools intltool-debian libarchive-zip-perl libbsd0
  libclang-common-11-dev libclang-cpp11 libclang1-11 libdebhelper-perl
  libedit2 libelf1 libexpat1 libffi-dev libfile-stripnondeterminism-perl
  libgc1 libgmp-dev libgmpxx4ldbl libgnat-10 libgnat-util10 libicu-dev
  libicu67 libisl-dev libllvm11 libmagic-mgc libmagic1 libmd0 libmpc-dev
  libmpdec3 libmpfr-dev libncurses-dev libncurses6 libobjc-10-dev libobjc4
  libpfm4 libpipeline1 libpython3-stdlib libpython3.9-minimal
  libpython3.9-stdlib libsigsegv2 libsub-override-perl libtinfo-dev libtool
  libuchardet0 libxml2 libxml2-dev libyaml-0-2 libz3-4 libz3-dev llvm llvm-11
  llvm-11-dev llvm-11-linker-tools llvm-11-runtime llvm-11-tools llvm-dev
  llvm-runtime lsb-release m4 man-db media-types patchutils po-debconf python3
  python3-minimal python3-pkg-resources python3-pygments python3-yaml
  python3.9 python3.9-minimal quilt sbuild-build-depends-ghdl-dummy
  sensible-utils sharutils time zlib1g-dev
0 upgraded, 96 newly installed, 0 to remove and 4 not upgraded.
Need to get 200 MB of archives.
After this operation, 719 MB of additional disk space will be used.
Get:1 copy:/<<BUILDDIR>>/resolver-ZVVTAH/apt_archive ./ sbuild-build-depends-ghdl-dummy 0.invalid.0 [940 B]
Get:2 http://172.17.0.1/private bookworm-staging/main armhf bsdextrautils armhf 2.37.2-4 [135 kB]
Get:3 http://172.17.0.1/private bookworm-staging/main armhf libuchardet0 armhf 0.0.7-1 [65.0 kB]
Get:4 http://172.17.0.1/private bookworm-staging/main armhf groff-base armhf 1.22.4-7 [793 kB]
Get:5 http://172.17.0.1/private bookworm-staging/main armhf libpipeline1 armhf 1.5.3-1 [29.9 kB]
Get:6 http://172.17.0.1/private bookworm-staging/main armhf man-db armhf 2.9.4-2 [1307 kB]
Get:7 http://172.17.0.1/private bookworm-staging/main armhf libsigsegv2 armhf 2.13-1 [34.3 kB]
Get:8 http://172.17.0.1/private bookworm-staging/main armhf gawk armhf 1:5.1.0-1 [533 kB]
Get:9 http://172.17.0.1/private bookworm-staging/main armhf libpython3.9-minimal armhf 3.9.7-4+rpi1 [794 kB]
Get:10 http://172.17.0.1/private bookworm-staging/main armhf libexpat1 armhf 2.4.1-3 [80.1 kB]
Get:11 http://172.17.0.1/private bookworm-staging/main armhf python3.9-minimal armhf 3.9.7-4+rpi1 [1632 kB]
Get:12 http://172.17.0.1/private bookworm-staging/main armhf python3-minimal armhf 3.9.2-3 [38.2 kB]
Get:13 http://172.17.0.1/private bookworm-staging/main armhf media-types all 4.0.0 [30.3 kB]
Get:14 http://172.17.0.1/private bookworm-staging/main armhf libmpdec3 armhf 2.5.1-2+rpi1 [73.5 kB]
Get:15 http://172.17.0.1/private bookworm-staging/main armhf libpython3.9-stdlib armhf 3.9.7-4+rpi1 [1618 kB]
Get:16 http://172.17.0.1/private bookworm-staging/main armhf python3.9 armhf 3.9.7-4+rpi1 [480 kB]
Get:17 http://172.17.0.1/private bookworm-staging/main armhf libpython3-stdlib armhf 3.9.2-3 [21.4 kB]
Get:18 http://172.17.0.1/private bookworm-staging/main armhf python3 armhf 3.9.2-3 [37.9 kB]
Get:19 http://172.17.0.1/private bookworm-staging/main armhf sensible-utils all 0.0.17 [21.5 kB]
Get:20 http://172.17.0.1/private bookworm-staging/main armhf libmagic-mgc armhf 1:5.39-3 [273 kB]
Get:21 http://172.17.0.1/private bookworm-staging/main armhf libmagic1 armhf 1:5.39-3 [117 kB]
Get:22 http://172.17.0.1/private bookworm-staging/main armhf file armhf 1:5.39-3 [68.0 kB]
Get:23 http://172.17.0.1/private bookworm-staging/main armhf gettext-base armhf 0.21-4 [171 kB]
Get:24 http://172.17.0.1/private bookworm-staging/main armhf time armhf 1.9-0.1 [50.5 kB]
Get:25 http://172.17.0.1/private bookworm-staging/main armhf m4 armhf 1.4.18-5 [186 kB]
Get:26 http://172.17.0.1/private bookworm-staging/main armhf autoconf all 2.71-2 [343 kB]
Get:27 http://172.17.0.1/private bookworm-staging/main armhf autoconf2.69 all 2.69-3 [291 kB]
Get:28 http://172.17.0.1/private bookworm-staging/main armhf autotools-dev all 20180224.1+nmu1 [77.1 kB]
Get:29 http://172.17.0.1/private bookworm-staging/main armhf automake all 1:1.16.5-1 [823 kB]
Get:30 http://172.17.0.1/private bookworm-staging/main armhf autopoint all 0.21-4 [510 kB]
Get:31 http://172.17.0.1/private bookworm-staging/main armhf libmd0 armhf 1.0.4-1 [28.9 kB]
Get:32 http://172.17.0.1/private bookworm-staging/main armhf libbsd0 armhf 0.11.3-1 [103 kB]
Get:33 http://172.17.0.1/private bookworm-staging/main armhf libedit2 armhf 3.1-20210910-1 [81.2 kB]
Get:34 http://172.17.0.1/private bookworm-staging/main armhf libicu67 armhf 67.1-7 [8291 kB]
Get:35 http://172.17.0.1/private bookworm-staging/main armhf libxml2 armhf 2.9.12+dfsg-5 [584 kB]
Get:36 http://172.17.0.1/private bookworm-staging/main armhf libz3-4 armhf 4.8.12-1 [5758 kB]
Get:37 http://172.17.0.1/private bookworm-staging/main armhf libllvm11 armhf 1:11.1.0-4+rpi1 [15.6 MB]
Get:38 http://172.17.0.1/private bookworm-staging/main armhf libclang-cpp11 armhf 1:11.1.0-4+rpi1 [8277 kB]
Get:39 http://172.17.0.1/private bookworm-staging/main armhf libgc1 armhf 1:8.0.4-3 [227 kB]
Get:40 http://172.17.0.1/private bookworm-staging/main armhf libobjc4 armhf 11.2.0-10+rpi1 [34.1 kB]
Get:41 http://172.17.0.1/private bookworm-staging/main armhf libobjc-10-dev armhf 10.3.0-11+rpi1 [159 kB]
Get:42 http://172.17.0.1/private bookworm-staging/main armhf libclang-common-11-dev armhf 1:11.1.0-4+rpi1 [2820 kB]
Get:43 http://172.17.0.1/private bookworm-staging/main armhf llvm-11-linker-tools armhf 1:11.1.0-4+rpi1 [939 kB]
Get:44 http://172.17.0.1/private bookworm-staging/main armhf libclang1-11 armhf 1:11.1.0-4+rpi1 [4648 kB]
Get:45 http://172.17.0.1/private bookworm-staging/main armhf clang-11 armhf 1:11.1.0-4+rpi1 [105 kB]
Get:46 http://172.17.0.1/private bookworm-staging/main armhf clang armhf 1:11.0-51+nmu5 [8872 B]
Get:47 http://172.17.0.1/private bookworm-staging/main armhf libdebhelper-perl all 13.5.2 [192 kB]
Get:48 http://172.17.0.1/private bookworm-staging/main armhf libtool all 2.4.6-15 [513 kB]
Get:49 http://172.17.0.1/private bookworm-staging/main armhf dh-autoreconf all 20 [17.1 kB]
Get:50 http://172.17.0.1/private bookworm-staging/main armhf libarchive-zip-perl all 1.68-1 [104 kB]
Get:51 http://172.17.0.1/private bookworm-staging/main armhf libsub-override-perl all 0.09-2 [10.2 kB]
Get:52 http://172.17.0.1/private bookworm-staging/main armhf libfile-stripnondeterminism-perl all 1.12.0-2 [26.3 kB]
Get:53 http://172.17.0.1/private bookworm-staging/main armhf dh-strip-nondeterminism all 1.12.0-2 [15.5 kB]
Get:54 http://172.17.0.1/private bookworm-staging/main armhf libelf1 armhf 0.185-2 [168 kB]
Get:55 http://172.17.0.1/private bookworm-staging/main armhf dwz armhf 0.14-1 [83.0 kB]
Get:56 http://172.17.0.1/private bookworm-staging/main armhf gettext armhf 0.21-4 [1215 kB]
Get:57 http://172.17.0.1/private bookworm-staging/main armhf intltool-debian all 0.35.0+20060710.5 [26.8 kB]
Get:58 http://172.17.0.1/private bookworm-staging/main armhf po-debconf all 1.0.21+nmu1 [248 kB]
Get:59 http://172.17.0.1/private bookworm-staging/main armhf debhelper all 13.5.2 [1056 kB]
Get:60 http://172.17.0.1/private bookworm-staging/main armhf diffstat armhf 1.64-1 [32.6 kB]
Get:61 http://172.17.0.1/private bookworm-staging/main armhf distro-info-data all 0.52 [7596 B]
Get:62 http://172.17.0.1/private bookworm-staging/main armhf ed armhf 1.17-1 [55.0 kB]
Get:63 http://172.17.0.1/private bookworm-staging/main armhf quilt all 0.66-2.1 [319 kB]
Get:64 http://172.17.0.1/private bookworm-staging/main armhf patchutils armhf 0.4.2-1 [68.7 kB]
Get:65 http://172.17.0.1/private bookworm-staging/main armhf sharutils armhf 1:4.15.2-5 [236 kB]
Get:66 http://172.17.0.1/private bookworm-staging/main armhf lsb-release all 11.1.0+rpi1 [28.1 kB]
Get:67 http://172.17.0.1/private bookworm-staging/main armhf gcc-10-source all 10.3.0-11+rpi1 [75.4 MB]
Get:68 http://172.17.0.1/private bookworm-staging/main armhf libgnat-10 armhf 10.3.0-11+rpi1 [823 kB]
Get:69 http://172.17.0.1/private bookworm-staging/main armhf libgnat-util10 armhf 10.3.0-11+rpi1 [335 kB]
Get:70 http://172.17.0.1/private bookworm-staging/main armhf gnat-10 armhf 10.3.0-11+rpi1 [12.6 MB]
Get:71 http://172.17.0.1/private bookworm-staging/main armhf icu-devtools armhf 67.1-7 [172 kB]
Get:72 http://172.17.0.1/private bookworm-staging/main armhf libffi-dev armhf 3.4.2-3 [61.6 kB]
Get:73 http://172.17.0.1/private bookworm-staging/main armhf libgmpxx4ldbl armhf 2:6.2.1+dfsg-2 [337 kB]
Get:74 http://172.17.0.1/private bookworm-staging/main armhf libgmp-dev armhf 2:6.2.1+dfsg-2 [583 kB]
Get:75 http://172.17.0.1/private bookworm-staging/main armhf libicu-dev armhf 67.1-7 [9228 kB]
Get:76 http://172.17.0.1/private bookworm-staging/main armhf libisl-dev armhf 0.24-2 [755 kB]
Get:77 http://172.17.0.1/private bookworm-staging/main armhf libmpfr-dev armhf 4.1.0-3 [205 kB]
Get:78 http://172.17.0.1/private bookworm-staging/main armhf libmpc-dev armhf 1.2.1-1 [46.5 kB]
Get:79 http://172.17.0.1/private bookworm-staging/main armhf libncurses6 armhf 6.2+20201114-4 [79.7 kB]
Get:80 http://172.17.0.1/private bookworm-staging/main armhf libncurses-dev armhf 6.2+20201114-4 [288 kB]
Get:81 http://172.17.0.1/private bookworm-staging/main armhf libpfm4 armhf 4.11.1+git32-gd0b85fb-1 [43.2 kB]
Get:82 http://172.17.0.1/private bookworm-staging/main armhf libtinfo-dev armhf 6.2+20201114-4 [932 B]
Get:83 http://172.17.0.1/private bookworm-staging/main armhf libxml2-dev armhf 2.9.12+dfsg-5 [648 kB]
Get:84 http://172.17.0.1/private bookworm-staging/main armhf libyaml-0-2 armhf 0.2.2-1 [40.0 kB]
Get:85 http://172.17.0.1/private bookworm-staging/main armhf libz3-dev armhf 4.8.12-1 [91.9 kB]
Get:86 http://172.17.0.1/private bookworm-staging/main armhf llvm-11-runtime armhf 1:11.1.0-4+rpi1 [187 kB]
Get:87 http://172.17.0.1/private bookworm-staging/main armhf llvm-runtime armhf 1:11.0-51+nmu5 [8532 B]
Get:88 http://172.17.0.1/private bookworm-staging/main armhf llvm-11 armhf 1:11.1.0-4+rpi1 [6981 kB]
Get:89 http://172.17.0.1/private bookworm-staging/main armhf llvm armhf 1:11.0-51+nmu5 [10.7 kB]
Get:90 http://172.17.0.1/private bookworm-staging/main armhf python3-pkg-resources all 58.2.0-1 [192 kB]
Get:91 http://172.17.0.1/private bookworm-staging/main armhf python3-pygments all 2.7.1+dfsg-2.1 [657 kB]
Get:92 http://172.17.0.1/private bookworm-staging/main armhf python3-yaml armhf 5.4.1-1 [118 kB]
Get:93 http://172.17.0.1/private bookworm-staging/main armhf llvm-11-tools armhf 1:11.1.0-4+rpi1 [335 kB]
Get:94 http://172.17.0.1/private bookworm-staging/main armhf llvm-11-dev armhf 1:11.1.0-4+rpi1 [26.6 MB]
Get:95 http://172.17.0.1/private bookworm-staging/main armhf llvm-dev armhf 1:11.0-51+nmu5 [8788 B]
Get:96 http://172.17.0.1/private bookworm-staging/main armhf zlib1g-dev armhf 1:1.2.11.dfsg-2 [184 kB]
debconf: delaying package configuration, since apt-utils is not installed
Fetched 200 MB in 1min 24s (2393 kB/s)
Selecting previously unselected package bsdextrautils.
(Reading database ... 12500 files and directories currently installed.)
Preparing to unpack .../0-bsdextrautils_2.37.2-4_armhf.deb ...
Unpacking bsdextrautils (2.37.2-4) ...
Selecting previously unselected package libuchardet0:armhf.
Preparing to unpack .../1-libuchardet0_0.0.7-1_armhf.deb ...
Unpacking libuchardet0:armhf (0.0.7-1) ...
Selecting previously unselected package groff-base.
Preparing to unpack .../2-groff-base_1.22.4-7_armhf.deb ...
Unpacking groff-base (1.22.4-7) ...
Selecting previously unselected package libpipeline1:armhf.
Preparing to unpack .../3-libpipeline1_1.5.3-1_armhf.deb ...
Unpacking libpipeline1:armhf (1.5.3-1) ...
Selecting previously unselected package man-db.
Preparing to unpack .../4-man-db_2.9.4-2_armhf.deb ...
Unpacking man-db (2.9.4-2) ...
Selecting previously unselected package libsigsegv2:armhf.
Preparing to unpack .../5-libsigsegv2_2.13-1_armhf.deb ...
Unpacking libsigsegv2:armhf (2.13-1) ...
Setting up libsigsegv2:armhf (2.13-1) ...
Selecting previously unselected package gawk.
(Reading database ... 13082 files and directories currently installed.)
Preparing to unpack .../gawk_1%3a5.1.0-1_armhf.deb ...
Unpacking gawk (1:5.1.0-1) ...
Selecting previously unselected package libpython3.9-minimal:armhf.
Preparing to unpack .../libpython3.9-minimal_3.9.7-4+rpi1_armhf.deb ...
Unpacking libpython3.9-minimal:armhf (3.9.7-4+rpi1) ...
Selecting previously unselected package libexpat1:armhf.
Preparing to unpack .../libexpat1_2.4.1-3_armhf.deb ...
Unpacking libexpat1:armhf (2.4.1-3) ...
Selecting previously unselected package python3.9-minimal.
Preparing to unpack .../python3.9-minimal_3.9.7-4+rpi1_armhf.deb ...
Unpacking python3.9-minimal (3.9.7-4+rpi1) ...
Setting up libpython3.9-minimal:armhf (3.9.7-4+rpi1) ...
Setting up libexpat1:armhf (2.4.1-3) ...
Setting up python3.9-minimal (3.9.7-4+rpi1) ...
Selecting previously unselected package python3-minimal.
(Reading database ... 13551 files and directories currently installed.)
Preparing to unpack .../0-python3-minimal_3.9.2-3_armhf.deb ...
Unpacking python3-minimal (3.9.2-3) ...
Selecting previously unselected package media-types.
Preparing to unpack .../1-media-types_4.0.0_all.deb ...
Unpacking media-types (4.0.0) ...
Selecting previously unselected package libmpdec3:armhf.
Preparing to unpack .../2-libmpdec3_2.5.1-2+rpi1_armhf.deb ...
Unpacking libmpdec3:armhf (2.5.1-2+rpi1) ...
Selecting previously unselected package libpython3.9-stdlib:armhf.
Preparing to unpack .../3-libpython3.9-stdlib_3.9.7-4+rpi1_armhf.deb ...
Unpacking libpython3.9-stdlib:armhf (3.9.7-4+rpi1) ...
Selecting previously unselected package python3.9.
Preparing to unpack .../4-python3.9_3.9.7-4+rpi1_armhf.deb ...
Unpacking python3.9 (3.9.7-4+rpi1) ...
Selecting previously unselected package libpython3-stdlib:armhf.
Preparing to unpack .../5-libpython3-stdlib_3.9.2-3_armhf.deb ...
Unpacking libpython3-stdlib:armhf (3.9.2-3) ...
Setting up python3-minimal (3.9.2-3) ...
Selecting previously unselected package python3.
(Reading database ... 13949 files and directories currently installed.)
Preparing to unpack .../00-python3_3.9.2-3_armhf.deb ...
Unpacking python3 (3.9.2-3) ...
Selecting previously unselected package sensible-utils.
Preparing to unpack .../01-sensible-utils_0.0.17_all.deb ...
Unpacking sensible-utils (0.0.17) ...
Selecting previously unselected package libmagic-mgc.
Preparing to unpack .../02-libmagic-mgc_1%3a5.39-3_armhf.deb ...
Unpacking libmagic-mgc (1:5.39-3) ...
Selecting previously unselected package libmagic1:armhf.
Preparing to unpack .../03-libmagic1_1%3a5.39-3_armhf.deb ...
Unpacking libmagic1:armhf (1:5.39-3) ...
Selecting previously unselected package file.
Preparing to unpack .../04-file_1%3a5.39-3_armhf.deb ...
Unpacking file (1:5.39-3) ...
Selecting previously unselected package gettext-base.
Preparing to unpack .../05-gettext-base_0.21-4_armhf.deb ...
Unpacking gettext-base (0.21-4) ...
Selecting previously unselected package time.
Preparing to unpack .../06-time_1.9-0.1_armhf.deb ...
Unpacking time (1.9-0.1) ...
Selecting previously unselected package m4.
Preparing to unpack .../07-m4_1.4.18-5_armhf.deb ...
Unpacking m4 (1.4.18-5) ...
Selecting previously unselected package autoconf.
Preparing to unpack .../08-autoconf_2.71-2_all.deb ...
Unpacking autoconf (2.71-2) ...
Selecting previously unselected package autoconf2.69.
Preparing to unpack .../09-autoconf2.69_2.69-3_all.deb ...
Unpacking autoconf2.69 (2.69-3) ...
Selecting previously unselected package autotools-dev.
Preparing to unpack .../10-autotools-dev_20180224.1+nmu1_all.deb ...
Unpacking autotools-dev (20180224.1+nmu1) ...
Selecting previously unselected package automake.
Preparing to unpack .../11-automake_1%3a1.16.5-1_all.deb ...
Unpacking automake (1:1.16.5-1) ...
Selecting previously unselected package autopoint.
Preparing to unpack .../12-autopoint_0.21-4_all.deb ...
Unpacking autopoint (0.21-4) ...
Selecting previously unselected package libmd0:armhf.
Preparing to unpack .../13-libmd0_1.0.4-1_armhf.deb ...
Unpacking libmd0:armhf (1.0.4-1) ...
Selecting previously unselected package libbsd0:armhf.
Preparing to unpack .../14-libbsd0_0.11.3-1_armhf.deb ...
Unpacking libbsd0:armhf (0.11.3-1) ...
Selecting previously unselected package libedit2:armhf.
Preparing to unpack .../15-libedit2_3.1-20210910-1_armhf.deb ...
Unpacking libedit2:armhf (3.1-20210910-1) ...
Selecting previously unselected package libicu67:armhf.
Preparing to unpack .../16-libicu67_67.1-7_armhf.deb ...
Unpacking libicu67:armhf (67.1-7) ...
Selecting previously unselected package libxml2:armhf.
Preparing to unpack .../17-libxml2_2.9.12+dfsg-5_armhf.deb ...
Unpacking libxml2:armhf (2.9.12+dfsg-5) ...
Selecting previously unselected package libz3-4:armhf.
Preparing to unpack .../18-libz3-4_4.8.12-1_armhf.deb ...
Unpacking libz3-4:armhf (4.8.12-1) ...
Selecting previously unselected package libllvm11:armhf.
Preparing to unpack .../19-libllvm11_1%3a11.1.0-4+rpi1_armhf.deb ...
Unpacking libllvm11:armhf (1:11.1.0-4+rpi1) ...
Selecting previously unselected package libclang-cpp11.
Preparing to unpack .../20-libclang-cpp11_1%3a11.1.0-4+rpi1_armhf.deb ...
Unpacking libclang-cpp11 (1:11.1.0-4+rpi1) ...
Selecting previously unselected package libgc1:armhf.
Preparing to unpack .../21-libgc1_1%3a8.0.4-3_armhf.deb ...
Unpacking libgc1:armhf (1:8.0.4-3) ...
Selecting previously unselected package libobjc4:armhf.
Preparing to unpack .../22-libobjc4_11.2.0-10+rpi1_armhf.deb ...
Unpacking libobjc4:armhf (11.2.0-10+rpi1) ...
Selecting previously unselected package libobjc-10-dev:armhf.
Preparing to unpack .../23-libobjc-10-dev_10.3.0-11+rpi1_armhf.deb ...
Unpacking libobjc-10-dev:armhf (10.3.0-11+rpi1) ...
Selecting previously unselected package libclang-common-11-dev.
Preparing to unpack .../24-libclang-common-11-dev_1%3a11.1.0-4+rpi1_armhf.deb ...
Unpacking libclang-common-11-dev (1:11.1.0-4+rpi1) ...
Selecting previously unselected package llvm-11-linker-tools.
Preparing to unpack .../25-llvm-11-linker-tools_1%3a11.1.0-4+rpi1_armhf.deb ...
Unpacking llvm-11-linker-tools (1:11.1.0-4+rpi1) ...
Selecting previously unselected package libclang1-11.
Preparing to unpack .../26-libclang1-11_1%3a11.1.0-4+rpi1_armhf.deb ...
Unpacking libclang1-11 (1:11.1.0-4+rpi1) ...
Selecting previously unselected package clang-11.
Preparing to unpack .../27-clang-11_1%3a11.1.0-4+rpi1_armhf.deb ...
Unpacking clang-11 (1:11.1.0-4+rpi1) ...
Selecting previously unselected package clang.
Preparing to unpack .../28-clang_1%3a11.0-51+nmu5_armhf.deb ...
Unpacking clang (1:11.0-51+nmu5) ...
Selecting previously unselected package libdebhelper-perl.
Preparing to unpack .../29-libdebhelper-perl_13.5.2_all.deb ...
Unpacking libdebhelper-perl (13.5.2) ...
Selecting previously unselected package libtool.
Preparing to unpack .../30-libtool_2.4.6-15_all.deb ...
Unpacking libtool (2.4.6-15) ...
Selecting previously unselected package dh-autoreconf.
Preparing to unpack .../31-dh-autoreconf_20_all.deb ...
Unpacking dh-autoreconf (20) ...
Selecting previously unselected package libarchive-zip-perl.
Preparing to unpack .../32-libarchive-zip-perl_1.68-1_all.deb ...
Unpacking libarchive-zip-perl (1.68-1) ...
Selecting previously unselected package libsub-override-perl.
Preparing to unpack .../33-libsub-override-perl_0.09-2_all.deb ...
Unpacking libsub-override-perl (0.09-2) ...
Selecting previously unselected package libfile-stripnondeterminism-perl.
Preparing to unpack .../34-libfile-stripnondeterminism-perl_1.12.0-2_all.deb ...
Unpacking libfile-stripnondeterminism-perl (1.12.0-2) ...
Selecting previously unselected package dh-strip-nondeterminism.
Preparing to unpack .../35-dh-strip-nondeterminism_1.12.0-2_all.deb ...
Unpacking dh-strip-nondeterminism (1.12.0-2) ...
Selecting previously unselected package libelf1:armhf.
Preparing to unpack .../36-libelf1_0.185-2_armhf.deb ...
Unpacking libelf1:armhf (0.185-2) ...
Selecting previously unselected package dwz.
Preparing to unpack .../37-dwz_0.14-1_armhf.deb ...
Unpacking dwz (0.14-1) ...
Selecting previously unselected package gettext.
Preparing to unpack .../38-gettext_0.21-4_armhf.deb ...
Unpacking gettext (0.21-4) ...
Selecting previously unselected package intltool-debian.
Preparing to unpack .../39-intltool-debian_0.35.0+20060710.5_all.deb ...
Unpacking intltool-debian (0.35.0+20060710.5) ...
Selecting previously unselected package po-debconf.
Preparing to unpack .../40-po-debconf_1.0.21+nmu1_all.deb ...
Unpacking po-debconf (1.0.21+nmu1) ...
Selecting previously unselected package debhelper.
Preparing to unpack .../41-debhelper_13.5.2_all.deb ...
Unpacking debhelper (13.5.2) ...
Selecting previously unselected package diffstat.
Preparing to unpack .../42-diffstat_1.64-1_armhf.deb ...
Unpacking diffstat (1.64-1) ...
Selecting previously unselected package distro-info-data.
Preparing to unpack .../43-distro-info-data_0.52_all.deb ...
Unpacking distro-info-data (0.52) ...
Selecting previously unselected package ed.
Preparing to unpack .../44-ed_1.17-1_armhf.deb ...
Unpacking ed (1.17-1) ...
Selecting previously unselected package quilt.
Preparing to unpack .../45-quilt_0.66-2.1_all.deb ...
Unpacking quilt (0.66-2.1) ...
Selecting previously unselected package patchutils.
Preparing to unpack .../46-patchutils_0.4.2-1_armhf.deb ...
Unpacking patchutils (0.4.2-1) ...
Selecting previously unselected package sharutils.
Preparing to unpack .../47-sharutils_1%3a4.15.2-5_armhf.deb ...
Unpacking sharutils (1:4.15.2-5) ...
Selecting previously unselected package lsb-release.
Preparing to unpack .../48-lsb-release_11.1.0+rpi1_all.deb ...
Unpacking lsb-release (11.1.0+rpi1) ...
Selecting previously unselected package gcc-10-source.
Preparing to unpack .../49-gcc-10-source_10.3.0-11+rpi1_all.deb ...
Unpacking gcc-10-source (10.3.0-11+rpi1) ...
Selecting previously unselected package libgnat-10:armhf.
Preparing to unpack .../50-libgnat-10_10.3.0-11+rpi1_armhf.deb ...
Unpacking libgnat-10:armhf (10.3.0-11+rpi1) ...
Selecting previously unselected package libgnat-util10:armhf.
Preparing to unpack .../51-libgnat-util10_10.3.0-11+rpi1_armhf.deb ...
Unpacking libgnat-util10:armhf (10.3.0-11+rpi1) ...
Selecting previously unselected package gnat-10.
Preparing to unpack .../52-gnat-10_10.3.0-11+rpi1_armhf.deb ...
Unpacking gnat-10 (10.3.0-11+rpi1) ...
Selecting previously unselected package icu-devtools.
Preparing to unpack .../53-icu-devtools_67.1-7_armhf.deb ...
Unpacking icu-devtools (67.1-7) ...
Selecting previously unselected package libffi-dev:armhf.
Preparing to unpack .../54-libffi-dev_3.4.2-3_armhf.deb ...
Unpacking libffi-dev:armhf (3.4.2-3) ...
Selecting previously unselected package libgmpxx4ldbl:armhf.
Preparing to unpack .../55-libgmpxx4ldbl_2%3a6.2.1+dfsg-2_armhf.deb ...
Unpacking libgmpxx4ldbl:armhf (2:6.2.1+dfsg-2) ...
Selecting previously unselected package libgmp-dev:armhf.
Preparing to unpack .../56-libgmp-dev_2%3a6.2.1+dfsg-2_armhf.deb ...
Unpacking libgmp-dev:armhf (2:6.2.1+dfsg-2) ...
Selecting previously unselected package libicu-dev:armhf.
Preparing to unpack .../57-libicu-dev_67.1-7_armhf.deb ...
Unpacking libicu-dev:armhf (67.1-7) ...
Selecting previously unselected package libisl-dev:armhf.
Preparing to unpack .../58-libisl-dev_0.24-2_armhf.deb ...
Unpacking libisl-dev:armhf (0.24-2) ...
Selecting previously unselected package libmpfr-dev:armhf.
Preparing to unpack .../59-libmpfr-dev_4.1.0-3_armhf.deb ...
Unpacking libmpfr-dev:armhf (4.1.0-3) ...
Selecting previously unselected package libmpc-dev:armhf.
Preparing to unpack .../60-libmpc-dev_1.2.1-1_armhf.deb ...
Unpacking libmpc-dev:armhf (1.2.1-1) ...
Selecting previously unselected package libncurses6:armhf.
Preparing to unpack .../61-libncurses6_6.2+20201114-4_armhf.deb ...
Unpacking libncurses6:armhf (6.2+20201114-4) ...
Selecting previously unselected package libncurses-dev:armhf.
Preparing to unpack .../62-libncurses-dev_6.2+20201114-4_armhf.deb ...
Unpacking libncurses-dev:armhf (6.2+20201114-4) ...
Selecting previously unselected package libpfm4:armhf.
Preparing to unpack .../63-libpfm4_4.11.1+git32-gd0b85fb-1_armhf.deb ...
Unpacking libpfm4:armhf (4.11.1+git32-gd0b85fb-1) ...
Selecting previously unselected package libtinfo-dev:armhf.
Preparing to unpack .../64-libtinfo-dev_6.2+20201114-4_armhf.deb ...
Unpacking libtinfo-dev:armhf (6.2+20201114-4) ...
Selecting previously unselected package libxml2-dev:armhf.
Preparing to unpack .../65-libxml2-dev_2.9.12+dfsg-5_armhf.deb ...
Unpacking libxml2-dev:armhf (2.9.12+dfsg-5) ...
Selecting previously unselected package libyaml-0-2:armhf.
Preparing to unpack .../66-libyaml-0-2_0.2.2-1_armhf.deb ...
Unpacking libyaml-0-2:armhf (0.2.2-1) ...
Selecting previously unselected package libz3-dev:armhf.
Preparing to unpack .../67-libz3-dev_4.8.12-1_armhf.deb ...
Unpacking libz3-dev:armhf (4.8.12-1) ...
Selecting previously unselected package llvm-11-runtime.
Preparing to unpack .../68-llvm-11-runtime_1%3a11.1.0-4+rpi1_armhf.deb ...
Unpacking llvm-11-runtime (1:11.1.0-4+rpi1) ...
Selecting previously unselected package llvm-runtime.
Preparing to unpack .../69-llvm-runtime_1%3a11.0-51+nmu5_armhf.deb ...
Unpacking llvm-runtime (1:11.0-51+nmu5) ...
Selecting previously unselected package llvm-11.
Preparing to unpack .../70-llvm-11_1%3a11.1.0-4+rpi1_armhf.deb ...
Unpacking llvm-11 (1:11.1.0-4+rpi1) ...
Selecting previously unselected package llvm.
Preparing to unpack .../71-llvm_1%3a11.0-51+nmu5_armhf.deb ...
Unpacking llvm (1:11.0-51+nmu5) ...
Selecting previously unselected package python3-pkg-resources.
Preparing to unpack .../72-python3-pkg-resources_58.2.0-1_all.deb ...
Unpacking python3-pkg-resources (58.2.0-1) ...
Selecting previously unselected package python3-pygments.
Preparing to unpack .../73-python3-pygments_2.7.1+dfsg-2.1_all.deb ...
Unpacking python3-pygments (2.7.1+dfsg-2.1) ...
Selecting previously unselected package python3-yaml.
Preparing to unpack .../74-python3-yaml_5.4.1-1_armhf.deb ...
Unpacking python3-yaml (5.4.1-1) ...
Selecting previously unselected package llvm-11-tools.
Preparing to unpack .../75-llvm-11-tools_1%3a11.1.0-4+rpi1_armhf.deb ...
Unpacking llvm-11-tools (1:11.1.0-4+rpi1) ...
Selecting previously unselected package llvm-11-dev.
Preparing to unpack .../76-llvm-11-dev_1%3a11.1.0-4+rpi1_armhf.deb ...
Unpacking llvm-11-dev (1:11.1.0-4+rpi1) ...
Selecting previously unselected package llvm-dev.
Preparing to unpack .../77-llvm-dev_1%3a11.0-51+nmu5_armhf.deb ...
Unpacking llvm-dev (1:11.0-51+nmu5) ...
Selecting previously unselected package zlib1g-dev:armhf.
Preparing to unpack .../78-zlib1g-dev_1%3a1.2.11.dfsg-2_armhf.deb ...
Unpacking zlib1g-dev:armhf (1:1.2.11.dfsg-2) ...
Selecting previously unselected package sbuild-build-depends-ghdl-dummy.
Preparing to unpack .../79-sbuild-build-depends-ghdl-dummy_0.invalid.0_armhf.deb ...
Unpacking sbuild-build-depends-ghdl-dummy (0.invalid.0) ...
Setting up media-types (4.0.0) ...
Setting up libpipeline1:armhf (1.5.3-1) ...
Setting up time (1.9-0.1) ...
Setting up bsdextrautils (2.37.2-4) ...
update-alternatives: using /usr/bin/write.ul to provide /usr/bin/write (write) in auto mode
Setting up libicu67:armhf (67.1-7) ...
Setting up libmagic-mgc (1:5.39-3) ...
Setting up gawk (1:5.1.0-1) ...
Setting up libarchive-zip-perl (1.68-1) ...
Setting up libyaml-0-2:armhf (0.2.2-1) ...
Setting up libisl-dev:armhf (0.24-2) ...
Setting up distro-info-data (0.52) ...
Setting up libdebhelper-perl (13.5.2) ...
Setting up libmagic1:armhf (1:5.39-3) ...
Setting up gettext-base (0.21-4) ...
Setting up m4 (1.4.18-5) ...
Setting up file (1:5.39-3) ...
Setting up libffi-dev:armhf (3.4.2-3) ...
Setting up autotools-dev (20180224.1+nmu1) ...
Setting up libz3-4:armhf (4.8.12-1) ...
Setting up libgmpxx4ldbl:armhf (2:6.2.1+dfsg-2) ...
Setting up libpfm4:armhf (4.11.1+git32-gd0b85fb-1) ...
Setting up ed (1.17-1) ...
Setting up libncurses6:armhf (6.2+20201114-4) ...
Setting up diffstat (1.64-1) ...
Setting up autopoint (0.21-4) ...
Setting up icu-devtools (67.1-7) ...
Setting up libgc1:armhf (1:8.0.4-3) ...
Setting up autoconf (2.71-2) ...
Setting up zlib1g-dev:armhf (1:1.2.11.dfsg-2) ...
Setting up libmd0:armhf (1.0.4-1) ...
Setting up libgnat-10:armhf (10.3.0-11+rpi1) ...
Setting up sensible-utils (0.0.17) ...
Setting up libuchardet0:armhf (0.0.7-1) ...
Setting up libgnat-util10:armhf (10.3.0-11+rpi1) ...
Setting up libmpdec3:armhf (2.5.1-2+rpi1) ...
Setting up libsub-override-perl (0.09-2) ...
Setting up sharutils (1:4.15.2-5) ...
Setting up libbsd0:armhf (0.11.3-1) ...
Setting up libelf1:armhf (0.185-2) ...
Setting up libicu-dev:armhf (67.1-7) ...
Setting up libxml2:armhf (2.9.12+dfsg-5) ...
Setting up libpython3.9-stdlib:armhf (3.9.7-4+rpi1) ...
Setting up libpython3-stdlib:armhf (3.9.2-3) ...
Setting up automake (1:1.16.5-1) ...
update-alternatives: using /usr/bin/automake-1.16 to provide /usr/bin/automake (automake) in auto mode
Setting up libfile-stripnondeterminism-perl (1.12.0-2) ...
Setting up libz3-dev:armhf (4.8.12-1) ...
Setting up libncurses-dev:armhf (6.2+20201114-4) ...
Setting up gettext (0.21-4) ...
Setting up libgmp-dev:armhf (2:6.2.1+dfsg-2) ...
Setting up gnat-10 (10.3.0-11+rpi1) ...
Setting up libtool (2.4.6-15) ...
Setting up libedit2:armhf (3.1-20210910-1) ...
Setting up libobjc4:armhf (11.2.0-10+rpi1) ...
Setting up quilt (0.66-2.1) ...
Setting up libmpfr-dev:armhf (4.1.0-3) ...
Setting up intltool-debian (0.35.0+20060710.5) ...
Setting up autoconf2.69 (2.69-3) ...
Setting up dh-autoreconf (20) ...
Setting up patchutils (0.4.2-1) ...
Setting up libxml2-dev:armhf (2.9.12+dfsg-5) ...
Setting up libllvm11:armhf (1:11.1.0-4+rpi1) ...
Setting up libclang1-11 (1:11.1.0-4+rpi1) ...
Setting up libmpc-dev:armhf (1.2.1-1) ...
Setting up llvm-11-linker-tools (1:11.1.0-4+rpi1) ...
Setting up dh-strip-nondeterminism (1.12.0-2) ...
Setting up libobjc-10-dev:armhf (10.3.0-11+rpi1) ...
Setting up dwz (0.14-1) ...
Setting up groff-base (1.22.4-7) ...
Setting up libclang-common-11-dev (1:11.1.0-4+rpi1) ...
Setting up python3.9 (3.9.7-4+rpi1) ...
Setting up libtinfo-dev:armhf (6.2+20201114-4) ...
Setting up llvm-11-runtime (1:11.1.0-4+rpi1) ...
Setting up po-debconf (1.0.21+nmu1) ...
Setting up llvm-11 (1:11.1.0-4+rpi1) ...
Setting up python3 (3.9.2-3) ...
Setting up man-db (2.9.4-2) ...
Not building database; man-db/auto-update is not 'true'.
Setting up libclang-cpp11 (1:11.1.0-4+rpi1) ...
Setting up llvm-runtime (1:11.0-51+nmu5) ...
Setting up llvm (1:11.0-51+nmu5) ...
Setting up lsb-release (11.1.0+rpi1) ...
Setting up clang-11 (1:11.1.0-4+rpi1) ...
Setting up python3-pkg-resources (58.2.0-1) ...
Setting up python3-yaml (5.4.1-1) ...
Setting up debhelper (13.5.2) ...
Setting up gcc-10-source (10.3.0-11+rpi1) ...
Setting up python3-pygments (2.7.1+dfsg-2.1) ...
Setting up clang (1:11.0-51+nmu5) ...
Setting up llvm-11-tools (1:11.1.0-4+rpi1) ...
Setting up llvm-11-dev (1:11.1.0-4+rpi1) ...
Setting up llvm-dev (1:11.0-51+nmu5) ...
Setting up sbuild-build-depends-ghdl-dummy (0.invalid.0) ...
Processing triggers for libc-bin (2.32-4+rpi1) ...
W: No sandbox user '_apt' on the system, can not drop privileges

+------------------------------------------------------------------------------+
| Build environment                                                            |
+------------------------------------------------------------------------------+

Kernel: Linux 4.9.0-0.bpo.6-armmp armhf (armv7l)
Toolchain package versions: binutils_2.37-5+rpi1 dpkg-dev_1.20.9+rpi1 g++-10_10.3.0-11+rpi1 gcc-10_10.3.0-11+rpi1 libc6-dev_2.32-4+rpi1 libstdc++-10-dev_10.3.0-11+rpi1 libstdc++6_11.2.0-10+rpi1 linux-libc-dev_5.14.9-2+rpi1
Package versions: adduser_3.118 apt_2.3.11 autoconf_2.71-2 autoconf2.69_2.69-3 automake_1:1.16.5-1 autopoint_0.21-4 autotools-dev_20180224.1+nmu1 base-files_12+rpi1 base-passwd_3.5.52 bash_5.1-3 binutils_2.37-5+rpi1 binutils-arm-linux-gnueabihf_2.37-5+rpi1 binutils-common_2.37-5+rpi1 bsdextrautils_2.37.2-4 bsdutils_1:2.37.2-4 build-essential_12.9 bzip2_1.0.8-4 clang_1:11.0-51+nmu5 clang-11_1:11.1.0-4+rpi1 coreutils_8.32-4 cpp_4:10.2.1-1+rpi1 cpp-10_10.3.0-11+rpi1 dash_0.5.11+git20210903+057cd650a4ed-1 debconf_1.5.78 debhelper_13.5.2 debianutils_4.11.2 dh-autoreconf_20 dh-strip-nondeterminism_1.12.0-2 diffstat_1.64-1 diffutils_1:3.7-5 dirmngr_2.2.27-2 distro-info-data_0.52 dpkg_1.20.9+rpi1 dpkg-dev_1.20.9+rpi1 dwz_0.14-1 e2fsprogs_1.46.4-1 ed_1.17-1 fakeroot_1.25.3-1.1 file_1:5.39-3 findutils_4.8.0-1 g++_4:10.2.1-1+rpi1 g++-10_10.3.0-11+rpi1 gawk_1:5.1.0-1 gcc_4:10.2.1-1+rpi1 gcc-10_10.3.0-11+rpi1 gcc-10-base_10.3.0-11+rpi1 gcc-10-source_10.3.0-11+rpi1 gcc-11-base_11.2.0-10+rpi1 gcc-7-base_7.5.0-6+rpi1+b2 gcc-8-base_8.4.0-7+rpi1 gcc-9-base_9.4.0-2+rpi1 gettext_0.21-4 gettext-base_0.21-4 gnat-10_10.3.0-11+rpi1 gnupg_2.2.27-2 gnupg-l10n_2.2.27-2 gnupg-utils_2.2.27-2 gpg_2.2.27-2 gpg-agent_2.2.27-2 gpg-wks-client_2.2.27-2 gpg-wks-server_2.2.27-2 gpgconf_2.2.27-2 gpgsm_2.2.27-2 gpgv_2.2.27-2 grep_3.7-1 groff-base_1.22.4-7 gzip_1.10-4 hostname_3.23 icu-devtools_67.1-7 init-system-helpers_1.60 intltool-debian_0.35.0+20060710.5 libacl1_2.3.1-1 libapt-pkg6.0_2.3.11 libarchive-zip-perl_1.68-1 libasan6_11.2.0-10+rpi1 libassuan0_2.5.5-1 libatomic1_11.2.0-10+rpi1 libattr1_1:2.5.1-1 libaudit-common_1:3.0.6-1 libaudit1_1:3.0.6-1 libbinutils_2.37-5+rpi1 libblkid1_2.37.2-4 libbsd0_0.11.3-1 libbz2-1.0_1.0.8-4 libc-bin_2.32-4+rpi1 libc-dev-bin_2.32-4+rpi1 libc6_2.32-4+rpi1 libc6-dev_2.32-4+rpi1 libcap-ng0_0.7.9-2.2+b1 libcap2_1:2.44-1 libcc1-0_11.2.0-10+rpi1 libclang-common-11-dev_1:11.1.0-4+rpi1 libclang-cpp11_1:11.1.0-4+rpi1 libclang1-11_1:11.1.0-4+rpi1 libcom-err2_1.46.4-1 libcrypt-dev_1:4.4.25-2 libcrypt1_1:4.4.25-2 libctf-nobfd0_2.37-5+rpi1 libctf0_2.37-5+rpi1 libdb5.3_5.3.28+dfsg1-0.8 libdebconfclient0_0.260 libdebhelper-perl_13.5.2 libdpkg-perl_1.20.9+rpi1 libedit2_3.1-20210910-1 libelf1_0.185-2 libexpat1_2.4.1-3 libext2fs2_1.46.4-1 libfakeroot_1.25.3-1.1 libffi-dev_3.4.2-3 libffi8_3.4.2-3 libfile-stripnondeterminism-perl_1.12.0-2 libgc1_1:8.0.4-3 libgcc-10-dev_10.3.0-11+rpi1 libgcc-s1_11.2.0-10+rpi1 libgcrypt20_1.9.4-3 libgdbm-compat4_1.22-1 libgdbm6_1.22-1 libgmp-dev_2:6.2.1+dfsg-2 libgmp10_2:6.2.1+dfsg-2 libgmpxx4ldbl_2:6.2.1+dfsg-2 libgnat-10_10.3.0-11+rpi1 libgnat-util10_10.3.0-11+rpi1 libgnutls30_3.7.2-2 libgomp1_11.2.0-10+rpi1 libgpg-error0_1.42-3 libgssapi-krb5-2_1.18.3-7 libhogweed6_3.7.3-1 libicu-dev_67.1-7 libicu67_67.1-7 libidn2-0_2.3.2-2 libisl-dev_0.24-2 libisl23_0.24-2 libk5crypto3_1.18.3-7 libkeyutils1_1.6.1-2 libkrb5-3_1.18.3-7 libkrb5support0_1.18.3-7 libksba8_1.6.0-2 libldap-2.4-2_2.4.59+dfsg-1 libllvm11_1:11.1.0-4+rpi1 liblocale-gettext-perl_1.07-4+b1 liblz4-1_1.9.3-2 liblzma5_5.2.5-2 libmagic-mgc_1:5.39-3 libmagic1_1:5.39-3 libmd0_1.0.4-1 libmount1_2.37.2-4 libmpc-dev_1.2.1-1 libmpc3_1.2.1-1 libmpdec3_2.5.1-2+rpi1 libmpfr-dev_4.1.0-3 libmpfr6_4.1.0-3 libncurses-dev_6.2+20201114-4 libncurses6_6.2+20201114-4 libncursesw6_6.2+20201114-4 libnettle8_3.7.3-1 libnpth0_1.6-3 libnsl-dev_1.3.0-2 libnsl2_1.3.0-2 libobjc-10-dev_10.3.0-11+rpi1 libobjc4_11.2.0-10+rpi1 libp11-kit0_0.24.0-5 libpam-modules_1.4.0-10 libpam-modules-bin_1.4.0-10 libpam-runtime_1.4.0-10 libpam0g_1.4.0-10 libpcre2-8-0_10.36-2 libpcre3_2:8.39-13 libperl5.32_5.32.1-6 libpfm4_4.11.1+git32-gd0b85fb-1 libpipeline1_1.5.3-1 libpython3-stdlib_3.9.2-3 libpython3.9-minimal_3.9.7-4+rpi1 libpython3.9-stdlib_3.9.7-4+rpi1 libreadline8_8.1-2 libsasl2-2_2.1.27+dfsg-2.1 libsasl2-modules-db_2.1.27+dfsg-2.1 libseccomp2_2.5.2-2+rpi1 libselinux1_3.1-3 libsemanage-common_3.1-1 libsemanage1_3.1-1+b1 libsepol1_3.1-1 libsigsegv2_2.13-1 libsmartcols1_2.37.2-4 libsqlite3-0_3.36.0-2 libss2_1.46.4-1 libssl1.1_1.1.1l-1 libstdc++-10-dev_10.3.0-11+rpi1 libstdc++6_11.2.0-10+rpi1 libsub-override-perl_0.09-2 libsystemd0_249.5-1+rpi1 libtasn1-6_4.17.0-2 libtext-charwidth-perl_0.04-10+b1 libtext-iconv-perl_1.7-7+b1 libtinfo-dev_6.2+20201114-4 libtinfo6_6.2+20201114-4 libtirpc-common_1.3.2-2 libtirpc-dev_1.3.2-2 libtirpc3_1.3.2-2 libtool_2.4.6-15 libubsan1_11.2.0-10+rpi1 libuchardet0_0.0.7-1 libudev1_249.5-1+rpi1 libunistring2_0.9.10-6 libuuid1_2.37.2-4 libxml2_2.9.12+dfsg-5 libxml2-dev_2.9.12+dfsg-5 libxxhash0_0.8.0-2+rpi1 libyaml-0-2_0.2.2-1 libz3-4_4.8.12-1 libz3-dev_4.8.12-1 libzstd1_1.4.8+dfsg-3+rpi1 linux-libc-dev_5.14.9-2+rpi1 llvm_1:11.0-51+nmu5 llvm-11_1:11.1.0-4+rpi1 llvm-11-dev_1:11.1.0-4+rpi1 llvm-11-linker-tools_1:11.1.0-4+rpi1 llvm-11-runtime_1:11.1.0-4+rpi1 llvm-11-tools_1:11.1.0-4+rpi1 llvm-dev_1:11.0-51+nmu5 llvm-runtime_1:11.0-51+nmu5 login_1:4.8.1-1 logsave_1.46.4-1 lsb-base_11.1.0+rpi1 lsb-release_11.1.0+rpi1 m4_1.4.18-5 make_4.3-4.1 man-db_2.9.4-2 mawk_1.3.4.20200120-2 media-types_4.0.0 mount_2.37.2-4 ncurses-base_6.2+20201114-4 ncurses-bin_6.2+20201114-4 netbase_6.3 passwd_1:4.8.1-1 patch_2.7.6-7 patchutils_0.4.2-1 perl_5.32.1-6 perl-base_5.32.1-6 perl-modules-5.32_5.32.1-6 pinentry-curses_1.1.0-4 po-debconf_1.0.21+nmu1 python3_3.9.2-3 python3-minimal_3.9.2-3 python3-pkg-resources_58.2.0-1 python3-pygments_2.7.1+dfsg-2.1 python3-yaml_5.4.1-1 python3.9_3.9.7-4+rpi1 python3.9-minimal_3.9.7-4+rpi1 quilt_0.66-2.1 raspbian-archive-keyring_20120528.2 readline-common_8.1-2 rpcsvc-proto_1.4.2-4 sbuild-build-depends-core-dummy_0.invalid.0 sbuild-build-depends-ghdl-dummy_0.invalid.0 sed_4.8-1 sensible-utils_0.0.17 sharutils_1:4.15.2-5 sysvinit-utils_3.00-1 tar_1.34+dfsg-1 time_1.9-0.1 tzdata_2021e-1 util-linux_2.37.2-4 xz-utils_5.2.5-2 zlib1g_1:1.2.11.dfsg-2 zlib1g-dev_1:1.2.11.dfsg-2

+------------------------------------------------------------------------------+
| Build                                                                        |
+------------------------------------------------------------------------------+


Unpack source
-------------

gpgv: unknown type of key resource 'trustedkeys.kbx'
gpgv: keyblock resource '/tmp/dpkg-verify-sig.epyBq6Lr/trustedkeys.kbx': General error
gpgv: Signature made Tue Nov  2 12:42:01 2021 UTC
gpgv:                using RSA key FD2F2DC58470E303F77975A34A00F348AF74DD02
gpgv:                issuer "noodles@earth.li"
gpgv: Can't check signature: No public key
dpkg-source: warning: failed to verify signature on ./ghdl_1.0.0+dfsg-5.dsc
dpkg-source: info: extracting ghdl in /<<BUILDDIR>>/ghdl-1.0.0+dfsg
dpkg-source: info: unpacking ghdl_1.0.0+dfsg.orig.tar.xz
dpkg-source: info: unpacking ghdl_1.0.0+dfsg-5.debian.tar.xz
dpkg-source: info: using patch list from debian/patches/series
dpkg-source: info: applying versioning
dpkg-source: info: applying fix-build
dpkg-source: info: applying modify-install-paths
dpkg-source: info: applying adjust-compile-flags
dpkg-source: info: applying extend-ghdl-manpage
dpkg-source: info: applying clean-more
dpkg-source: info: applying llvm12.0.patch
dpkg-source: info: applying llvm11.1.0.patch

Check disc space
----------------

Sufficient free space for build

User Environment
----------------

APT_CONFIG=/var/lib/sbuild/apt.conf
DEB_BUILD_OPTIONS=parallel=4
HOME=/sbuild-nonexistent
LC_ALL=POSIX
LOGNAME=buildd
PATH=/usr/local/sbin:/usr/local/bin:/usr/sbin:/usr/bin:/sbin:/bin:/usr/games
SCHROOT_ALIAS_NAME=bookworm-staging-armhf-sbuild
SCHROOT_CHROOT_NAME=bookworm-staging-armhf-sbuild
SCHROOT_COMMAND=env
SCHROOT_GID=109
SCHROOT_GROUP=buildd
SCHROOT_SESSION_ID=bookworm-staging-armhf-sbuild-4f042bc3-5b71-4d82-a322-4aec69f8bce6
SCHROOT_UID=104
SCHROOT_USER=buildd
SHELL=/bin/sh
TERM=xterm
USER=buildd

dpkg-buildpackage
-----------------

dpkg-buildpackage: info: source package ghdl
dpkg-buildpackage: info: source version 1.0.0+dfsg-5
dpkg-buildpackage: info: source distribution unstable
 dpkg-source --before-build .
dpkg-buildpackage: info: host architecture armhf
 debian/rules clean
dh clean
   debian/rules override_dh_auto_clean
make[1]: Entering directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg'
# dh_auto_clean complains about python-distutils and fails if it
# doesn't see a Makefile, so override to only do a distclean when the
# Makefile exists and do nothing otherwise.
if [ -f Makefile ]; then \
	/usr/bin/make distclean; \
fi
make[1]: Leaving directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg'
   debian/rules override_dh_clean
make[1]: Entering directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg'
# An .orig file exists in the release, don't delete it to not create
# spurious differences to the tarball/git.
dh_clean -Xtestsuite/synth/synth14/top.vhdl.orig
make[1]: Leaving directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg'
 debian/rules binary-arch
dh binary-arch
   dh_update_autotools_config -a
   dh_autoreconf -a
   debian/rules override_dh_auto_configure
make[1]: Entering directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg'
mkdir -p /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/mcode /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc

------------------------------------------------------------
Configuring with mcode backend
------------------------------------------------------------
if [ -n "" ]; then \
	cd /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/mcode; \
	../../configure --srcdir=../.. --prefix=/usr \
		--libdir=lib/ghdl/mcode --incdir=lib/ghdl/include \
		--disable-libghdl --enable-gplcompat; \
fi

------------------------------------------------------------
Configuring with llvm backend
------------------------------------------------------------
if [ -n "1" ]; then \
	cd /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm; \
	../../configure --srcdir=../.. --prefix=/usr \
		--libdir=lib/ghdl/llvm --incdir=lib/ghdl/include \
		--disable-libghdl --enable-gplcompat \
		--with-llvm-config; \
fi
Build machine is: arm-linux-gnueabihf
Debugging is enabled with llvm 11.1.0
create pic/ subdirectory
Creating ghdl.gpr
Creating Makefile
make[2]: Entering directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm'
for d in ieee/v87 ieee/v93 ieee/v08 std/v87 std/v93 std/v08 src/ieee src/ieee/v87 src/ieee/v93 src/ieee2008 src/std src/std/v87 src/std/v93 src/std/v08 src/synopsys src/synopsys/v08 src/upf; do \
  mkdir -p lib/ghdl/llvm/$d; \
done
make[2]: Leaving directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm'
Generate ghdlsynth_maybe.ads
Generate default_paths.ads

------------------------------------------------------------
Configuring with gcc backend
------------------------------------------------------------
# gcc unpack sequence cribbed from gcc-7-cross debian/rules
set -e; \
if [ -n "1" ]; then \
	cd /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc; \
	ln -sf /usr/src/gcc-10/gcc-10.3.0-dfsg.tar.xz gcc-10.3.0-dfsg.tar.xz; \
	cp -a  /usr/src/gcc-10/debian/ .; \
	if [ -n "$(grep -v '^\#' /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/patches/gcc-10/series)" ]; then \
		cp -n /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/patches/gcc-10/*.diff debian/patches/ ; \
		cat /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/patches/gcc-10/series >> debian/patches/series ; \
		sed -i "s/\(^series_stamp.*\)/debian_patches += $(grep -v '^#' /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/patches/gcc-10/series|sed 's/\..*//'|tr '\n' ' ')\n\n\1/" debian/rules.patch ; \
	fi; \
	debian/rules patch; \
	../../configure --srcdir=../.. --prefix=/usr \
		--libdir=lib/ghdl/gcc --incdir=lib/ghdl/include \
		--disable-libghdl --enable-gplcompat \
		--with-gcc=src; \
	make copy-sources; \
	mkdir gccbuild; \
	cd gccbuild; \
	../src/configure --prefix=/usr/lib/ghdl/gcc --enable-languages=vhdl \
		--enable-default-pie \
		--disable-bootstrap --disable-lto --disable-multilib \
		--disable-libssp --disable-libgomp --disable-libquadmath \
		--with-system-zlib --without-isl; \
fi
make[2]: Entering directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc'
: # unpack gcc tarball
mkdir -p stamps
if [ -d /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src ]; then \
  echo >&2 "Source directory /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src exists. Delete by hand"; \
  false; \
fi
rm -rf gcc-10.3.0
tar -x -f gcc-10.3.0-dfsg.tar.xz
mv gcc-10.3.0 /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src
ln -sf libsanitizer /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/libasan
rm -f /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/doc/*.1
rm -f /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/doc/fsf-funding.7
rm -f /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/doc/*.info
rm -f /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/fortran/*.info
rm -f /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/libgomp/*.info
for i in gcc/doc/analyzer.texi gcc/doc/avr-mmcu.texi gcc/doc/bugreport.texi gcc/doc/cfg.texi gcc/doc/collect2.texi gcc/doc/compat.texi gcc/doc/configfiles.texi gcc/doc/configterms.texi gcc/doc/contrib.texi gcc/doc/contribute.texi gcc/doc/cpp.texi gcc/doc/cppdiropts.texi gcc/doc/cppenv.texi gcc/doc/cppinternals.texi gcc/doc/cppopts.texi gcc/doc/cppwarnopts.texi gcc/doc/extend.texi gcc/doc/fragments.texi gcc/doc/frontends.texi gcc/doc/gccint.texi gcc/doc/gcov.texi gcc/doc/gcov-dump.texi gcc/doc/gcov-tool.texi gcc/doc/generic.texi gcc/doc/gimple.texi gcc/doc/gnu.texi gcc/doc/gty.texi gcc/doc/headerdirs.texi gcc/doc/hostconfig.texi gcc/doc/implement-c.texi gcc/doc/implement-cxx.texi gcc/doc/install-old.texi gcc/doc/install.texi gcc/doc/interface.texi gcc/doc/invoke.texi gcc/doc/languages.texi gcc/doc/libgcc.texi gcc/doc/loop.texi gcc/doc/lto.texi gcc/doc/makefile.texi gcc/doc/match-and-simplify.texi gcc/doc/md.texi gcc/doc/objc.texi gcc/doc/optinfo.texi gcc/doc/options.texi gcc/doc/passes.texi gcc/doc/plugins.texi gcc/doc/poly-int.texi gcc/doc/portability.texi gcc/doc/rtl.texi gcc/doc/service.texi gcc/doc/sourcebuild.texi gcc/doc/standards.texi gcc/doc/tm.texi.in gcc/doc/tm.texi gcc/doc/tree-ssa.texi gcc/doc/trouble.texi gcc/doc/ux.texi gcc/doc/include/gcc-common.texi gcc/doc/include/funding.texi gcc/fortran/gfc-internals.texi gcc/fortran/invoke.texi gcc/fortran/intrinsic.texi ; do \
  if [ -f /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/$i ]; then \
    cp debian/dummy.texi /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/$i; \
  else \
    cp debian/dummy.texi /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/$i; \
    echo >&2 "$i does not exist, fix debian/rules.unpack"; \
  fi; \
done
( \
  echo '@include gcc-vers.texi'; \
  echo '@macro versionsubtitle'; \
  echo '@subtitle For @sc{gcc} version @value{version-GCC}'; \
  echo '@vskip 0pt plus 1filll'; \
  echo '@end macro'; \
) > /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/doc/include/gcc-common.texi
for i in gcc/doc/gcc.texi gcc/doc/lto-dump.texi gcc/ada/gnat-style.texi gcc/ada/gnat_rm.texi gcc/ada/gnat_ugn.texi gcc/fortran/gfortran.texi gcc/go/gccgo.texi libgomp/libgomp.texi libquadmath/libquadmath.texi ; do \
  n=$(basename $i .texi); \
  if [ -f /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/$i ]; then \
    sed "s/@name@/$n/g" debian/gcc-dummy.texi \
      > /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/$i; \
  else \
    sed "s/@name@/$n/g" debian/gcc-dummy.texi \
      > /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/$i; \
    echo >&2 "$i does not exist, fix debian/rules.unpack"; \
  fi; \
done
for i in gcc/doc/cpp.1 gcc/doc/g++.1 gcc/doc/gc-analyze.1 gcc/doc/gcc.1 gcc/doc/gccgo.1 gcc/doc/gcov.1 gcc/doc/gcov-dump.1 gcc/doc/gcov-tool.1 gcc/doc/gfortran.1 gcc/lto/lto-dump.1 gcc/doc/fsf-funding.7 ; do \
  touch /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/$i; \
done
rm -f /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/INSTALL/*.html
rm -f /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/zlib/contrib/dotzlib/DotZLib.chm
echo "gcc-10.3.0-dfsg.tar.xz unpacked." > stamps/01-unpack-stamp-gcc-10.3.0-dfsg.tar.xz
: # unpack gm2 tarball
mkdir -p stamps
: # rm -rf gm2-20200722
tar -x -f /usr/src/gcc-10/gm2-20200722.tar.xz
(cd gm2 && tar cf - gcc libgm2) | (cd src && tar xf -)
rm -rf gm2
echo "gm2-20200722.tar.xz unpacked." > stamps/01-unpack-stamp-gm2-20200722.tar.xz
echo -e "\nBuilt from Debian source package gcc-10-10.3.0-11+rpi1" \
	> pxxx
echo -e "Integrated upstream packages in this version:\n" >> pxxx
for i in gcc-10.3.0-dfsg.tar.xz gm2-20200722.tar.xz; do echo "  $i" >> pxxx; done
mv -f pxxx stamps/01-unpack-stamp
echo git-updates.diff gcc-gfdl-build.diff gcc-textdomain.diff gcc-distro-specs.diff gcc-driver-extra-langs.diff gcc-hash-style-gnu.diff libstdc++-pic.diff libstdc++-doclink.diff libstdc++-man-3cxx.diff libstdc++-test-installed.diff alpha-no-ev4-directive.diff note-gnu-stack.diff libgomp-omp_h-multilib.diff libgo-testsuite.diff libgo-cleanfiles.diff gcc-target-include-asm.diff libgo-revert-timeout-exp.diff libgo-setcontext-config.diff gcc-auto-build.diff libitm-no-fortify-source.diff sparc64-biarch-long-double-128.diff pr66368.diff pr67590.diff libjit-ldflags.diff libffi-pax.diff libffi-race-condition.diff cuda-float128.diff libffi-mipsen-r6.diff t-libunwind-elf-Wl-z-defs.diff gcc-force-cross-layout.diff gcc-search-prefixed-as-ld.diff kfreebsd-decimal-float.diff pr87808.diff libgomp-no-werror.diff gdc-cross-build.diff pr94253.diff aarch64-offload.diff pr97250-0.diff pr97250-1.diff pr97250-2.diff pr97250-3.diff pr97250-follow-up.diff pr98274.diff pr97714.diff pr98920.diff pr99773.diff musl-ssp.diff pr99264-follow-up.diff raspbian-disable-libatomic-march.diff gm2.diff gm2-texinfo.diff gm2-bootstrap-compare.diff gm2-jit-def.diff gm2-link.diff ada-gcc-name.diff ada-verbose.diff ada-link-lib.diff ada-libgnat_util.diff ada-gnattools-cross.diff ada-lib-info-source-date-epoch.diff ada-armel-libatomic.diff ada-kfreebsd.diff ada-749574.diff ada-perl-shebang.diff gdc-texinfo.diff sys-auxv-header.diff gdc-dynamic-link-phobos.diff ia64-disable-selective-scheduling.diff gcc-foffload-default.diff libstdc++-pythondir.diff gcc-ice-dump.diff gcc-ice-apport.diff skip-bootstrap-multilib.diff libffi-ro-eh_frame_sect.diff libffi-mips.diff gcc-multiarch.diff config-ml.diff g++-multiarch-incdir.diff canonical-cpppath.diff gcc-multilib-multiarch.diff gcc-as-needed.diff gcc-as-needed-gold.diff libgomp-kfreebsd-testsuite.diff go-testsuite.diff ada-changes-in-autogen-output.diff fix_ghdl_ppc64.diff \
  | sed -r 's/  +/ /g' | tr " " "\n" > debian/patches/series
sed -r 's/(.)$/\1 -p1/' -i debian/patches/series
touch stamps/02-series-stamp
sync
QUILT_PATCHES=debian/patches QUILT_PATCH_OPTS='-E' \
  quilt --quiltrc /dev/null push -a || test $? = 2
Applying patch git-updates.diff
patching file src/contrib/ChangeLog
patching file src/contrib/download_prerequisites
patching file src/contrib/gcc-changelog/git_check_commit.py
patching file src/contrib/gcc-changelog/git_commit.py
patching file src/contrib/gcc-changelog/git_email.py
patching file src/contrib/gcc-changelog/git_repository.py
patching file src/contrib/gcc-changelog/git_update_version.py
patching file src/contrib/gcc-changelog/setup.cfg
patching file src/contrib/gcc-changelog/test_email.py
patching file src/contrib/gcc-changelog/test_patches.txt
patching file src/contrib/git-commit-mklog.py
patching file src/contrib/mklog.py
patching file src/contrib/prerequisites.md5
patching file src/contrib/prerequisites.sha512
patching file src/contrib/test_mklog.py
patching file src/gcc/ChangeLog
patching file src/gcc/DATESTAMP
patching file src/gcc/ada/ChangeLog
patching file src/gcc/ada/freeze.adb
patching file src/gcc/ada/gcc-interface/decl.c
patching file src/gcc/ada/gcc-interface/misc.c
patching file src/gcc/ada/gcc-interface/trans.c
patching file src/gcc/ada/gcc-interface/utils.c
patching file src/gcc/c/ChangeLog
patching file src/gcc/c/c-decl.c
patching file src/gcc/calls.c
patching file src/gcc/cfganal.c
patching file src/gcc/cfganal.h
patching file src/gcc/cfgcleanup.c
patching file src/gcc/cfgloopmanip.c
patching file src/gcc/combine.c
patching file src/gcc/common/config/arm/arm-common.c
patching file src/gcc/config/aarch64/aarch64-bti-insert.c
patching file src/gcc/config/aarch64/aarch64-cores.def
patching file src/gcc/config/aarch64/aarch64-simd.md
patching file src/gcc/config/aarch64/aarch64-sve-builtins.cc
patching file src/gcc/config/aarch64/aarch64-sve-builtins.h
patching file src/gcc/config/aarch64/aarch64-sve.md
patching file src/gcc/config/aarch64/aarch64-tune.md
patching file src/gcc/config/aarch64/aarch64.c
patching file src/gcc/config/aarch64/aarch64.md
patching file src/gcc/config/aarch64/constraints.md
patching file src/gcc/config/aarch64/iterators.md
patching file src/gcc/config/arm/arm-c.c
patching file src/gcc/config/arm/arm-cpus.in
patching file src/gcc/config/arm/arm-protos.h
patching file src/gcc/config/arm/arm.c
patching file src/gcc/config/arm/arm.h
patching file src/gcc/config/arm/arm.md
patching file src/gcc/config/arm/arm.opt
patching file src/gcc/config/arm/arm_mve.h
patching file src/gcc/config/arm/iterators.md
patching file src/gcc/config/arm/mve.md
patching file src/gcc/config/arm/sync.md
patching file src/gcc/config/arm/t-rmprofile
patching file src/gcc/config/arm/thumb1.md
patching file src/gcc/config/arm/vfp.md
patching file src/gcc/config/bpf/bpf.h
patching file src/gcc/config/i386/avx512dqintrin.h
patching file src/gcc/config/i386/i386.c
patching file src/gcc/config/i386/i386.md
patching file src/gcc/config/i386/sse.md
patching file src/gcc/config/i386/sync.md
patching file src/gcc/config/i386/winnt.c
patching file src/gcc/config/mips/mips.c
patching file src/gcc/config/nvptx/nvptx.c
patching file src/gcc/config/riscv/riscv.opt
patching file src/gcc/config/rs6000/aix.h
patching file src/gcc/config/rs6000/aix64.opt
patching file src/gcc/config/rs6000/predicates.md
patching file src/gcc/config/rs6000/rs6000-builtin.def
patching file src/gcc/config/rs6000/rs6000-call.c
patching file src/gcc/config/rs6000/rs6000-p8swap.c
patching file src/gcc/config/rs6000/rs6000.c
patching file src/gcc/config/rs6000/rs6000.md
patching file src/gcc/config/s390/vecintrin.h
patching file src/gcc/config/sparc/leon5.md
patching file src/gcc/config/sparc/sparc-opts.h
patching file src/gcc/config/sparc/sparc.c
patching file src/gcc/config/sparc/sparc.h
patching file src/gcc/config/sparc/sparc.md
patching file src/gcc/config/sparc/sparc.opt
patching file src/gcc/coverage.c
patching file src/gcc/cp/ChangeLog
patching file src/gcc/cp/call.c
patching file src/gcc/cp/class.c
patching file src/gcc/cp/constexpr.c
patching file src/gcc/cp/constraint.cc
patching file src/gcc/cp/cp-tree.h
patching file src/gcc/cp/cvt.c
patching file src/gcc/cp/decl.c
patching file src/gcc/cp/except.c
patching file src/gcc/cp/expr.c
patching file src/gcc/cp/init.c
patching file src/gcc/cp/lambda.c
patching file src/gcc/cp/method.c
patching file src/gcc/cp/name-lookup.c
patching file src/gcc/cp/parser.c
patching file src/gcc/cp/pt.c
patching file src/gcc/cp/typeck.c
patching file src/gcc/cp/typeck2.c
patching file src/gcc/cprop.c
patching file src/gcc/cse.c
patching file src/gcc/cselib.c
patching file src/gcc/d/ChangeLog
patching file src/gcc/d/d-builtins.cc
patching file src/gcc/d/d-codegen.cc
patching file src/gcc/d/d-convert.cc
patching file src/gcc/d/d-diagnostic.cc
patching file src/gcc/d/d-frontend.cc
patching file src/gcc/d/d-lang.cc
patching file src/gcc/d/d-tree.h
patching file src/gcc/d/dmd/cond.c
patching file src/gcc/d/dmd/dcast.c
patching file src/gcc/d/dmd/dinterpret.c
patching file src/gcc/d/dmd/expression.c
patching file src/gcc/d/dmd/expression.h
patching file src/gcc/d/dmd/expressionsem.c
patching file src/gcc/d/dmd/mtype.c
patching file src/gcc/d/dmd/statementsem.c
patching file src/gcc/d/expr.cc
patching file src/gcc/d/typeinfo.cc
patching file src/gcc/dse.c
patching file src/gcc/early-remat.c
patching file src/gcc/emit-rtl.c
patching file src/gcc/expr.c
patching file src/gcc/fortran/ChangeLog
patching file src/gcc/fortran/check.c
patching file src/gcc/fortran/class.c
patching file src/gcc/fortran/expr.c
patching file src/gcc/fortran/frontend-passes.c
patching file src/gcc/fortran/interface.c
patching file src/gcc/fortran/intrinsic.c
patching file src/gcc/fortran/io.c
patching file src/gcc/fortran/match.c
patching file src/gcc/fortran/module.c
patching file src/gcc/fortran/openmp.c
patching file src/gcc/fortran/parse.c
patching file src/gcc/fortran/primary.c
patching file src/gcc/fortran/resolve.c
patching file src/gcc/fortran/target-memory.c
patching file src/gcc/fortran/trans-array.c
patching file src/gcc/fortran/trans-decl.c
patching file src/gcc/fortran/trans-expr.c
patching file src/gcc/fortran/trans-intrinsic.c
patching file src/gcc/fortran/trans-stmt.c
patching file src/gcc/fortran/trans-types.c
patching file src/gcc/gimple-fold.c
patching file src/gcc/gimple-loop-interchange.cc
patching file src/gcc/go/gofrontend/expressions.cc
patching file src/gcc/ipa-param-manipulation.c
patching file src/gcc/ipa-sra.c
patching file src/gcc/jump.c
patching file src/gcc/lra-constraints.c
patching file src/gcc/lra-eliminations.c
patching file src/gcc/lra-int.h
patching file src/gcc/lra.c
patching file src/gcc/lto-streamer.h
patching file src/gcc/modulo-sched.c
patching file src/gcc/omp-expand.c
patching file src/gcc/postreload.c
patching file src/gcc/reload.c
patching file src/gcc/rtl.c
patching file src/gcc/rtl.h
patching file src/gcc/rtlanal.c
patching file src/gcc/rtx-vector-builder.c
patching file src/gcc/rtx-vector-builder.h
patching file src/gcc/testsuite/ChangeLog
patching file src/gcc/testsuite/c-c++-common/goacc/collapse-2.c
patching file src/gcc/testsuite/c-c++-common/gomp/pr100450.c
patching file src/gcc/testsuite/g++.dg/compat/struct-layout-1_generate.c
patching file src/gcc/testsuite/g++.dg/concepts/abbrev10.C
patching file src/gcc/testsuite/g++.dg/concepts/abbrev11.C
patching file src/gcc/testsuite/g++.dg/conversion/real-to-int1.C
patching file src/gcc/testsuite/g++.dg/cpp0x/alias-decl-72.C
patching file src/gcc/testsuite/g++.dg/cpp0x/alias-decl-72a.C
patching file src/gcc/testsuite/g++.dg/cpp0x/alias-decl-equiv1.C
patching file src/gcc/testsuite/g++.dg/cpp0x/constexpr-100111.C
patching file src/gcc/testsuite/g++.dg/cpp0x/constexpr-base7.C
patching file src/gcc/testsuite/g++.dg/cpp0x/constexpr-cast2.C
patching file src/gcc/testsuite/g++.dg/cpp0x/constexpr-cast3.C
patching file src/gcc/testsuite/g++.dg/cpp0x/constexpr-nullptr-2.C
patching file src/gcc/testsuite/g++.dg/cpp0x/constexpr-volatile3.C
patching file src/gcc/testsuite/g++.dg/cpp0x/initlist-new5.C
patching file src/gcc/testsuite/g++.dg/cpp0x/lambda/lambda-nsdmi10.C
patching file src/gcc/testsuite/g++.dg/cpp0x/noexcept62.C
patching file src/gcc/testsuite/g++.dg/cpp0x/noexcept63.C
patching file src/gcc/testsuite/g++.dg/cpp0x/noexcept70.C
patching file src/gcc/testsuite/g++.dg/cpp1y/constexpr-99859-1.C
patching file src/gcc/testsuite/g++.dg/cpp1y/constexpr-99859-2.C
patching file src/gcc/testsuite/g++.dg/cpp1y/lambda-generic-Wunused.C
patching file src/gcc/testsuite/g++.dg/cpp1y/lambda-generic-local-class1.C
patching file src/gcc/testsuite/g++.dg/cpp1y/nsdmi-aggr13.C
patching file src/gcc/testsuite/g++.dg/cpp1z/class-deduction85.C
patching file src/gcc/testsuite/g++.dg/cpp1z/constexpr-if-lambda4.C
patching file src/gcc/testsuite/g++.dg/cpp1z/inline-var9.C
patching file src/gcc/testsuite/g++.dg/cpp1z/noexcept-type23.C
patching file src/gcc/testsuite/g++.dg/cpp1z/noexcept-type24.C
patching file src/gcc/testsuite/g++.dg/cpp1z/pr99833.C
patching file src/gcc/testsuite/g++.dg/cpp2a/class-deduction-alias3.C
patching file src/gcc/testsuite/g++.dg/cpp2a/class-deduction-alias5.C
patching file src/gcc/testsuite/g++.dg/cpp2a/class-deduction-alias6.C
patching file src/gcc/testsuite/g++.dg/cpp2a/class-deduction-alias7.C
patching file src/gcc/testsuite/g++.dg/cpp2a/class-deduction-alias8.C
patching file src/gcc/testsuite/g++.dg/cpp2a/concepts-defarg2.C
patching file src/gcc/testsuite/g++.dg/cpp2a/constexpr-new18.C
patching file src/gcc/testsuite/g++.dg/cpp2a/constexpr-new19.C
patching file src/gcc/testsuite/g++.dg/cpp2a/desig18.C
patching file src/gcc/testsuite/g++.dg/cpp2a/is-constant-evaluated11.C
patching file src/gcc/testsuite/g++.dg/cpp2a/lambda-generic9.C
patching file src/gcc/testsuite/g++.dg/cpp2a/lambda-pack-init6.C
patching file src/gcc/testsuite/g++.dg/cpp2a/pr99833.C
patching file src/gcc/testsuite/g++.dg/cpp2a/volatile5.C
patching file src/gcc/testsuite/g++.dg/ext/array4.C
patching file src/gcc/testsuite/g++.dg/inherit/virtual15.C
patching file src/gcc/testsuite/g++.dg/inherit/virtual15a.C
patching file src/gcc/testsuite/g++.dg/lookup/using66.C
patching file src/gcc/testsuite/g++.dg/lto/pr97565_0.C
patching file src/gcc/testsuite/g++.dg/lto/pr97565_1.C
patching file src/gcc/testsuite/g++.dg/opt/nrv20.C
patching file src/gcc/testsuite/g++.dg/opt/pr100148.C
patching file src/gcc/testsuite/g++.dg/opt/pr100254.C
patching file src/gcc/testsuite/g++.dg/other/gc6.C
patching file src/gcc/testsuite/g++.dg/other/gc7.C
patching file src/gcc/testsuite/g++.dg/pr98032.C
patching file src/gcc/testsuite/g++.dg/pr98136.C
patching file src/gcc/testsuite/g++.dg/template/friend70.C
patching file src/gcc/testsuite/g++.dg/template/friend71.C
patching file src/gcc/testsuite/g++.dg/template/ref11.C
patching file src/gcc/testsuite/g++.dg/warn/Wconversion5.C
patching file src/gcc/testsuite/g++.dg/warn/Wshadow-17.C
patching file src/gcc/testsuite/g++.target/aarch64/sve/pr99216.C
patching file src/gcc/testsuite/g++.target/powerpc/pr99842.C
patching file src/gcc/testsuite/g++.target/s390/pr98722.C
patching file src/gcc/testsuite/gcc.c-torture/compile/pr100305.c
patching file src/gcc/testsuite/gcc.c-torture/compile/pr96796.c
patching file src/gcc/testsuite/gcc.c-torture/compile/pr97141.c
patching file src/gcc/testsuite/gcc.c-torture/compile/pr98726.c
patching file src/gcc/testsuite/gcc.c-torture/compile/pr99647.c
patching file src/gcc/testsuite/gcc.c-torture/execute/20210505-1.c
patching file src/gcc/testsuite/gcc.c-torture/execute/pr98736.c
patching file src/gcc/testsuite/gcc.dg/Wstringop-overflow-67.c
patching file src/gcc/testsuite/gcc.dg/compat/struct-layout-1_generate.c
patching file src/gcc/testsuite/gcc.dg/goacc/tile-1.c
patching file src/gcc/testsuite/gcc.dg/ipa/pr101066.c
patching file src/gcc/testsuite/gcc.dg/lto/pr99849_0.c
patching file src/gcc/testsuite/gcc.dg/pr100225.c
patching file src/gcc/testsuite/gcc.dg/pr100509.c
patching file src/gcc/testsuite/gcc.dg/pr100563.c
patching file src/gcc/testsuite/gcc.dg/pr100788.c
patching file src/gcc/testsuite/gcc.dg/pr100791.c
patching file src/gcc/testsuite/gcc.dg/pr78213.c
patching file src/gcc/testsuite/gcc.dg/pr99830.c
patching file src/gcc/testsuite/gcc.dg/pr99990.c
patching file src/gcc/testsuite/gcc.dg/torture/20200727-0.c
patching file src/gcc/testsuite/gcc.dg/torture/pr100053.c
patching file src/gcc/testsuite/gcc.dg/torture/pr100492.c
patching file src/gcc/testsuite/gcc.dg/torture/pr100566.c
patching file src/gcc/testsuite/gcc.dg/torture/pr100934.c
patching file src/gcc/testsuite/gcc.dg/torture/pr101009.c
patching file src/gcc/testsuite/gcc.dg/torture/pr101105.c
patching file src/gcc/testsuite/gcc.dg/torture/pr101173.c
patching file src/gcc/testsuite/gcc.dg/torture/pr101394.c
patching file src/gcc/testsuite/gcc.dg/torture/pr96513.c
patching file src/gcc/testsuite/gcc.dg/torture/pr98601.c
patching file src/gcc/testsuite/gcc.dg/torture/pr98786.c
patching file src/gcc/testsuite/gcc.dg/torture/pr99880.c
patching file src/gcc/testsuite/gcc.dg/torture/pr99954.c
patching file src/gcc/testsuite/gcc.dg/tree-ssa/loop-interchange-16.c
patching file src/gcc/testsuite/gcc.dg/tree-ssa/pr100278.c
patching file src/gcc/testsuite/gcc.dg/tree-ssa/ssa-fre-93.c
patching file src/gcc/testsuite/gcc.target/aarch64/pr99767.c
patching file src/gcc/testsuite/gcc.target/aarch64/pr99988.c
patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/general/pr99246.c
patching file src/gcc/testsuite/gcc.target/aarch64/sve/pr100048.c
patching file src/gcc/testsuite/gcc.target/aarch64/sve/pr97141.c
patching file src/gcc/testsuite/gcc.target/aarch64/sve/pr98119.c
patching file src/gcc/testsuite/gcc.target/aarch64/sve/pr98268-1.c
patching file src/gcc/testsuite/gcc.target/aarch64/sve/pr98268-2.c
patching file src/gcc/testsuite/gcc.target/aarch64/sve/pr98726.c
patching file src/gcc/testsuite/gcc.target/aarch64/sve/pr99929_1.c
patching file src/gcc/testsuite/gcc.target/aarch64/sve/pr99929_2.c
patching file src/gcc/testsuite/gcc.target/arm/acle/pr100856.c
patching file src/gcc/testsuite/gcc.target/arm/attr-neon.c
patching file src/gcc/testsuite/gcc.target/arm/attr-neon2.c
patching file src/gcc/testsuite/gcc.target/arm/attr-neon3.c
patching file src/gcc/testsuite/gcc.target/arm/cmse/cmse-18.c
patching file src/gcc/testsuite/gcc.target/arm/cmse/cmse-20.c
patching file src/gcc/testsuite/gcc.target/arm/cmse/mainline/8_1m/soft/cmse-13a.c
patching file src/gcc/testsuite/gcc.target/arm/cmse/mainline/8_1m/soft/cmse-7a.c
patching file src/gcc/testsuite/gcc.target/arm/cmse/mainline/8_1m/soft/cmse-8a.c
patching file src/gcc/testsuite/gcc.target/arm/cmse/mainline/8_1m/softfp-sp/cmse-7a.c
patching file src/gcc/testsuite/gcc.target/arm/cmse/mainline/8_1m/softfp-sp/cmse-8a.c
patching file src/gcc/testsuite/gcc.target/arm/cmse/mainline/8_1m/softfp/cmse-13a.c
patching file src/gcc/testsuite/gcc.target/arm/cmse/mainline/8_1m/softfp/cmse-7a.c
patching file src/gcc/testsuite/gcc.target/arm/cmse/mainline/8_1m/softfp/cmse-8a.c
patching file src/gcc/testsuite/gcc.target/arm/cmse/pr100333.c
patching file src/gcc/testsuite/gcc.target/arm/cmse/pr99725.c
patching file src/gcc/testsuite/gcc.target/arm/cortex-m55-nofp-flag-hard.c
patching file src/gcc/testsuite/gcc.target/arm/cortex-m55-nofp-flag-softfp.c
patching file src/gcc/testsuite/gcc.target/arm/cortex-m55-nofp-nomve-flag-softfp.c
patching file src/gcc/testsuite/gcc.target/arm/multilib.exp
patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/mve_fpu1.c
patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/mve_fpu2.c
patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/pr101016.c
patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vldrdq_gather_base_wb_s64.c
patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vldrdq_gather_base_wb_u64.c
patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vldrdq_gather_base_wb_z_s64.c
patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vldrdq_gather_base_wb_z_u64.c
patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vuninitializedq_int.c
patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vuninitializedq_int1.c
patching file src/gcc/testsuite/gcc.target/arm/pr69245.c
patching file src/gcc/testsuite/gcc.target/arm/pr95646.c
patching file src/gcc/testsuite/gcc.target/arm/pr97969.c
patching file src/gcc/testsuite/gcc.target/arm/pr99977.c
patching file src/gcc/testsuite/gcc.target/arm/pragma_fpu_attribute.c
patching file src/gcc/testsuite/gcc.target/arm/pragma_fpu_attribute_2.c
patching file src/gcc/testsuite/gcc.target/i386/avx512f-pr101471.c
patching file src/gcc/testsuite/gcc.target/i386/avx512f-pr101472.c
patching file src/gcc/testsuite/gcc.target/i386/avx512vl-pr101472.c
patching file src/gcc/testsuite/gcc.target/i386/pr100182.c
patching file src/gcc/testsuite/gcc.target/i386/pr101175.c
patching file src/gcc/testsuite/gcc.target/i386/pr71245-1.c
patching file src/gcc/testsuite/gcc.target/i386/pr71245-2.c
patching file src/gcc/testsuite/gcc.target/i386/pr99726.c
patching file src/gcc/testsuite/gcc.target/i386/pr99863.c
patching file src/gcc/testsuite/gcc.target/i386/pr99905.c
patching file src/gcc/testsuite/gcc.target/powerpc/mma-builtin-4.c
patching file src/gcc/testsuite/gcc.target/powerpc/mma-builtin-5.c
patching file src/gcc/testsuite/gcc.target/powerpc/mma-builtin-7.c
patching file src/gcc/testsuite/gcc.target/powerpc/mma-builtin-8.c
patching file src/gcc/testsuite/gcc.target/powerpc/pr100777.c
patching file src/gcc/testsuite/gcc.target/powerpc/pr101129.c
patching file src/gcc/testsuite/gcc.target/powerpc/pr101849.c
patching file src/gcc/testsuite/gcc.target/powerpc/pr97142.c
patching file src/gcc/testsuite/gcc.target/powerpc/pr98952.c
patching file src/gcc/testsuite/gcc.target/riscv/pr98777.c
patching file src/gcc/testsuite/gcc.target/sparc/20210917-1.c
patching file src/gcc/testsuite/gdc.dg/pr100882a.d
patching file src/gcc/testsuite/gdc.dg/pr100882b.d
patching file src/gcc/testsuite/gdc.dg/pr100882c.d
patching file src/gcc/testsuite/gdc.dg/pr100882d.d
patching file src/gcc/testsuite/gdc.dg/pr100967.d
patching file src/gcc/testsuite/gdc.dg/pr101127a.d
patching file src/gcc/testsuite/gdc.dg/pr101127b.d
patching file src/gcc/testsuite/gdc.dg/pr101490.d
patching file src/gcc/testsuite/gdc.dg/pr101640.d
patching file src/gcc/testsuite/gdc.dg/pr96435.d
patching file src/gcc/testsuite/gdc.dg/pr98457.d
patching file src/gcc/testsuite/gdc.test/compilable/aggr_alignment.d
patching file src/gcc/testsuite/gdc.test/compilable/b19002.d
patching file src/gcc/testsuite/gdc.test/compilable/betterCarray.d
patching file src/gcc/testsuite/gdc.test/compilable/extra-files/minimal/object.d
patching file src/gcc/testsuite/gdc.test/compilable/interpret5.d
patching file src/gcc/testsuite/gdc.test/compilable/minimal3.d
patching file src/gcc/testsuite/gdc.test/compilable/staticforeach.d
patching file src/gcc/testsuite/gdc.test/compilable/test21742.d
patching file src/gcc/testsuite/gdc.test/compilable/test22006.d
patching file src/gcc/testsuite/gdc.test/compilable/test22133.d
patching file src/gcc/testsuite/gdc.test/fail_compilation/b12504.d
patching file src/gcc/testsuite/gdc.test/fail_compilation/diag16976.d
patching file src/gcc/testsuite/gdc.test/fail_compilation/fail117.d
patching file src/gcc/testsuite/gdc.test/fail_compilation/fail22006.d
patching file src/gcc/testsuite/gdc.test/fail_compilation/fail22133.d
patching file src/gcc/testsuite/gdc.test/fail_compilation/fail22144.d
patching file src/gcc/testsuite/gdc.test/fail_compilation/fail238_m32.d
patching file src/gcc/testsuite/gdc.test/fail_compilation/fail238_m64.d
patching file src/gcc/testsuite/gdc.test/fail_compilation/fail7424b.d
patching file src/gcc/testsuite/gdc.test/fail_compilation/fail7424c.d
patching file src/gcc/testsuite/gdc.test/fail_compilation/fail7424d.d
patching file src/gcc/testsuite/gdc.test/fail_compilation/fail7424e.d
patching file src/gcc/testsuite/gdc.test/fail_compilation/fail7424f.d
patching file src/gcc/testsuite/gdc.test/fail_compilation/fail7424g.d
patching file src/gcc/testsuite/gdc.test/fail_compilation/fail7424h.d
patching file src/gcc/testsuite/gdc.test/fail_compilation/fail7424i.d
patching file src/gcc/testsuite/gdc.test/fail_compilation/fail9766.d
patching file src/gcc/testsuite/gdc.test/fail_compilation/ice9406.d
patching file src/gcc/testsuite/gdc.test/fail_compilation/test21927.d
patching file src/gcc/testsuite/gdc.test/fail_compilation/test21939.d
patching file src/gcc/testsuite/gfortran.dg/PR82376.f90
patching file src/gcc/testsuite/gfortran.dg/alloc_deferred_comp_1.f90
patching file src/gcc/testsuite/gfortran.dg/argument_checking_25.f90
patching file src/gcc/testsuite/gfortran.dg/associate_54.f90
patching file src/gcc/testsuite/gfortran.dg/associate_55.f90
patching file src/gcc/testsuite/gfortran.dg/associate_56.f90
patching file src/gcc/testsuite/gfortran.dg/associated_target_7.f90
patching file src/gcc/testsuite/gfortran.dg/bounds_check_23.f90
patching file src/gcc/testsuite/gfortran.dg/class_dummy_6.f90
patching file src/gcc/testsuite/gfortran.dg/class_dummy_7.f90
patching file src/gcc/testsuite/gfortran.dg/coarray/dummy_2.f90
patching file src/gcc/testsuite/gfortran.dg/coarray_48.f90
patching file src/gcc/testsuite/gfortran.dg/deferred_character_35.f90
patching file src/gcc/testsuite/gfortran.dg/elemental_function_5.f90
patching file src/gcc/testsuite/gfortran.dg/fmt_nonchar_3.f90
patching file src/gcc/testsuite/gfortran.dg/gomp/map-5.f90
patching file src/gcc/testsuite/gfortran.dg/implied_do_io_7.f90
patching file src/gcc/testsuite/gfortran.dg/inline_matmul_25.f90
patching file src/gcc/testsuite/gfortran.dg/pdt_26.f03
patching file src/gcc/testsuite/gfortran.dg/pdt_31.f03
patching file src/gcc/testsuite/gfortran.dg/pr100154.f90
patching file src/gcc/testsuite/gfortran.dg/pr100949.f90
patching file src/gcc/testsuite/gfortran.dg/pr101327.f90
patching file src/gcc/testsuite/gfortran.dg/pr101514.f90
patching file src/gcc/testsuite/gfortran.dg/pr101536.f90
patching file src/gcc/testsuite/gfortran.dg/pr63797.f90
patching file src/gcc/testsuite/gfortran.dg/pr95502.f90
patching file src/gcc/testsuite/gfortran.dg/pr98411.f90
patching file src/gcc/testsuite/gfortran.dg/proc_ptr_52.f90
patching file src/gcc/testsuite/gfortran.dg/select_rank_5.f90
patching file src/gcc/testsuite/gfortran.dg/structure_constructor_17.f90
patching file src/gcc/testsuite/gnat.dg/derived_type7.adb
patching file src/gcc/testsuite/gnat.dg/derived_type7.ads
patching file src/gcc/testsuite/gnat.dg/opt92.adb
patching file src/gcc/testsuite/gnat.dg/unchecked_convert5.adb
patching file src/gcc/testsuite/gnat.dg/unchecked_convert6.adb
patching file src/gcc/testsuite/lib/target-supports.exp
patching file src/gcc/tree-data-ref.c
patching file src/gcc/tree-inline.c
patching file src/gcc/tree-loop-distribution.c
patching file src/gcc/tree-ssa-dom.c
patching file src/gcc/tree-ssa-phiopt.c
patching file src/gcc/tree-ssa-pre.c
patching file src/gcc/tree-ssa-sccvn.c
patching file src/gcc/tree-ssa-structalias.c
patching file src/gcc/tree-vect-data-refs.c
patching file src/gcc/tree-vect-loop.c
patching file src/gcc/tree-vect-slp.c
patching file src/gcc/tree.c
patching file src/gcc/tree.h
patching file src/gcc/vmsdbgout.c
patching file src/intl/ChangeLog
patching file src/intl/Makefile.in
patching file src/intl/configure
patching file src/intl/configure.ac
patching file src/libcpp/ChangeLog
patching file src/libcpp/include/line-map.h
patching file src/libcpp/lex.c
patching file src/libcpp/line-map.c
patching file src/libgcc/ChangeLog
patching file src/libgcc/config/aarch64/value-unwind.h
patching file src/libgcc/config/arm/cmse_nonsecure_call.S
patching file src/libgcc/config/arm/t-arm
patching file src/libgcc/config/frv/frv-abi.h
patching file src/libgcc/config/i386/value-unwind.h
patching file src/libgcc/config/pa/pa64-hpux-lib.h
patching file src/libgcc/config/rs6000/t-linux
patching file src/libgcc/config/rs6000/tramp.S
patching file src/libgomp/ChangeLog
patching file src/libgomp/testsuite/libgomp.oacc-c-c++-common/atomic_capture-3.c
patching file src/libgomp/testsuite/libgomp.oacc-c-c++-common/collapse-2.c
patching file src/libgomp/testsuite/libgomp.oacc-c-c++-common/collapse-3.c
patching file src/libgomp/testsuite/libgomp.oacc-c-c++-common/static-variable-1.c
patching file src/libitm/ChangeLog
patching file src/libitm/testsuite/libitm.c++/libstdc++-pr91488.C
patching file src/libphobos/ChangeLog
patching file src/libphobos/src/std/typecons.d
patching file src/libsanitizer/ChangeLog
patching file src/libsanitizer/sanitizer_common/sanitizer_common_interceptors_ioctl.inc
patching file src/libsanitizer/sanitizer_common/sanitizer_platform_limits_posix.cpp
patching file src/libsanitizer/sanitizer_common/sanitizer_platform_limits_posix.h
patching file src/libsanitizer/sanitizer_common/sanitizer_posix_libcdep.cpp
patching file src/libstdc++-v3/ChangeLog
patching file src/libstdc++-v3/doc/html/manual/status.html
patching file src/libstdc++-v3/doc/xml/manual/status_cxx2017.xml
patching file src/libstdc++-v3/doc/xml/manual/status_cxx2020.xml
patching file src/libstdc++-v3/include/bits/alloc_traits.h
patching file src/libstdc++-v3/include/bits/allocator.h
patching file src/libstdc++-v3/include/bits/atomic_base.h
patching file src/libstdc++-v3/include/bits/basic_ios.h
patching file src/libstdc++-v3/include/bits/basic_string.h
patching file src/libstdc++-v3/include/bits/char_traits.h
patching file src/libstdc++-v3/include/bits/forward_list.h
patching file src/libstdc++-v3/include/bits/fs_dir.h
patching file src/libstdc++-v3/include/bits/fs_fwd.h
patching file src/libstdc++-v3/include/bits/fs_ops.h
patching file src/libstdc++-v3/include/bits/fs_path.h
patching file src/libstdc++-v3/include/bits/functional_hash.h
patching file src/libstdc++-v3/include/bits/gslice.h
patching file src/libstdc++-v3/include/bits/gslice_array.h
patching file src/libstdc++-v3/include/bits/hashtable.h
patching file src/libstdc++-v3/include/bits/hashtable_policy.h
patching file src/libstdc++-v3/include/bits/indirect_array.h
patching file src/libstdc++-v3/include/bits/iterator_concepts.h
patching file src/libstdc++-v3/include/bits/locale_classes.h
patching file src/libstdc++-v3/include/bits/locale_facets.h
patching file src/libstdc++-v3/include/bits/locale_facets_nonio.h
patching file src/libstdc++-v3/include/bits/mask_array.h
patching file src/libstdc++-v3/include/bits/memoryfwd.h
patching file src/libstdc++-v3/include/bits/random.h
patching file src/libstdc++-v3/include/bits/range_access.h
patching file src/libstdc++-v3/include/bits/ranges_algo.h
patching file src/libstdc++-v3/include/bits/refwrap.h
patching file src/libstdc++-v3/include/bits/regex.h
patching file src/libstdc++-v3/include/bits/regex_automaton.h
patching file src/libstdc++-v3/include/bits/regex_compiler.h
patching file src/libstdc++-v3/include/bits/regex_constants.h
patching file src/libstdc++-v3/include/bits/regex_error.h
patching file src/libstdc++-v3/include/bits/regex_executor.h
patching file src/libstdc++-v3/include/bits/regex_scanner.h
patching file src/libstdc++-v3/include/bits/shared_ptr.h
patching file src/libstdc++-v3/include/bits/shared_ptr_atomic.h
patching file src/libstdc++-v3/include/bits/shared_ptr_base.h
patching file src/libstdc++-v3/include/bits/slice_array.h
patching file src/libstdc++-v3/include/bits/specfun.h
patching file src/libstdc++-v3/include/bits/std_function.h
patching file src/libstdc++-v3/include/bits/std_mutex.h
patching file src/libstdc++-v3/include/bits/stl_deque.h
patching file src/libstdc++-v3/include/bits/stl_iterator.h
patching file src/libstdc++-v3/include/bits/stl_iterator_base_types.h
patching file src/libstdc++-v3/include/bits/stl_map.h
patching file src/libstdc++-v3/include/bits/stl_multimap.h
patching file src/libstdc++-v3/include/bits/stl_multiset.h
patching file src/libstdc++-v3/include/bits/stl_numeric.h
patching file src/libstdc++-v3/include/bits/stl_pair.h
patching file src/libstdc++-v3/include/bits/stl_set.h
patching file src/libstdc++-v3/include/bits/stl_tree.h
patching file src/libstdc++-v3/include/bits/stl_uninitialized.h
patching file src/libstdc++-v3/include/bits/stream_iterator.h
patching file src/libstdc++-v3/include/bits/streambuf_iterator.h
patching file src/libstdc++-v3/include/bits/unique_ptr.h
patching file src/libstdc++-v3/include/bits/unordered_map.h
patching file src/libstdc++-v3/include/bits/unordered_set.h
patching file src/libstdc++-v3/include/debug/helper_functions.h
patching file src/libstdc++-v3/include/debug/macros.h
patching file src/libstdc++-v3/include/debug/safe_iterator.h
patching file src/libstdc++-v3/include/debug/safe_iterator.tcc
patching file src/libstdc++-v3/include/debug/stl_iterator.h
patching file src/libstdc++-v3/include/debug/unordered_map
patching file src/libstdc++-v3/include/debug/unordered_set
patching file src/libstdc++-v3/include/decimal/decimal
patching file src/libstdc++-v3/include/experimental/any
patching file src/libstdc++-v3/include/experimental/array
patching file src/libstdc++-v3/include/experimental/bits/fs_dir.h
patching file src/libstdc++-v3/include/experimental/bits/fs_fwd.h
patching file src/libstdc++-v3/include/experimental/bits/fs_ops.h
patching file src/libstdc++-v3/include/experimental/bits/fs_path.h
patching file src/libstdc++-v3/include/experimental/buffer
patching file src/libstdc++-v3/include/experimental/internet
patching file src/libstdc++-v3/include/experimental/optional
patching file src/libstdc++-v3/include/experimental/propagate_const
patching file src/libstdc++-v3/include/experimental/socket
patching file src/libstdc++-v3/include/ext/malloc_allocator.h
patching file src/libstdc++-v3/include/ext/new_allocator.h
patching file src/libstdc++-v3/include/ext/pb_ds/assoc_container.hpp
patching file src/libstdc++-v3/include/ext/pb_ds/detail/cc_hash_table_map_/cc_ht_map_.hpp
patching file src/libstdc++-v3/include/ext/pb_ds/detail/priority_queue_base_dispatch.hpp
patching file src/libstdc++-v3/include/ext/pb_ds/detail/tree_policy/node_metadata_selector.hpp
patching file src/libstdc++-v3/include/ext/pb_ds/detail/trie_policy/node_metadata_selector.hpp
patching file src/libstdc++-v3/include/ext/pb_ds/detail/types_traits.hpp
patching file src/libstdc++-v3/include/ext/pb_ds/exception.hpp
patching file src/libstdc++-v3/include/ext/pb_ds/priority_queue.hpp
patching file src/libstdc++-v3/include/ext/pb_ds/tag_and_trait.hpp
patching file src/libstdc++-v3/include/ext/random
patching file src/libstdc++-v3/include/std/any
patching file src/libstdc++-v3/include/std/atomic
patching file src/libstdc++-v3/include/std/bit
patching file src/libstdc++-v3/include/std/bitset
patching file src/libstdc++-v3/include/std/chrono
patching file src/libstdc++-v3/include/std/complex
patching file src/libstdc++-v3/include/std/condition_variable
patching file src/libstdc++-v3/include/std/fstream
patching file src/libstdc++-v3/include/std/future
patching file src/libstdc++-v3/include/std/iostream
patching file src/libstdc++-v3/include/std/istream
patching file src/libstdc++-v3/include/std/memory
patching file src/libstdc++-v3/include/std/mutex
patching file src/libstdc++-v3/include/std/numeric
patching file src/libstdc++-v3/include/std/optional
patching file src/libstdc++-v3/include/std/ostream
patching file src/libstdc++-v3/include/std/ranges
patching file src/libstdc++-v3/include/std/ratio
patching file src/libstdc++-v3/include/std/shared_mutex
patching file src/libstdc++-v3/include/std/span
patching file src/libstdc++-v3/include/std/stdexcept
patching file src/libstdc++-v3/include/std/streambuf
patching file src/libstdc++-v3/include/std/string_view
patching file src/libstdc++-v3/include/std/system_error
patching file src/libstdc++-v3/include/std/thread
patching file src/libstdc++-v3/include/std/type_traits
patching file src/libstdc++-v3/include/std/valarray
patching file src/libstdc++-v3/include/std/variant
patching file src/libstdc++-v3/include/std/version
patching file src/libstdc++-v3/include/tr1/cmath
patching file src/libstdc++-v3/include/tr1/complex
patching file src/libstdc++-v3/include/tr1/random.h
patching file src/libstdc++-v3/include/tr1/regex
patching file src/libstdc++-v3/include/tr2/dynamic_bitset
patching file src/libstdc++-v3/libsupc++/Makefile.am
patching file src/libstdc++-v3/libsupc++/Makefile.in
patching file src/libstdc++-v3/libsupc++/atomic_lockfree_defines.h
patching file src/libstdc++-v3/libsupc++/compare
patching file src/libstdc++-v3/libsupc++/exception
patching file src/libstdc++-v3/libsupc++/exception.h
patching file src/libstdc++-v3/libsupc++/exception_ptr.h
patching file src/libstdc++-v3/libsupc++/nested_exception.h
patching file src/libstdc++-v3/libsupc++/new_opa.cc
patching file src/libstdc++-v3/python/Makefile.am
patching file src/libstdc++-v3/python/Makefile.in
patching file src/libstdc++-v3/python/libstdcxx/v6/printers.py
patching file src/libstdc++-v3/src/c++17/fs_ops.cc
patching file src/libstdc++-v3/src/c++17/fs_path.cc
patching file src/libstdc++-v3/src/c++98/Makefile.am
patching file src/libstdc++-v3/src/c++98/Makefile.in
patching file src/libstdc++-v3/src/filesystem/ops.cc
patching file src/libstdc++-v3/testsuite/18_support/comparisons/algorithms/fallback.cc
patching file src/libstdc++-v3/testsuite/18_support/exception_ptr/96657.cc
patching file src/libstdc++-v3/testsuite/20_util/allocator/void.cc
patching file src/libstdc++-v3/testsuite/20_util/any/cons/101034.cc
patching file src/libstdc++-v3/testsuite/20_util/common_reference/100894.cc
patching file src/libstdc++-v3/testsuite/20_util/from_chars/3.cc
patching file src/libstdc++-v3/testsuite/20_util/optional/assignment/100982.cc
patching file src/libstdc++-v3/testsuite/20_util/optional/relops/three_way.cc
patching file src/libstdc++-v3/testsuite/20_util/scoped_allocator/69293_neg.cc
patching file src/libstdc++-v3/testsuite/20_util/shared_ptr/cons/lwg3548.cc
patching file src/libstdc++-v3/testsuite/20_util/shared_ptr/cons/unique_ptr_deleter.cc
patching file src/libstdc++-v3/testsuite/20_util/shared_ptr/creation/99006.cc
patching file src/libstdc++-v3/testsuite/20_util/uses_allocator/69293_neg.cc
patching file src/libstdc++-v3/testsuite/20_util/uses_allocator/cons_neg.cc
patching file src/libstdc++-v3/testsuite/20_util/variant/100384.cc
patching file src/libstdc++-v3/testsuite/21_strings/char_traits/requirements/constexpr_functions_c++17.cc
patching file src/libstdc++-v3/testsuite/21_strings/char_traits/requirements/constexpr_functions_c++20.cc
patching file src/libstdc++-v3/testsuite/21_strings/char_traits/requirements/version.cc
patching file src/libstdc++-v3/testsuite/23_containers/map/cons/noexcept_move_construct.cc
patching file src/libstdc++-v3/testsuite/23_containers/multimap/cons/noexcept_move_construct.cc
patching file src/libstdc++-v3/testsuite/23_containers/multiset/cons/noexcept_move_construct.cc
patching file src/libstdc++-v3/testsuite/23_containers/set/cons/noexcept_move_construct.cc
patching file src/libstdc++-v3/testsuite/23_containers/span/101411.cc
patching file src/libstdc++-v3/testsuite/23_containers/unordered_map/allocator/default_init.cc
patching file src/libstdc++-v3/testsuite/23_containers/unordered_map/cons/noexcept_default_construct.cc
patching file src/libstdc++-v3/testsuite/23_containers/unordered_map/cons/noexcept_move_construct.cc
patching file src/libstdc++-v3/testsuite/23_containers/unordered_map/modifiers/move_assign.cc
patching file src/libstdc++-v3/testsuite/23_containers/unordered_multimap/cons/noexcept_default_construct.cc
patching file src/libstdc++-v3/testsuite/23_containers/unordered_multimap/cons/noexcept_move_construct.cc
patching file src/libstdc++-v3/testsuite/23_containers/unordered_multiset/cons/noexcept_default_construct.cc
patching file src/libstdc++-v3/testsuite/23_containers/unordered_multiset/cons/noexcept_move_construct.cc
patching file src/libstdc++-v3/testsuite/23_containers/unordered_set/allocator/default_init.cc
patching file src/libstdc++-v3/testsuite/23_containers/unordered_set/cons/99985.cc
patching file src/libstdc++-v3/testsuite/23_containers/unordered_set/cons/noexcept_default_construct.cc
patching file src/libstdc++-v3/testsuite/23_containers/unordered_set/cons/noexcept_move_construct.cc
patching file src/libstdc++-v3/testsuite/24_iterators/associated_types/readable.traits.cc
patching file src/libstdc++-v3/testsuite/24_iterators/headers/iterator/synopsis_c++20.cc
patching file src/libstdc++-v3/testsuite/24_iterators/move_iterator/lwg3391.cc
patching file src/libstdc++-v3/testsuite/24_iterators/move_iterator/move_only.cc
patching file src/libstdc++-v3/testsuite/24_iterators/range_operations/100768.cc
patching file src/libstdc++-v3/testsuite/24_iterators/range_operations/advance.cc
patching file src/libstdc++-v3/testsuite/24_iterators/reverse_iterator/100639.cc
patching file src/libstdc++-v3/testsuite/24_iterators/reverse_iterator/2.cc
patching file src/libstdc++-v3/testsuite/25_algorithms/copy/debug/99402.cc
patching file src/libstdc++-v3/testsuite/25_algorithms/copy_backward/move_iterators/69478.cc
patching file src/libstdc++-v3/testsuite/25_algorithms/move_backward/69478.cc
patching file src/libstdc++-v3/testsuite/25_algorithms/move_backward/93872.cc
patching file src/libstdc++-v3/testsuite/26_numerics/reduce/95833.cc
patching file src/libstdc++-v3/testsuite/27_io/filesystem/operations/create_directories.cc
patching file src/libstdc++-v3/testsuite/27_io/filesystem/operations/create_directory.cc
patching file src/libstdc++-v3/testsuite/27_io/filesystem/path/construct/100630.cc
patching file src/libstdc++-v3/testsuite/27_io/filesystem/path/decompose/parent_path.cc
patching file src/libstdc++-v3/testsuite/30_threads/promise/members/at_thread_exit2.cc
patching file src/libstdc++-v3/testsuite/experimental/filesystem/operations/create_directories.cc
patching file src/libstdc++-v3/testsuite/experimental/filesystem/operations/create_directory.cc
patching file src/libstdc++-v3/testsuite/experimental/filesystem/path/construct/100630.cc
patching file src/libstdc++-v3/testsuite/experimental/net/internet/address/v6/members.cc
patching file src/libstdc++-v3/testsuite/experimental/random/randint.cc
patching file src/libstdc++-v3/testsuite/lib/libstdc++.exp
patching file src/libstdc++-v3/testsuite/std/ranges/97600.cc
patching file src/libstdc++-v3/testsuite/std/ranges/adaptors/elements.cc
patching file src/libstdc++-v3/testsuite/std/ranges/adaptors/join.cc
patching file src/libstdc++-v3/testsuite/std/ranges/adaptors/reverse.cc
patching file src/libstdc++-v3/testsuite/std/ranges/adaptors/split.cc
patching file src/libstdc++-v3/testsuite/std/ranges/adaptors/transform.cc
patching file src/libstdc++-v3/testsuite/std/ranges/iota/iota_view.cc
patching file src/libstdc++-v3/testsuite/std/ranges/istream_view.cc
patching file src/libstdc++-v3/testsuite/std/ranges/p2259.cc
patching file src/libstdc++-v3/testsuite/std/ranges/range.cc
patching file src/libstdc++-v3/testsuite/std/ranges/subrange/lwg3282_neg.cc
patching file src/libstdc++-v3/testsuite/util/testsuite_iterators.h
patching file src/maintainer-scripts/ChangeLog
patching file src/maintainer-scripts/generate_libstdcxx_web_docs

Applying patch gcc-gfdl-build.diff
patching file src/gcc/Makefile.in

Applying patch gcc-textdomain.diff
patching file src/gcc/intl.c
patching file src/gcc/Makefile.in
patching file src/libcpp/init.c
patching file src/libcpp/system.h
patching file src/libcpp/Makefile.in

Applying patch gcc-distro-specs.diff
patching file src/gcc/gcc.c
patching file src/gcc/cp/lang-specs.h
patching file src/gcc/objc/lang-specs.h
patching file src/gcc/objcp/lang-specs.h
patching file src/gcc/c-family/c-cppbuiltin.c

Applying patch gcc-driver-extra-langs.diff
patching file src/gcc/Makefile.in

Applying patch gcc-hash-style-gnu.diff
patching file src/gcc/config/alpha/linux-elf.h
patching file src/gcc/config/ia64/linux.h
patching file src/gcc/config/rs6000/linux64.h
patching file src/gcc/config/rs6000/sysv4.h
patching file src/gcc/config/s390/linux.h
patching file src/gcc/config/sparc/linux.h
patching file src/gcc/config/arm/linux-elf.h
patching file src/gcc/config/i386/gnu-user.h
patching file src/gcc/config/i386/gnu-user64.h
patching file src/gcc/config/aarch64/aarch64-linux.h
patching file src/gcc/config/riscv/linux.h

Applying patch libstdc++-pic.diff
patching file src/libstdc++-v3/src/Makefile.am
patching file src/libstdc++-v3/src/Makefile.in

Applying patch libstdc++-doclink.diff
patching file src/libstdc++-v3/doc/doxygen/mainpage.html
patching file src/libstdc++-v3/doc/html/api.html
patching file src/libstdc++-v3/doc/xml/api.xml

Applying patch libstdc++-man-3cxx.diff
patching file src/libstdc++-v3/doc/doxygen/user.cfg.in
patching file src/libstdc++-v3/scripts/run_doxygen

Applying patch libstdc++-test-installed.diff
patching file src/libstdc++-v3/testsuite/lib/libstdc++.exp

Applying patch alpha-no-ev4-directive.diff
patching file src/gcc/config/alpha/alpha.c

Applying patch note-gnu-stack.diff
patching file src/libgcc/config/ia64/crtbegin.S
patching file src/libgcc/config/ia64/crtend.S
patching file src/libgcc/config/ia64/crti.S
patching file src/libgcc/config/ia64/crtn.S
patching file src/libgcc/config/ia64/lib1funcs.S
patching file src/gcc/config/ia64/linux.h
patching file src/gcc/config/rs6000/ppc-asm.h

Applying patch libgomp-omp_h-multilib.diff
patching file src/libgomp/omp.h.in

Applying patch libgo-testsuite.diff
patching file src/libgo/Makefile.am
patching file src/libgo/Makefile.in

Applying patch libgo-cleanfiles.diff
patching file src/libgo/Makefile.am
patching file src/libgo/Makefile.in

Applying patch gcc-target-include-asm.diff
patching file src/configure.ac

Applying patch libgo-revert-timeout-exp.diff
patching file src/libgo/testsuite/lib/libgo.exp

Applying patch libgo-setcontext-config.diff
patching file src/libgo/configure.ac

Applying patch gcc-auto-build.diff
patching file src/gcc/configure.ac

Applying patch libitm-no-fortify-source.diff
patching file src/libitm/configure.tgt

Applying patch sparc64-biarch-long-double-128.diff
patching file src/gcc/config/sparc/linux64.h

Applying patch pr66368.diff
patching file src/libgo/Makefile.am
patching file src/libgo/Makefile.in

Applying patch pr67590.diff
patching file src/libcc1/configure.ac

Applying patch libjit-ldflags.diff
patching file src/gcc/jit/Make-lang.in

Applying patch libffi-pax.diff
patching file src/libffi/configure.ac
patching file src/libffi/src/closures.c

Applying patch libffi-race-condition.diff
patching file src/libffi/src/closures.c

Applying patch cuda-float128.diff
patching file src/libstdc++-v3/include/std/type_traits
patching file src/libstdc++-v3/include/bits/std_abs.h
patching file src/libstdc++-v3/include/bits/stl_algobase.h
patching file src/libstdc++-v3/include/std/numbers

Applying patch libffi-mipsen-r6.diff
patching file src/libffi/src/mips/n32.S
patching file src/libffi/src/mips/ffi.c

Applying patch t-libunwind-elf-Wl-z-defs.diff
patching file src/libgcc/config/t-libunwind-elf

Applying patch gcc-force-cross-layout.diff
patching file src/configure.ac
patching file src/gcc/configure.ac

Applying patch gcc-search-prefixed-as-ld.diff
patching file src/gcc/gcc.c

Applying patch kfreebsd-decimal-float.diff
patching file src/gcc/configure.ac
patching file src/libdecnumber/configure.ac
patching file src/libgcc/configure.ac

Applying patch pr87808.diff
patching file src/gcc/jit/Make-lang.in
patching file src/gcc/jit/jit-playback.c

Applying patch libgomp-no-werror.diff
patching file src/libgomp/configure.ac

Applying patch gdc-cross-build.diff
patching file src/gcc/d/Make-lang.in
patching file src/gcc/d/d-system.h

Applying patch pr94253.diff
patching file src/gcc/config/rs6000/rs6000.c

Applying patch aarch64-offload.diff
patching file src/gcc/config/aarch64/aarch64.c
Hunk #1 succeeded at 23219 (offset 59 lines).
Hunk #2 succeeded at 23794 (offset 59 lines).

Applying patch pr97250-0.diff
patching file src/gcc/config/i386/i386-c.c

Applying patch pr97250-1.diff
patching file src/gcc/common/config/i386/i386-common.c
patching file src/gcc/config/i386/i386-options.c
patching file src/gcc/config/i386/i386.h
patching file src/gcc/testsuite/gcc.target/i386/x86-64-v2.c
patching file src/gcc/testsuite/gcc.target/i386/x86-64-v3-haswell.c
patching file src/gcc/testsuite/gcc.target/i386/x86-64-v3-skylake.c
patching file src/gcc/testsuite/gcc.target/i386/x86-64-v3.c
patching file src/gcc/testsuite/gcc.target/i386/x86-64-v4.c

Applying patch pr97250-2.diff
patching file src/gcc/testsuite/gcc.target/i386/x86-64-v2.c
patching file src/gcc/testsuite/gcc.target/i386/x86-64-v3.c
patching file src/gcc/testsuite/gcc.target/i386/x86-64-v4.c

Applying patch pr97250-3.diff
patching file src/gcc/config.gcc

Applying patch pr97250-follow-up.diff
patching file src/gcc/config.gcc
patching file src/gcc/config/i386/i386-options.c
patching file src/gcc/testsuite/gcc.target/i386/x86-64-v2-msabi.c
patching file src/gcc/testsuite/gcc.target/i386/x86-64-v2-other.c
patching file src/gcc/testsuite/gcc.target/i386/x86-64-v2.c
patching file src/gcc/testsuite/gcc.target/i386/x86-64-v3-msabi.c
patching file src/gcc/testsuite/gcc.target/i386/x86-64-v3-other.c
patching file src/gcc/testsuite/gcc.target/i386/x86-64-v3.c
patching file src/gcc/testsuite/gcc.target/i386/x86-64-v4-msabi.c
patching file src/gcc/testsuite/gcc.target/i386/x86-64-v4-other.c
patching file src/gcc/testsuite/gcc.target/i386/x86-64-v4.c

Applying patch pr98274.diff
patching file src/gcc/config/i386/i386-options.c
patching file src/gcc/testsuite/gcc.target/i386/pr98274.c

Applying patch pr97714.diff
patching file src/gcc/final.c
patching file src/gcc/testsuite/gcc.dg/debug/pr97714.c

Applying patch pr98920.diff
patching file src/gcc/testsuite/c-c++-common/asan/pr98920.c
patching file src/libsanitizer/asan/asan_interceptors.cpp
patching file src/libsanitizer/asan/asan_interceptors.h
patching file src/libsanitizer/sanitizer_common/sanitizer_common_interceptors.inc
patching file src/libsanitizer/tsan/tsan_interceptors_posix.cpp

Applying patch pr99773.diff
patching file src/gcc/config/arm/arm.c
Hunk #1 succeeded at 28201 (offset 61 lines).

Applying patch musl-ssp.diff
patching file src/gcc/gcc.c
Hunk #1 succeeded at 965 (offset -122 lines).

Applying patch pr99264-follow-up.diff
patching file src/gcc/ada/init.c
patching file src/gcc/ada/libgnarl/s-osinte__linux.ads

Applying patch raspbian-disable-libatomic-march.diff
patching file src/libatomic/Makefile.am
Hunk #1 succeeded at 129 (offset 2 lines).
patching file src/libatomic/Makefile.in
Hunk #1 succeeded at 432 (offset 81 lines).

Applying patch gm2.diff
patching file src/configure.ac
patching file src/gcc/c/gccspec.c
patching file src/gcc/c-family/cppspec.c
patching file src/gcc/cp/g++spec.c
patching file src/gcc/gcc.c
Hunk #3 succeeded at 1130 (offset 7 lines).
Hunk #4 succeeded at 1757 (offset 7 lines).
Hunk #5 succeeded at 2853 (offset 7 lines).
Hunk #6 succeeded at 3813 (offset 7 lines).
Hunk #7 succeeded at 3858 (offset 7 lines).
Hunk #8 succeeded at 4401 (offset 7 lines).
Hunk #9 succeeded at 4487 (offset 7 lines).
Hunk #10 succeeded at 6330 (offset 7 lines).
Hunk #11 succeeded at 6368 (offset 7 lines).
Hunk #12 succeeded at 7859 (offset 7 lines).
Hunk #13 succeeded at 8557 (offset 7 lines).
Hunk #14 succeeded at 8627 (offset 7 lines).
patching file src/gcc/gcc.h
patching file src/Makefile.def
patching file src/Makefile.in
patching file src/Makefile.tpl
patching file src/gcc/go/gospec.c
patching file src/gcc/fortran/gfortranspec.c
patching file src/gcc/d/d-spec.cc
patching file src/gcc/brig/brigspec.c

Applying patch gm2-texinfo.diff
patching file src/gcc/m2/gm2.texi

Applying patch gm2-bootstrap-compare.diff
patching file src/configure.ac

Applying patch gm2-jit-def.diff
patching file src/gcc/jit/jit-spec.c

Applying patch gm2-link.diff
patching file src/gcc/m2/Make-lang.in

Applying patch ada-gcc-name.diff
patching file src/gcc/ada/osint.ads
patching file src/gcc/ada/osint.adb
patching file src/gcc/ada/gnatchop.adb

Applying patch ada-verbose.diff
patching file src/gcc/ada/Make-generated.in
patching file src/gcc/ada/gcc-interface/Makefile.in

Applying patch ada-link-lib.diff
patching file src/gcc/ada/gcc-interface/config-lang.in
patching file src/gcc/ada/link.c
patching file src/libada/Makefile.in
patching file src/Makefile.def
patching file src/configure.ac
patching file src/gcc/ada/gcc-interface/Make-lang.in
patching file src/gcc/testsuite/lib/gnat.exp

Applying patch ada-libgnat_util.diff
patching file src/libgnat_util/configure.ac
patching file src/libgnat_util/gnatvsn.gpr
patching file src/libgnat_util/gnat_util.gpr.in
patching file src/libgnat_util/Makefile.am
patching file src/Makefile.def
patching file src/configure.ac
patching file src/gcc/ada/gcc-interface/config-lang.in
patching file src/gcc/testsuite/ada/acats/run_acats.sh
patching file src/gcc/testsuite/lib/gnat.exp
patching file src/libgnat_util/Makefile.in
patching file src/libgnat_util/aclocal.m4

Applying patch ada-gnattools-cross.diff
patching file src/gcc/ada/Makefile.rtl
patching file src/gcc/ada/gcc-interface/Makefile.in
patching file src/gnattools/Makefile.in

Applying patch ada-lib-info-source-date-epoch.diff
patching file src/gcc/ada/osint.adb
patching file src/gcc/ada/osint.ads

Applying patch ada-armel-libatomic.diff
patching file src/gcc/ada/Makefile.rtl
patching file src/Makefile.def
patching file src/gcc/ada/gcc-interface/Makefile.in

Applying patch ada-kfreebsd.diff
patching file src/gcc/ada/libgnarl/s-osinte__kfreebsd-gnu.ads
patching file src/gcc/ada/s-oscons-tmplt.c

Applying patch ada-749574.diff
patching file src/gcc/ada/gnatlink.adb

Applying patch ada-perl-shebang.diff
patching file src/gcc/ada/gnathtml.pl

Applying patch gdc-texinfo.diff
patching file src/gcc/d/gdc.texi

Applying patch sys-auxv-header.diff
patching file src/gcc/configure.ac
patching file src/gcc/config.in
patching file src/gcc/config/rs6000/driver-rs6000.c

Applying patch gdc-dynamic-link-phobos.diff
patching file src/gcc/d/d-spec.cc

Applying patch ia64-disable-selective-scheduling.diff
patching file src/gcc/config/ia64/ia64.c

Applying patch gcc-foffload-default.diff
patching file src/gcc/gcc.c
Hunk #2 succeeded at 4847 (offset 7 lines).
Hunk #3 succeeded at 8135 (offset 7 lines).
patching file src/gcc/lto-wrapper.c
patching file src/libgomp/target.c

Applying patch libstdc++-pythondir.diff
patching file src/libstdc++-v3/python/Makefile.am
patching file src/libstdc++-v3/python/Makefile.in

Applying patch gcc-ice-dump.diff
patching file src/gcc/gcc.c
Hunk #1 succeeded at 3438 (offset 7 lines).
Hunk #2 succeeded at 7317 (offset 7 lines).

Applying patch gcc-ice-apport.diff
patching file src/gcc/gcc.c
Hunk #1 succeeded at 7334 (offset 7 lines).

Applying patch skip-bootstrap-multilib.diff
patching file src/config-ml.in

Applying patch libffi-ro-eh_frame_sect.diff
patching file src/libffi/configure.ac

Applying patch libffi-mips.diff
patching file src/libffi/src/mips/ffi.c
patching file src/libffi/src/mips/ffitarget.h
patching file src/libffi/src/mips/n32.S
patching file src/libffi/src/mips/o32.S

Applying patch gcc-multiarch.diff
patching file src/gcc/config/sh/t-linux
patching file src/gcc/config/sparc/t-linux64
patching file src/gcc/config/s390/t-linux64
patching file src/gcc/config/rs6000/t-linux64
patching file src/gcc/config/i386/t-linux64
patching file src/gcc/config/i386/t-kfreebsd
patching file src/gcc/config/mips/t-linux64
patching file src/gcc/config.gcc
Hunk #4 succeeded at 5265 (offset -11 lines).
patching file src/gcc/config/mips/mips.h
patching file src/gcc/config/tilegx/t-tilegx
patching file src/gcc/config/riscv/t-linux
patching file src/gcc/Makefile.in
patching file src/gcc/config/aarch64/t-aarch64-linux

Applying patch config-ml.diff
patching file src/config-ml.in

Applying patch g++-multiarch-incdir.diff
patching file src/libstdc++-v3/include/Makefile.am
patching file src/libstdc++-v3/include/Makefile.in
patching file src/gcc/Makefile.in
patching file src/gcc/cppdefault.c
patching file src/gcc/incpath.c

Applying patch canonical-cpppath.diff
patching file src/gcc/incpath.c

Applying patch gcc-multilib-multiarch.diff
patching file src/gcc/config/sparc/t-linux64
patching file src/gcc/config/s390/t-linux64
patching file src/gcc/config/rs6000/t-linux64
patching file src/gcc/config/i386/t-linux64
patching file src/gcc/config/mips/t-linux64
patching file src/gcc/config/rs6000/t-linux

Applying patch gcc-as-needed.diff
patching file src/gcc/gcc.c
patching file src/gcc/config/gnu-user.h
patching file src/gcc/config/aarch64/aarch64-linux.h
patching file src/gcc/config/ia64/linux.h
patching file src/gcc/config/sparc/linux.h
patching file src/gcc/config/s390/linux.h
patching file src/gcc/config/rs6000/linux64.h
patching file src/gcc/config/rs6000/sysv4.h
patching file src/gcc/config/i386/gnu-user64.h
patching file src/gcc/config/i386/gnu-user.h
patching file src/gcc/config/alpha/linux-elf.h
patching file src/gcc/config/arm/linux-elf.h
patching file src/gcc/config/mips/gnu-user.h
patching file src/gcc/config/riscv/linux.h
patching file src/gcc/config/m68k/linux.h
patching file src/gcc/config/sh/linux.h
patching file src/gcc/config/pa/pa-linux.h

Applying patch gcc-as-needed-gold.diff
patching file src/gcc/gcc.c
patching file src/gcc/config/gnu-user.h

Applying patch libgomp-kfreebsd-testsuite.diff
patching file src/libgomp/testsuite/libgomp.c/lock-2.c

Applying patch go-testsuite.diff
patching file src/gcc/testsuite/go.test/go-test.exp

Applying patch ada-changes-in-autogen-output.diff
patching file src/Makefile.in

Applying patch fix_ghdl_ppc64.diff
patching file src/gcc/config/rs6000/rs6000-logue.c

Now at patch fix_ghdl_ppc64.diff
: # only needed when we have changes, and currently fails with autogen 5.18
: #cd /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/fixincludes && ./genfixes
sync
echo -n src/ src/gcc/ src/intl/ src/libcc1/ src/libdecnumber/ src/libffi/ src/libgcc/ src/libgnat_util/ src/libgo/ src/libgomp/ | xargs -d ' ' -L 1 -P 4 -I{} \
  sh -c 'echo "Running autoconf2.69 in {}..." ; \
  cd /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/{} && rm -f configure && \
  AUTOM4TE=/usr/bin/autom4te2.69 autoconf2.69'
xargs: warning: options --max-lines and --replace/-I/-i are mutually exclusive, ignoring previous --max-lines value
Running autoconf2.69 in src/...
Running autoconf2.69 in src/gcc/...
Running autoconf2.69 in src/intl/...
Running autoconf2.69 in src/libcc1/...
Running autoconf2.69 in src/libdecnumber/...
Running autoconf2.69 in src/libffi/...
Running autoconf2.69 in src/libgcc/...
Running autoconf2.69 in src/libgnat_util/...
Running autoconf2.69 in src/libgo/...
Running autoconf2.69 in src/libgomp/...
for i in git-updates    gcc-gfdl-build gcc-textdomain gcc-distro-specs gcc-driver-extra-langs gcc-hash-style-gnu libstdc++-pic libstdc++-doclink libstdc++-man-3cxx libstdc++-test-installed alpha-no-ev4-directive note-gnu-stack libgomp-omp_h-multilib libgo-testsuite libgo-cleanfiles gcc-target-include-asm libgo-revert-timeout-exp libgo-setcontext-config gcc-auto-build libitm-no-fortify-source sparc64-biarch-long-double-128 pr66368 pr67590 libjit-ldflags libffi-pax libffi-race-condition cuda-float128 libffi-mipsen-r6 t-libunwind-elf-Wl-z-defs gcc-force-cross-layout gcc-search-prefixed-as-ld kfreebsd-decimal-float pr87808 libgomp-no-werror gdc-cross-build pr94253 aarch64-offload pr97250-0 pr97250-1 pr97250-2 pr97250-3 pr97250-follow-up pr98274 pr97714 pr98920 pr99773 musl-ssp pr99264-follow-up  raspbian-disable-libatomic-march  gm2 gm2-texinfo gm2-bootstrap-compare gm2-jit-def gm2-link ada-gcc-name ada-verbose ada-link-lib ada-libgnat_util ada-gnattools-cross ada-lib-info-source-date-epoch ada-armel-libatomic ada-kfreebsd ada-749574 ada-perl-shebang gdc-texinfo sys-auxv-header gdc-dynamic-link-phobos ia64-disable-selective-scheduling gcc-foffload-default libstdc++-pythondir  gcc-ice-dump gcc-ice-apport skip-bootstrap-multilib libffi-ro-eh_frame_sect libffi-mips gcc-multiarch config-ml g++-multiarch-incdir canonical-cpppath gcc-multilib-multiarch gcc-as-needed gcc-as-needed-gold libgomp-kfreebsd-testsuite go-testsuite ada-changes-in-autogen-output fix_ghdl_ppc64  ; do \
  echo -e "\n$i:" >> pxxx; \
  sed -n 's/^# *DP: */  /p' debian/patches/$i.diff >> pxxx; \
done
: # generate the distro-defaults.h header
rm -f /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/distro-defaults.h
( \
  echo '/* distro specific configuration injected by the distro build.  */'; \
  echo ''; \
  echo '#ifndef ACCEL_COMPILER' \
) >> /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/distro-defaults.h
echo '#endif' \
     >> /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/distro-defaults.h
mv pxxx stamps/02-patch-stamp
make[2]: Leaving directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc'
Build machine is: arm-linux-gnueabihf
create pic/ subdirectory
Creating ghdl.gpr
Creating Makefile
make[2]: Entering directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc'
for d in ieee/v87 ieee/v93 ieee/v08 std/v87 std/v93 std/v08 src/ieee src/ieee/v87 src/ieee/v93 src/ieee2008 src/std src/std/v87 src/std/v93 src/std/v08 src/synopsys src/synopsys/v08 src/upf; do \
  mkdir -p lib/ghdl/gcc/$d; \
done
make[2]: Leaving directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc'
Generate ghdlsynth_maybe.ads
Generate default_paths.ads
make[2]: Entering directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc'
GHDL_VER_DESC=${GHDL_VER_DESC:-tarball}; \
GHDL_VER_REF=${GHDL_VER_REF:-unknown}; \
GHDL_VER_HASH=${GHDL_VER_HASH:-unknown}; \
sed \
  -e "s#@VER@#1.0.0#" \
  -e "s#@DESC@#${GHDL_VER_DESC}#" \
  -e "s#@REF@#${GHDL_VER_REF}#" \
  -e "s#@HASH@#${GHDL_VER_HASH}#" \
  < ../../src/version.in > version.tmp;
if [ ! -r version.ads ] || ! cmp version.tmp version.ads > /dev/null; then cp version.tmp version.ads; fi
rm -f -rf src/gcc/vhdl
mkdir src/gcc/vhdl
cp -p version.ads ghdlsynth_maybe.ads src/gcc/vhdl
cp -p ../../src/*.ad? src/gcc/vhdl
cp -p ../../src/vhdl/*.ad? src/gcc/vhdl
cp -p ../../src/vhdl/translate/*.ad? src/gcc/vhdl
cp -p ../../src/synth/*.ad? src/gcc/vhdl
cp -p ../../src/psl/*.ad? src/gcc/vhdl
cp -p ../../src/grt/grt.ad? src/gcc/vhdl
cp -p ../../src/grt/grt-types.ads src/gcc/vhdl
cp -p ../../src/grt/grt-c.ad? src/gcc/vhdl
cp -p ../../src/grt/grt-fcvt.ad? src/gcc/vhdl
cp -p ../../src/grt/grt-algos.ad? src/gcc/vhdl
cp -p ../../src/grt/grt-vstrings.ad? src/gcc/vhdl
cp -p ../../src/grt/grt-cstdio.c src/gcc/vhdl
cp -p ../../src/grt/grt-stdio.ad? src/gcc/vhdl
cp -p ../../src/grt/grt-table.ad? src/gcc/vhdl
cp -p ../../src/grt/grt-files_operations.ad? src/gcc/vhdl
cp -p ../../src/grt/grt-to_strings.ad? src/gcc/vhdl
cp -p ../../src/grt/grt-severity.ads src/gcc/vhdl
cp -p ../../src/ortho/*.ad? src/gcc/vhdl
cp -p ../../src/ortho/gcc/*.ad? src/gcc/vhdl
cp -p ../../src/ortho/gcc/*.c src/gcc/vhdl
cp -p ../../src/ortho/gcc/*.opt src/gcc/vhdl
base_ver=`cat src/gcc/BASE-VER`; \
case $base_ver in \
 4.9*)     gcc_ortho_lang=ortho-lang-49.c ;; \
 5.*)      gcc_ortho_lang=ortho-lang-5.c ;; \
 6 | 6.*)  gcc_ortho_lang=ortho-lang-6.c ;; \
 7.*)      gcc_ortho_lang=ortho-lang-7.c ;; \
 8.*)      gcc_ortho_lang=ortho-lang-8.c ;; \
 9.*)      gcc_ortho_lang=ortho-lang-9.c ;; \
 10.*)     gcc_ortho_lang=ortho-lang-9.c ;; \
 *) echo "Mismatch gcc version from src"; \
    echo "Need gcc version 4.9.x, 5.x, 6.x, 7.x, 8.x, 9.x or 10.x"; \
    exit 1 ;; \
esac; \
cp -p ../../src/ortho/gcc/$gcc_ortho_lang \
  src/gcc/vhdl/ortho-lang.c
cp -p ../../doc/ghdl.texi ../../doc/ghdl.1 src/gcc/vhdl
mkdir src/gcc/vhdl/ghdldrv
cp -pR ../../src/ghdldrv/*.ad? src/gcc/vhdl/ghdldrv
cp -p ../../scripts/gcc/Make-lang.in src/gcc/vhdl
cp -p ../../scripts/gcc/config-lang.in src/gcc/vhdl
cp -p ../../scripts/gcc/lang-options.h src/gcc/vhdl
cp -p ../../scripts/gcc/lang-specs.h src/gcc/vhdl
make[2]: Leaving directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc'
checking build system type... armv7l-unknown-linux-gnueabihf
checking host system type... armv7l-unknown-linux-gnueabihf
checking target system type... armv7l-unknown-linux-gnueabihf
checking for a BSD-compatible install... /usr/bin/install -c
checking whether ln works... yes
checking whether ln -s works... yes
checking for a sed that does not truncate output... /bin/sed
checking for gawk... gawk
checking for libatomic support... yes
checking for libitm support... yes
checking for libsanitizer support... yes
checking for libvtv support... yes
checking for libhsail-rt support... no
checking for libphobos support... yes
checking for gcc... gnatgcc
checking whether the C compiler works... yes
checking for C compiler default output file name... a.out
checking for suffix of executables... 
checking whether we are cross compiling... no
checking for suffix of object files... o
checking whether we are using the GNU C compiler... yes
checking whether gnatgcc accepts -g... yes
checking for gnatgcc option to accept ISO C89... none needed
checking for g++... g++
checking whether we are using the GNU C++ compiler... yes
checking whether g++ accepts -g... yes
checking whether g++ accepts -static-libstdc++ -static-libgcc... yes
checking for gnatbind... gnatbind
checking for gnatmake... gnatmake
checking whether compiler driver understands Ada... yes
checking how to compare bootstrapped objects... cmp --ignore-initial=16 $$f1 $$f2
checking for objdir... .libs
checking for the correct version of gmp.h... yes
checking for the correct version of mpfr.h... yes
checking for the correct version of mpc.h... yes
checking for the correct version of the gmp/mpfr/mpc libraries... yes
The following languages will be built: c,vhdl
*** This configuration is not supported in the following subdirectories:
     zlib target-libquadmath gnattools gotools target-libada target-libgnat_util target-libhsail-rt target-libstdc++-v3 target-libphobos target-zlib target-libbacktrace target-libgfortran target-libgo target-libffi target-libgm2 target-libobjc target-libgomp target-liboffloadmic target-libssp target-libitm target-libsanitizer target-libvtv
    (Any other directories should still work fine.)
checking for default BUILD_CONFIG... 
checking for --enable-vtable-verify... no
checking for bison... no
checking for byacc... no
checking for yacc... no
checking for bison... no
checking for gm4... no
checking for gnum4... no
checking for m4... m4
checking for flex... no
checking for lex... no
checking for flex... no
checking for makeinfo... no
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/missing: 81: makeinfo: not found
checking for expect... no
checking for runtest... no
checking for ar... ar
checking for as... as
checking for dlltool... no
checking for ld... ld
checking for lipo... no
checking for nm... nm
checking for ranlib... ranlib
checking for strip... strip
checking for windres... no
checking for windmc... no
checking for objcopy... objcopy
checking for objdump... objdump
checking for otool... no
checking for readelf... readelf
checking for cc... cc
checking for c++... c++
checking for gcc... gcc
checking for gfortran... no
checking for gccgo... no
checking for gdc... no
checking for gm2... no
checking for ar... no
checking for ar... ar
checking for as... no
checking for as... as
checking for dlltool... no
checking for dlltool... no
checking for ld... no
checking for ld... ld
checking for lipo... no
checking for lipo... no
checking for nm... no
checking for nm... nm
checking for objcopy... no
checking for objcopy... objcopy
checking for objdump... no
checking for objdump... objdump
checking for otool... no
checking for otool... no
checking for ranlib... no
checking for ranlib... ranlib
checking for readelf... no
checking for readelf... readelf
checking for strip... no
checking for strip... strip
checking for windres... no
checking for windres... no
checking for windmc... no
checking for windmc... no
checking where to find the target ar... host tool
checking where to find the target as... host tool
checking where to find the target cc... just compiled
checking where to find the target c++... host tool
checking where to find the target c++ for libstdc++... host tool
checking where to find the target dlltool... host tool
checking where to find the target gcc... just compiled
checking where to find the target gfortran... host tool
checking where to find the target gccgo... host tool
checking where to find the target gdc... host tool
checking where to find the target gm2... host tool
checking where to find the target ld... host tool
checking where to find the target lipo... host tool
checking where to find the target nm... host tool
checking where to find the target objcopy... host tool
checking where to find the target objdump... host tool
checking where to find the target otool... host tool
checking where to find the target ranlib... host tool
checking where to find the target readelf... host tool
checking where to find the target strip... host tool
checking where to find the target windres... host tool
checking where to find the target windmc... host tool
checking whether to enable maintainer-specific portions of Makefiles... no
configure: creating ./config.status
config.status: creating Makefile
make[1]: Leaving directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg'
   debian/rules override_dh_auto_build
make[1]: Entering directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg'

------------------------------------------------------------
Building with mcode backend
------------------------------------------------------------
if [ -n "" ]; then \
	/usr/bin/make -C /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/mcode; \
fi

------------------------------------------------------------
Building with llvm backend
------------------------------------------------------------
if [ -n "1" ]; then \
	/usr/bin/make -C /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm; \
fi
make[2]: Entering directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm'
GHDL_VER_DESC=${GHDL_VER_DESC:-tarball}; \
GHDL_VER_REF=${GHDL_VER_REF:-unknown}; \
GHDL_VER_HASH=${GHDL_VER_HASH:-unknown}; \
sed \
  -e "s#@VER@#1.0.0#" \
  -e "s#@DESC@#${GHDL_VER_DESC}#" \
  -e "s#@REF@#${GHDL_VER_REF}#" \
  -e "s#@HASH@#${GHDL_VER_HASH}#" \
  < ../../src/version.in > version.tmp;
if [ ! -r version.ads ] || ! cmp version.tmp version.ads > /dev/null; then cp version.tmp version.ads; fi
make -f ../../src/ortho/llvm6/Makefile \
 ortho_srcdir=../../src/ortho ortho_exec=ghdl1-llvm \
 GNATFLAGS="-aI../../src -aI../../src/vhdl -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/ghdldrv -aI../../src/ortho -aI../../src/ortho/llvm6 -aI../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe" LDFLAGS="-Wl,-z,relro -Wl,-z,now" \
 LLVM_CONFIG="llvm-config" CXX="clang++" \
 CFLAGS=" -g" \
 GNATMAKE="gnatmake" all
make[3]: Entering directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm'
clang++ -c `llvm-config --cxxflags` -g -o llvm-cbindings.o ../../src/ortho/llvm6/llvm-cbindings.cpp
gnatmake -o ghdl1-llvm -aI../../src/ortho/llvm6 -aI../../src/ortho \
-aI../../src -aI../../src/vhdl -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/ghdldrv -aI../../src/ortho -aI../../src/ortho/llvm6 -aI../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe ortho_code_main -bargs -E \
-largs llvm-cbindings.o --LINK=clang++ \
-Wl,-z,relro -Wl,-z,now `llvm-config --ldflags --libs --system-libs`
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/ortho/llvm6/ortho_code_main.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/vhdl/translate/ortho_front.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/ortho/llvm6/ortho_llvm.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/bug.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/dyn_interning.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/dyn_maps.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/dyn_tables.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/errorout.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/errorout-console.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/flags.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/hash.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/interning.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/libraries.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/name_table.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/options.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/vhdl/translate/trans_be.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/vhdl/translate/translation.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/types.ads
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/vhdl/vhdl.ads
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/vhdl/vhdl-configuration.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/vhdl/vhdl-errors.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/vhdl/vhdl-nodes.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/vhdl/vhdl-sem.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/vhdl/vhdl-sem_lib.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/vhdl/vhdl-std_package.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/vhdl/vhdl-utils.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/ortho/llvm6/ortho_ident.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/simple_io.adb
arm-linux-gnueabihf-gcc-10 -c -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe version.ads
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/files_map.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/str_table.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/logging.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/std_names.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/tables.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/vhdl/vhdl-scanner.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/vhdl/vhdl-tokens.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/psl/psl.ads
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/psl/psl-dump_tree.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/psl/psl-nodes.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/vhdl/vhdl-back_end.ads
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/vhdl/vhdl-disp_tree.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/vhdl/vhdl-lists.ads
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/vhdl/vhdl-parse.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/ortho/llvm6/ortho_nodes.ads
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/vhdl/translate/trans.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/vhdl/translate/trans-chap1.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/vhdl/translate/trans-chap12.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/vhdl/translate/trans-chap2.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/vhdl/translate/trans-chap3.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/vhdl/translate/trans-chap4.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/vhdl/translate/trans-chap7.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/vhdl/translate/trans-helpers2.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/vhdl/translate/trans-rtis.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/vhdl/translate/trans_decls.ads
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/vhdl/vhdl-canon.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/vhdl/vhdl-sem_specs.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/vhdl/vhdl-evaluation.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/vhdl/vhdl-nodes_walk.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/vhdl/vhdl-sem_scopes.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/vhdl/vhdl-nodes_meta.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/vhdl/vhdl-nodes_priv.ads
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/psl/psl-types.ads
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/vhdl/vhdl-flists.ads
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/vhdl/vhdl-ieee.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/vhdl/vhdl-ieee-std_logic_1164.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/vhdl/vhdl-nodes_utils.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/vhdl/vhdl-sem_assocs.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/vhdl/vhdl-sem_decls.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/vhdl/vhdl-sem_expr.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/vhdl/vhdl-sem_inst.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/vhdl/vhdl-sem_names.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/vhdl/vhdl-sem_psl.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/vhdl/vhdl-sem_stmts.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/vhdl/vhdl-sem_utils.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/vhdl/vhdl-xrefs.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/vhdl/vhdl-nodes_gc.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/vhdl/vhdl-post_sems.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/vhdl/vhdl-prints.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/vhdl/vhdl-types.ads
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt.ads
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-fcvt.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/psl/psl-errors.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/psl/psl-nodes_meta.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/psl/psl-hash.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/lists.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/vhdl/vhdl-elocations.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/vhdl/vhdl-parse_psl.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/vhdl/translate/trans-chap5.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/vhdl/translate/trans-chap6.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/vhdl/translate/trans-chap9.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/vhdl/translate/trans-chap8.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/vhdl/translate/trans-chap14.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/vhdl/translate/trans-foreach_non_composite.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/psl/psl-build.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/psl/psl-nfas.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/psl/psl-nfas-utils.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/psl/psl-rewrites.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/vhdl/vhdl-canon_psl.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/psl/psl-nodes_priv.ads
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/flists.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/vhdl/vhdl-sem_types.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-algos.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/psl/psl-subsets.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/vhdl/vhdl-ieee-math_real.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/vhdl/vhdl-ieee-numeric.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/vhdl/vhdl-ieee-numeric_std_unsigned.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/vhdl/vhdl-ieee-std_logic_arith.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/vhdl/vhdl-ieee-std_logic_misc.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/vhdl/vhdl-ieee-std_logic_unsigned.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/vhdl/vhdl-ieee-vital_timing.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/psl/psl-prints.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/psl/psl-priorities.ads
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/vhdl/vhdl-elocations_meta.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/vhdl/translate/trans_analyzes.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/psl/psl-cse.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/psl/psl-disp_nfas.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/psl/psl-optimize.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/psl/psl-qm.adb
arm-linux-gnueabihf-gnatbind-10 -aI../../src/ortho/llvm6 -aI../../src/ortho -aI../../src -aI../../src/vhdl -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/ghdldrv -aI../../src/ortho -aI../../src/ortho/llvm6 -aI../../src/synth -E -x ortho_code_main.ali
arm-linux-gnueabihf-gnatlink-10 ortho_code_main.ali -o ghdl1-llvm -g llvm-cbindings.o --LINK=clang++ -Wl,-z,relro -Wl,-z,now -L/usr/lib/llvm-11/lib -lLLVM-11
make[3]: Leaving directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm'
gnatgcc -c -g -o grt-cstdio.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt-cstdio.c
gnatmake -aI../../src -aI../../src/vhdl -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/ghdldrv -aI../../src/ortho -aI../../src/ortho/llvm6 -aI../../src/synth \
         -aI../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe \
 ghdl_llvm -bargs -E \
         -largs -Wl,-z,relro -Wl,-z,now grt-cstdio.o 
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/ghdldrv/ghdl_llvm.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/ghdldrv/ghdldrv.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/ghdldrv/ghdllocal.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/ghdldrv/ghdlmain.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/ghdldrv/ghdlprint.adb
arm-linux-gnueabihf-gcc-10 -c -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe ghdlsynth_maybe.ads
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/ghdldrv/ghdlvpi.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/ghdldrv/ghdlxml.adb
arm-linux-gnueabihf-gcc-10 -c -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe default_paths.ads
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/vhdl/vhdl-formatters.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/ghdldrv/ghdlsynth.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/utils_io.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-types.ads
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-vstrings.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/ghdldrv/ghdlcomp.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/synth/netlists.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/synth/netlists-disp_dot.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/synth/netlists-disp_vhdl.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/synth/netlists-dump.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/synth/netlists-errors.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/synth/synth.ads
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/synth/synth-context.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/synth/synth-disp_vhdl.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/synth/synth-flags.ads
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/synth/synthesis.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/vhdl/vhdl-annotations.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-c.ads
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/synth/netlists-gates.ads
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/synth/netlists-utils.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/synth/netlists-iterators.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/synth/netlists-locations.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/synth/types_utils.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/synth/netlists-folds.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/synth/synth-expr.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/synth/netlists-builders.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/synth/synth-environment.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/synth/synth-objtypes.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/synth/synth-values.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-severity.ads
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/synth/synth-environment-debug.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/synth/synth-insts.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/synth/synth-values-debug.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/mutils.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-to_strings.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/synth/synth-aggr.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/synth/synth-debugger.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/synth/synth-decls.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/synth/synth-errors.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/synth/synth-heap.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/synth/synth-oper.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/synth/synth-stmts.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/synth/synth-source.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/synth/netlists-concats.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/synth/netlists-gates_ports.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/synth/netlists-inference.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/areapools.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-files_operations.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/synth/netlists-cleanup.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/synth/netlists-expands.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/synth/netlists-memories.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/synth/synth-files_operations.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/synth/synth-static_oper.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/synth/synth-static_proc.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/synth/netlists-internings.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-stdio.ads
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-table.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/synth/netlists-butils.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/synth/synth-ieee.ads
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/synth/synth-ieee-numeric_std.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/synth/synth-ieee-std_logic_1164.adb
arm-linux-gnueabihf-gnatbind-10 -aI../../src -aI../../src/vhdl -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/ghdldrv -aI../../src/ortho -aI../../src/ortho/llvm6 -aI../../src/synth -aI../../src/ghdldrv -E -x ghdl_llvm.ali
arm-linux-gnueabihf-gnatlink-10 ghdl_llvm.ali -g -Wl,-z,relro -Wl,-z,now grt-cstdio.o
gnatgcc -c -g -o jumps.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/config/jumps.c
gnatgcc -c -g -o times.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/config/times.c
gnatgcc -c -g -o grt-cgnatrts.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt-cgnatrts.c
gnatgcc -c -g -o grt-cvpi.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt-cvpi.c
gnatgcc -c -g -o grt-cdynload.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt-cdynload.c
gnatgcc -c -g -o fstapi.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/fst/fstapi.c -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/fst
gnatgcc -c -g -o lz4.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/fst/lz4.c
gnatgcc -c -g -o fastlz.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/fst/fastlz.c
mkdir grt
echo "with Grt.Backtraces.Jit;" > grt-backtraces-impl.ads
echo "package Grt.Backtraces.Impl renames Grt.Backtraces.Jit;" >> grt-backtraces-impl.ads
cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -c -aI/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -aI.. \
  -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY ghdl_main  -cargs -g
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/ghdl_main.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt.ads
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-errors.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-main.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-options.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-rtis_binding.ads
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-std_logic_1164.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-types.ads
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-astdio.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-astdio-vhdl.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-hooks.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-stdio.ads
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-change_generics.adb
grt-change_generics.adb:56:37: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Enum" (4)
grt-change_generics.adb:77:45: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Array" (4)
grt-change_generics.adb:98:56: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Scalar" (4)
grt-change_generics.adb:114:56: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Scalar" (4)
grt-change_generics.adb:154:49: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Scalar" (4)
grt-change_generics.adb:210:58: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Scalar" (4)
grt-change_generics.adb:248:34: warning: source alignment (1) < alignment of "Ghdl_Rtin_Object" (4)
grt-change_generics.adb:262:51: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Scalar" (4)
grt-change_generics.adb:353:40: warning: source alignment (1) < alignment of "Ghdl_Rtin_Object" (4)
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-files.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-images.adb
grt-images.adb:63:37: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Enum" (4)
grt-images.adb:115:44: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Physical" (4)
grt-images.adb:139:44: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Physical" (4)
grt-images.adb:255:47: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Enum" (4)
grt-images.adb:335:44: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Physical" (4)
grt-images.adb:368:37: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Enum" (4)
grt-images.adb:385:37: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Enum" (4)
grt-images.adb:402:37: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Enum" (4)
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-lib.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-modules.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-names.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-processes.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-shadow_ieee.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-signals.adb
grt-signals.adb:133:75: warning: source alignment (1) < alignment of "Ghdl_Rtin_Object" (4)
grt-signals.adb:285:73: warning: source alignment (1) < alignment of "Ghdl_Rtin_Object" (4)
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-stats.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-values.adb
grt-values.adb:90:37: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Enum" (4)
grt-values.adb:590:41: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Physical" (4)
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-severity.ads
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-strings.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-wave_opt.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-wave_opt-file.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-rtis.adb
grt-rtis.ads:164:04: warning: alignment of "Ghdl_Rtin_Block" (4) is stricter than alignment of "Ghdl_Rti_Common" (1)
grt-rtis.ads:164:04: warning: resulting access value may have invalid alignment
grt-rtis.ads:187:04: warning: alignment of "Ghdl_Rtin_Generate" (4) is stricter than alignment of "Ghdl_Rti_Common" (1)
grt-rtis.ads:187:04: warning: resulting access value may have invalid alignment
grt-rtis.ads:198:04: warning: alignment of "Ghdl_Rtin_Block_Filename" (4) is stricter than alignment of "Ghdl_Rti_Common" (1)
grt-rtis.ads:198:04: warning: resulting access value may have invalid alignment
grt-rtis.ads:216:04: warning: alignment of "Ghdl_Rtin_Object" (4) is stricter than alignment of "Ghdl_Rti_Common" (1)
grt-rtis.ads:216:04: warning: resulting access value may have invalid alignment
grt-rtis.ads:231:04: warning: alignment of "Ghdl_Rtin_Instance" (4) is stricter than alignment of "Ghdl_Rti_Common" (1)
grt-rtis.ads:231:04: warning: resulting access value may have invalid alignment
grt-rtis.ads:259:04: warning: alignment of "Ghdl_Rtin_Component" (4) is stricter than alignment of "Ghdl_Rti_Common" (1)
grt-rtis.ads:259:04: warning: resulting access value may have invalid alignment
grt-rtis.ads:272:04: warning: alignment of "Ghdl_Rtin_Type_Enum" (4) is stricter than alignment of "Ghdl_Rti_Common" (1)
grt-rtis.ads:272:04: warning: resulting access value may have invalid alignment
grt-rtis.ads:281:04: warning: alignment of "Ghdl_Rtin_Type_Scalar" (4) is stricter than alignment of "Ghdl_Rti_Common" (1)
grt-rtis.ads:281:04: warning: resulting access value may have invalid alignment
grt-rtis.ads:295:04: warning: alignment of "Ghdl_Rtin_Subtype_Scalar" (4) is stricter than alignment of "Ghdl_Rti_Common" (1)
grt-rtis.ads:295:04: warning: resulting access value may have invalid alignment
grt-rtis.ads:324:04: warning: alignment of "Ghdl_Rtin_Type_Array" (4) is stricter than alignment of "Ghdl_Rti_Common" (1)
grt-rtis.ads:324:04: warning: resulting access value may have invalid alignment
grt-rtis.ads:342:04: warning: alignment of "Ghdl_Rtin_Subtype_Composite" (4) is stricter than alignment of "Ghdl_Rti_Common" (1)
grt-rtis.ads:342:04: warning: resulting access value may have invalid alignment
grt-rtis.ads:354:04: warning: alignment of "Ghdl_Rtin_Type_Fileacc" (4) is stricter than alignment of "Ghdl_Rti_Common" (1)
grt-rtis.ads:354:04: warning: resulting access value may have invalid alignment
grt-rtis.ads:399:04: warning: alignment of "Ghdl_Rtin_Element" (4) is stricter than alignment of "Ghdl_Rti_Common" (1)
grt-rtis.ads:399:04: warning: resulting access value may have invalid alignment
grt-rtis.ads:415:04: warning: alignment of "Ghdl_Rtin_Type_Record" (4) is stricter than alignment of "Ghdl_Rti_Common" (1)
grt-rtis.ads:415:04: warning: resulting access value may have invalid alignment
grt-rtis.ads:425:04: warning: alignment of "Ghdl_Rtin_Unit64" (8) is stricter than alignment of "Ghdl_Rti_Common" (1)
grt-rtis.ads:425:04: warning: resulting access value may have invalid alignment
grt-rtis.ads:435:04: warning: alignment of "Ghdl_Rtin_Unitptr" (4) is stricter than alignment of "Ghdl_Rti_Common" (1)
grt-rtis.ads:435:04: warning: resulting access value may have invalid alignment
grt-rtis.ads:448:04: warning: alignment of "Ghdl_Rtin_Type_Physical" (4) is stricter than alignment of "Ghdl_Rti_Common" (1)
grt-rtis.ads:448:04: warning: resulting access value may have invalid alignment
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-c.ads
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-callbacks.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-avhpi.adb
grt-avhpi.adb:150:63: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4)
grt-avhpi.adb:152:55: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Array" (4)
grt-avhpi.adb:213:58: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4)
grt-avhpi.adb:239:10: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Array" (4)
grt-avhpi.adb:264:51: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4)
grt-avhpi.adb:278:42: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4)
grt-avhpi.adb:292:48: warning: source alignment (1) < alignment of "Ghdl_Rtin_Generate" (4)
grt-avhpi.adb:334:48: warning: source alignment (1) < alignment of "Ghdl_Rtin_Generate" (4)
grt-avhpi.adb:353:59: warning: source alignment (1) < alignment of "Ghdl_Rtin_Instance" (4)
grt-avhpi.adb:385:53: warning: source alignment (1) < alignment of "Ghdl_Rtin_Object" (4)
grt-avhpi.adb:389:53: warning: source alignment (1) < alignment of "Ghdl_Rtin_Object" (4)
grt-avhpi.adb:393:53: warning: source alignment (1) < alignment of "Ghdl_Rtin_Object" (4)
grt-avhpi.adb:397:53: warning: source alignment (1) < alignment of "Ghdl_Rtin_Object" (4)
grt-avhpi.adb:401:54: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4)
grt-avhpi.adb:403:52: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Array" (4)
grt-avhpi.adb:452:51: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4)
grt-avhpi.adb:465:50: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4)
grt-avhpi.adb:468:50: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4)
grt-avhpi.adb:510:60: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4)
grt-avhpi.adb:525:51: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4)
grt-avhpi.adb:576:51: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Enum" (4)
grt-avhpi.adb:582:52: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4)
grt-avhpi.adb:588:50: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4)
grt-avhpi.adb:591:53: warning: source alignment (1) < alignment of "Ghdl_Rtin_Generate" (4)
grt-avhpi.adb:598:55: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4)
grt-avhpi.adb:600:50: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4)
grt-avhpi.adb:611:56: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Scalar" (4)
grt-avhpi.adb:636:60: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block_Filename" (4)
grt-avhpi.adb:641:51: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4)
grt-avhpi.adb:699:55: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Enum" (4)
grt-avhpi.adb:701:57: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Scalar" (4)
grt-avhpi.adb:703:60: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Scalar" (4)
grt-avhpi.adb:705:56: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Array" (4)
grt-avhpi.adb:711:56: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4)
grt-avhpi.adb:716:61: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4)
grt-avhpi.adb:717:56: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4)
grt-avhpi.adb:730:38: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4)
grt-avhpi.adb:730:45: warning: source alignment (1) < alignment of "Ghdl_Rtin_Generate" (4)
grt-avhpi.adb:734:56: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4)
grt-avhpi.adb:736:52: warning: source alignment (1) < alignment of "Ghdl_Rtin_Object" (4)
grt-avhpi.adb:745:57: warning: source alignment (1) < alignment of "Ghdl_Rtin_Generate" (4)
grt-avhpi.adb:750:28: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Scalar" (4)
grt-avhpi.adb:781:66: warning: source alignment (1) < alignment of "Ghdl_Rtin_Component" (4)
grt-avhpi.adb:798:61: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4)
grt-avhpi.adb:800:59: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4)
grt-avhpi.adb:802:62: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Scalar" (4)
grt-avhpi.adb:886:61: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4)
grt-avhpi.adb:887:53: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4)
grt-avhpi.adb:901:56: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4)
grt-avhpi.adb:904:58: warning: source alignment (1) < alignment of "Ghdl_Rtin_Object" (4)
grt-avhpi.adb:958:61: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4)
grt-avhpi.adb:965:58: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Scalar" (4)
grt-avhpi.adb:984:63: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4)
grt-avhpi.adb:990:60: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Array" (4)
grt-avhpi.adb:1018:69: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4)
grt-avhpi.adb:1020:67: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Array" (4)
grt-avhpi.adb:1153:56: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4)
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-avhpi_utils.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-rtis_addr.adb
grt-rtis_addr.adb:55:37: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4)
grt-rtis_addr.adb:90:54: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4)
grt-rtis_addr.adb:99:57: warning: source alignment (1) < alignment of "Ghdl_Rtin_Generate" (4)
grt-rtis_addr.adb:130:44: warning: source alignment (1) < alignment of "Ghdl_Rtin_Instance" (4)
grt-rtis_addr.adb:141:69: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4)
grt-rtis_addr.adb:207:36: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4)
grt-rtis_addr.adb:212:38: warning: source alignment (1) < alignment of "Ghdl_Rtin_Object" (4)
grt-rtis_addr.adb:212:52: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Scalar" (4)
grt-rtis_addr.adb:341:56: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Scalar" (4)
grt-rtis_addr.adb:350:59: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4)
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-errors_exec.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-table.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-rtis_utils.adb
grt-rtis_utils.adb:150:47: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Enum" (4)
grt-rtis_utils.adb:560:54: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Physical" (4)
grt-rtis_utils.adb:571:54: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Physical" (4)
grt-rtis_utils.adb:595:45: warning: source alignment (1) < alignment of "Ghdl_Rtin_Unit64" (8)
grt-rtis_utils.adb:597:46: warning: source alignment (1) < alignment of "Ghdl_Rtin_Unitptr" (4)
grt-rtis_utils.adb:609:45: warning: source alignment (1) < alignment of "Ghdl_Rtin_Unit64" (8)
grt-rtis_utils.adb:613:52: warning: source alignment (1) < alignment of "Ghdl_Rtin_Unitptr" (4)
grt-rtis_utils.adb:616:48: warning: source alignment (1) < alignment of "Ghdl_Rtin_Unitptr" (4)
grt-rtis_utils.adb:630:47: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Enum" (4)
grt-rtis_utils.adb:672:45: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4)
grt-rtis_utils.adb:714:66: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4)
grt-rtis_utils.adb:727:56: warning: source alignment (1) < alignment of "Ghdl_Rtin_Object" (4)
grt-rtis_utils.adb:739:51: warning: source alignment (1) < alignment of "Ghdl_Rtin_Generate" (4)
grt-rtis_utils.adb:745:58: warning: source alignment (1) < alignment of "Ghdl_Rtin_Object" (4)
grt-rtis_utils.adb:792:55: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block_Filename" (4)
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-to_strings.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-backtraces.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-fcvt.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-disp_rti.adb
grt-disp_rti.adb:48:37: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Enum" (4)
grt-disp_rti.adb:113:53: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Physical" (4)
grt-disp_rti.adb:119:53: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Physical" (4)
grt-disp_rti.adb:132:37: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Enum" (4)
grt-disp_rti.adb:257:45: warning: source alignment (1) < alignment of "Ghdl_Rtin_Element" (4)
grt-disp_rti.adb:282:57: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Scalar" (4)
grt-disp_rti.adb:296:54: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4)
grt-disp_rti.adb:298:49: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Array" (4)
grt-disp_rti.adb:305:54: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4)
grt-disp_rti.adb:307:49: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Array" (4)
grt-disp_rti.adb:328:48: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Record" (4)
grt-disp_rti.adb:341:48: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Record" (4)
grt-disp_rti.adb:348:54: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4)
grt-disp_rti.adb:350:50: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Record" (4)
grt-disp_rti.adb:357:54: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4)
grt-disp_rti.adb:359:50: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Record" (4)
grt-disp_rti.adb:533:46: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Enum" (4)
grt-disp_rti.adb:542:46: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Enum" (4)
grt-disp_rti.adb:560:56: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Scalar" (4)
grt-disp_rti.adb:570:52: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Enum" (4)
grt-disp_rti.adb:573:54: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Scalar" (4)
grt-disp_rti.adb:622:45: warning: source alignment (1) < alignment of "Ghdl_Rtin_Element" (4)
grt-disp_rti.adb:704:56: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Scalar" (4)
grt-disp_rti.adb:719:52: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Enum" (4)
grt-disp_rti.adb:722:54: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Scalar" (4)
grt-disp_rti.adb:725:55: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Fileacc" (4)
grt-disp_rti.adb:728:54: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Record" (4)
grt-disp_rti.adb:732:54: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4)
grt-disp_rti.adb:757:54: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4)
grt-disp_rti.adb:772:54: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4)
grt-disp_rti.adb:781:54: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Scalar" (4)
grt-disp_rti.adb:1022:56: warning: source alignment (1) < alignment of "Ghdl_Rtin_Component" (4)
grt-disp_rti.adb:1091:54: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Scalar" (4)
grt-disp_rti.adb:1105:56: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Physical" (4)
grt-disp_rti.adb:1124:62: warning: source alignment (1) < alignment of "Ghdl_Rtin_Unit64" (8)
grt-disp_rti.adb:1130:63: warning: source alignment (1) < alignment of "Ghdl_Rtin_Unitptr" (4)
grt-disp_rti.adb:1134:63: warning: source alignment (1) < alignment of "Ghdl_Rtin_Unitptr" (4)
grt-disp_rti.adb:1180:41: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Array" (4)
grt-disp_rti.adb:1204:41: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Array" (4)
grt-disp_rti.adb:1250:45: warning: source alignment (1) < alignment of "Ghdl_Rtin_Element" (4)
grt-disp_rti.adb:1266:42: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Record" (4)
grt-disp_rti.adb:1318:47: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4)
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-disp_tree.adb
grt-disp_tree.adb:94:42: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4)
grt-disp_tree.adb:104:47: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4)
grt-disp_tree.adb:106:53: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4)
grt-disp_tree.adb:108:56: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Scalar" (4)
grt-disp_tree.adb:116:45: warning: source alignment (1) < alignment of "Ghdl_Rtin_Generate" (4)
grt-disp_tree.adb:118:45: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4)
grt-disp_tree.adb:120:46: warning: source alignment (1) < alignment of "Ghdl_Rtin_Object" (4)
grt-disp_tree.adb:134:49: warning: source alignment (1) < alignment of "Ghdl_Rtin_Object" (4)
grt-disp_tree.adb:136:51: warning: source alignment (1) < alignment of "Ghdl_Rtin_Instance" (4)
grt-disp_tree.adb:250:45: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4)
grt-disp_tree.adb:261:48: warning: source alignment (1) < alignment of "Ghdl_Rtin_Generate" (4)
grt-disp_tree.adb:309:54: warning: source alignment (1) < alignment of "Ghdl_Rtin_Instance" (4)
grt-disp_tree.adb:311:62: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4)
grt-disp_tree.adb:316:62: warning: source alignment (1) < alignment of "Ghdl_Rtin_Component" (4)
grt-disp_tree.adb:407:45: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4)
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-fst.adb
grt-fst.adb:568:37: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Enum" (4)
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-psl.adb
grt-psl.adb:106:39: warning: source alignment (1) < alignment of "Ghdl_Rtin_Object" (4)
grt-psl.adb:159:04: warning: in instantiation at grt-rtis_utils.adb:48
grt-psl.adb:159:04: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4)
grt-psl.adb:159:04: warning: in instantiation at grt-rtis_utils.adb:59
grt-psl.adb:159:04: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4)
grt-psl.adb:159:04: warning: in instantiation at grt-rtis_utils.adb:67
grt-psl.adb:159:04: warning: source alignment (1) < alignment of "Ghdl_Rtin_Generate" (4)
grt-psl.adb:159:04: warning: in instantiation at grt-rtis_utils.adb:91
grt-psl.adb:159:04: warning: source alignment (1) < alignment of "Ghdl_Rtin_Instance" (4)
grt-psl.adb:159:04: warning: in instantiation at grt-rtis_utils.adb:120
grt-psl.adb:159:04: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4)
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-vcd.adb
grt-vcd.adb:279:49: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Scalar" (4)
grt-vcd.adb:320:43: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Scalar" (4)
grt-vcd.adb:370:54: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4)
grt-vcd.adb:372:49: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Array" (4)
grt-vcd.adb:385:47: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Array" (4)
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-vcdz.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-vital_annotate.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-vpi.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-waves.adb
grt-waves.adb:616:46: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Enum" (4)
grt-waves.adb:628:48: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Scalar" (4)
grt-waves.adb:636:50: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Physical" (4)
grt-waves.adb:649:51: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Scalar" (4)
grt-waves.adb:657:47: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Array" (4)
grt-waves.adb:669:48: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Record" (4)
grt-waves.adb:674:54: warning: source alignment (1) < alignment of "Ghdl_Rtin_Element" (4)
grt-waves.adb:685:54: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4)
grt-waves.adb:865:04: warning: in instantiation at grt-rtis_utils.adb:404
grt-waves.adb:865:04: warning: source alignment (1) < alignment of "Ghdl_Rtin_Element" (4)
grt-waves.adb:865:04: warning: in instantiation at grt-rtis_utils.adb:425
grt-waves.adb:865:04: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Scalar" (4)
grt-waves.adb:865:04: warning: in instantiation at grt-rtis_utils.adb:436
grt-waves.adb:865:04: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4)
grt-waves.adb:865:04: warning: in instantiation at grt-rtis_utils.adb:438
grt-waves.adb:865:04: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Array" (4)
grt-waves.adb:865:04: warning: in instantiation at grt-rtis_utils.adb:445
grt-waves.adb:865:04: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4)
grt-waves.adb:865:04: warning: in instantiation at grt-rtis_utils.adb:447
grt-waves.adb:865:04: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Array" (4)
grt-waves.adb:865:04: warning: in instantiation at grt-rtis_utils.adb:469
grt-waves.adb:865:04: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Record" (4)
grt-waves.adb:865:04: warning: in instantiation at grt-rtis_utils.adb:482
grt-waves.adb:865:04: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4)
grt-waves.adb:865:04: warning: in instantiation at grt-rtis_utils.adb:484
grt-waves.adb:865:04: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Record" (4)
grt-waves.adb:865:04: warning: in instantiation at grt-rtis_utils.adb:491
grt-waves.adb:865:04: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4)
grt-waves.adb:865:04: warning: in instantiation at grt-rtis_utils.adb:493
grt-waves.adb:865:04: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Record" (4)
grt-waves.adb:875:39: warning: source alignment (1) < alignment of "Ghdl_Rtin_Object" (4)
grt-waves.adb:1247:51: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Scalar" (4)
grt-waves.adb:1296:47: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Array" (4)
grt-waves.adb:1322:48: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Record" (4)
grt-waves.adb:1328:54: warning: source alignment (1) < alignment of "Ghdl_Rtin_Element" (4)
grt-waves.adb:1369:43: warning: source alignment (1) < alignment of "Ghdl_Rtin_Object" (4)
grt-waves.adb:1388:35: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4)
grt-waves.adb:1415:35: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4)
grt-waves.adb:1440:52: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Enum" (4)
grt-waves.adb:1453:54: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Scalar" (4)
grt-waves.adb:1461:56: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Physical" (4)
grt-waves.adb:1473:59: warning: source alignment (1) < alignment of "Ghdl_Rtin_Unit64" (8)
grt-waves.adb:1478:66: warning: source alignment (1) < alignment of "Ghdl_Rtin_Unitptr" (4)
grt-waves.adb:1482:66: warning: source alignment (1) < alignment of "Ghdl_Rtin_Unitptr" (4)
grt-waves.adb:1497:57: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Scalar" (4)
grt-waves.adb:1510:53: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Array" (4)
grt-waves.adb:1522:60: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4)
grt-waves.adb:1535:54: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Record" (4)
grt-waves.adb:1541:60: warning: source alignment (1) < alignment of "Ghdl_Rtin_Element" (4)
grt-waves.adb:1549:60: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4)
grt-waves.adb:1566:60: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4)
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-vstrings.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-disp.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-disp_signals.adb
grt-disp_signals.adb:57:07: warning: in instantiation at grt-rtis_utils.adb:404
grt-disp_signals.adb:57:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Element" (4)
grt-disp_signals.adb:57:07: warning: in instantiation at grt-rtis_utils.adb:425
grt-disp_signals.adb:57:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Scalar" (4)
grt-disp_signals.adb:57:07: warning: in instantiation at grt-rtis_utils.adb:436
grt-disp_signals.adb:57:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4)
grt-disp_signals.adb:57:07: warning: in instantiation at grt-rtis_utils.adb:438
grt-disp_signals.adb:57:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Array" (4)
grt-disp_signals.adb:57:07: warning: in instantiation at grt-rtis_utils.adb:445
grt-disp_signals.adb:57:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4)
grt-disp_signals.adb:57:07: warning: in instantiation at grt-rtis_utils.adb:447
grt-disp_signals.adb:57:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Array" (4)
grt-disp_signals.adb:57:07: warning: in instantiation at grt-rtis_utils.adb:469
grt-disp_signals.adb:57:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Record" (4)
grt-disp_signals.adb:57:07: warning: in instantiation at grt-rtis_utils.adb:482
grt-disp_signals.adb:57:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4)
grt-disp_signals.adb:57:07: warning: in instantiation at grt-rtis_utils.adb:484
grt-disp_signals.adb:57:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Record" (4)
grt-disp_signals.adb:57:07: warning: in instantiation at grt-rtis_utils.adb:491
grt-disp_signals.adb:57:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4)
grt-disp_signals.adb:57:07: warning: in instantiation at grt-rtis_utils.adb:493
grt-disp_signals.adb:57:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Record" (4)
grt-disp_signals.adb:74:48: warning: source alignment (1) < alignment of "Ghdl_Rtin_Object" (4)
grt-disp_signals.adb:85:07: warning: in instantiation at grt-rtis_utils.adb:48
grt-disp_signals.adb:85:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4)
grt-disp_signals.adb:85:07: warning: in instantiation at grt-rtis_utils.adb:59
grt-disp_signals.adb:85:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4)
grt-disp_signals.adb:85:07: warning: in instantiation at grt-rtis_utils.adb:67
grt-disp_signals.adb:85:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Generate" (4)
grt-disp_signals.adb:85:07: warning: in instantiation at grt-rtis_utils.adb:91
grt-disp_signals.adb:85:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Instance" (4)
grt-disp_signals.adb:85:07: warning: in instantiation at grt-rtis_utils.adb:120
grt-disp_signals.adb:85:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4)
grt-disp_signals.adb:105:42: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4)
grt-disp_signals.adb:520:07: warning: in instantiation at grt-rtis_utils.adb:404
grt-disp_signals.adb:520:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Element" (4)
grt-disp_signals.adb:520:07: warning: in instantiation at grt-rtis_utils.adb:425
grt-disp_signals.adb:520:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Scalar" (4)
grt-disp_signals.adb:520:07: warning: in instantiation at grt-rtis_utils.adb:436
grt-disp_signals.adb:520:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4)
grt-disp_signals.adb:520:07: warning: in instantiation at grt-rtis_utils.adb:438
grt-disp_signals.adb:520:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Array" (4)
grt-disp_signals.adb:520:07: warning: in instantiation at grt-rtis_utils.adb:445
grt-disp_signals.adb:520:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4)
grt-disp_signals.adb:520:07: warning: in instantiation at grt-rtis_utils.adb:447
grt-disp_signals.adb:520:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Array" (4)
grt-disp_signals.adb:520:07: warning: in instantiation at grt-rtis_utils.adb:469
grt-disp_signals.adb:520:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Record" (4)
grt-disp_signals.adb:520:07: warning: in instantiation at grt-rtis_utils.adb:482
grt-disp_signals.adb:520:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4)
grt-disp_signals.adb:520:07: warning: in instantiation at grt-rtis_utils.adb:484
grt-disp_signals.adb:520:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Record" (4)
grt-disp_signals.adb:520:07: warning: in instantiation at grt-rtis_utils.adb:491
grt-disp_signals.adb:520:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4)
grt-disp_signals.adb:520:07: warning: in instantiation at grt-rtis_utils.adb:493
grt-disp_signals.adb:520:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Record" (4)
grt-disp_signals.adb:534:52: warning: source alignment (1) < alignment of "Ghdl_Rtin_Object" (4)
grt-disp_signals.adb:548:07: warning: in instantiation at grt-rtis_utils.adb:48
grt-disp_signals.adb:548:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4)
grt-disp_signals.adb:548:07: warning: in instantiation at grt-rtis_utils.adb:59
grt-disp_signals.adb:548:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4)
grt-disp_signals.adb:548:07: warning: in instantiation at grt-rtis_utils.adb:67
grt-disp_signals.adb:548:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Generate" (4)
grt-disp_signals.adb:548:07: warning: in instantiation at grt-rtis_utils.adb:91
grt-disp_signals.adb:548:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Instance" (4)
grt-disp_signals.adb:548:07: warning: in instantiation at grt-rtis_utils.adb:120
grt-disp_signals.adb:548:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4)
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-threads.ads
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-stack2.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-vstrings_io.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/grt-backtraces-impl.ads
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-fst_api.ads
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-rtis_types.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-wave_opt-design.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-zlib.ads
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-sdf.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/version.ads
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-avls.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-ghw.ads
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-unithread.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-backtraces-jit.adb
sed -e '/^P /s/P /P NR /' < grt/ghdl_main.ali > grt/ghdl_main-tmp.ali
mv grt/ghdl_main-tmp.ali grt/ghdl_main.ali
cd grt && gnatmake -b ghdl_main.ali -bargs  -Lgrt_ -o run-bind.adb -n
arm-linux-gnueabihf-gnatbind-10 -Lgrt_ -o run-bind.adb -n -x ghdl_main.ali
cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -u -c -g -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -o run-bind.o run-bind.adb
arm-linux-gnueabihf-gcc-10 -c -g -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY run-bind.adb
cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -u -c -g -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -o main.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/main.adb -Igrt
arm-linux-gnueabihf-gcc-10 -c -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/ -g -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -Igrt -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/main.adb
sed -e "1,/-- *BEGIN/d" -e "/-- *END/,\$d" \
  -e "s/   --   //" < grt/run-bind.adb | tr -d '\r' > grt/grt-files
rm -f -f lib/ghdl/llvm/libgrt.a
ar rcv lib/ghdl/llvm/libgrt.a `sed -e "/^-/d" -e "s!^!grt/!" < grt/grt-files` \
 jumps.o times.o grt-cstdio.o grt-cgnatrts.o grt-cvpi.o grt-cdynload.o fstapi.o lz4.o fastlz.o grt/run-bind.o grt/main.o
a - grt/./grt.o
a - grt/./grt-c.o
a - grt/./grt-fcvt.o
a - grt/./grt-severity.o
a - grt/./grt-stdio.o
a - grt/./grt-strings.o
a - grt/./grt-types.o
a - grt/./grt-astdio.o
a - grt/./grt-callbacks.o
a - grt/./grt-hooks.o
a - grt/./grt-wave_opt.o
a - grt/./grt-wave_opt-file.o
a - grt/./grt-astdio-vhdl.o
a - grt/./grt-errors.o
a - grt/./grt-options.o
a - grt/./grt-rtis.o
a - grt/./grt-rtis_binding.o
a - grt/./grt-shadow_ieee.o
a - grt/./grt-vstrings.o
a - grt/./grt-wave_opt-design.o
a - grt/./grt-avls.o
a - grt/./grt-fst_api.o
a - grt/./grt-ghw.o
a - grt/./grt-rtis_addr.o
a - grt/./grt-stack2.o
a - grt/./grt-table.o
a - grt/./grt-to_strings.o
a - grt/./grt-vstrings_io.o
a - grt/./grt-rtis_utils.o
a - grt/./grt-avhpi.o
a - grt/./grt-avhpi_utils.o
a - grt/./grt-backtraces-jit.o
a - grt/./grt-backtraces-impl.o
a - grt/./grt-backtraces.o
a - grt/./grt-disp.o
a - grt/./grt-disp_signals.o
a - grt/./grt-stats.o
a - grt/./grt-unithread.o
a - grt/./grt-threads.o
a - grt/./grt-errors_exec.o
a - grt/./grt-processes.o
a - grt/./grt-signals.o
a - grt/./grt-disp_rti.o
a - grt/./grt-disp_tree.o
a - grt/./grt-files.o
a - grt/./grt-images.o
a - grt/./grt-lib.o
a - grt/./grt-names.o
a - grt/./grt-psl.o
a - grt/./grt-rtis_types.o
a - grt/./grt-vital_annotate.o
a - grt/./grt-sdf.o
a - grt/./grt-std_logic_1164.o
a - grt/./grt-values.o
a - grt/./grt-change_generics.o
a - grt/./grt-vcd.o
a - grt/./grt-fst.o
a - grt/./grt-waves.o
a - grt/./grt-zlib.o
a - grt/./grt-vcdz.o
a - grt/./version.o
a - grt/./grt-vpi.o
a - grt/./grt-modules.o
a - grt/./grt-main.o
a - grt/./ghdl_main.o
a - jumps.o
a - times.o
a - grt-cstdio.o
a - grt-cgnatrts.o
a - grt-cvpi.o
a - grt-cdynload.o
a - fstapi.o
a - lz4.o
a - fastlz.o
a - grt/run-bind.o
a - grt/main.o
ranlib lib/ghdl/llvm/libgrt.a
sed -e "\!^.[/\\]!d" -e "/-shared/d" -e "/-static/d" -e "/-lgnat/d" \
  -e "\X-L/Xd" < grt/grt-files > grt/grt-files.in
echo "@/libgrt.a" > lib/ghdl/llvm/grt.lst
for i in -ldl -lm; do echo $i >> lib/ghdl/llvm/grt.lst; done
cat grt/grt-files.in >> lib/ghdl/llvm/grt.lst
echo "# link options for executables" > lib/ghdl/llvm/grt-exec.lst
for i in -Wl,--version-script=@/grt.ver -Wl,--export-dynamic; do echo $i >> lib/ghdl/llvm/grt-exec.lst; done
echo "# link options for shared libraries" > lib/ghdl/llvm/grt-shared.lst
for i in -Wl,-u,ghdl_main; do echo $i >> lib/ghdl/llvm/grt-shared.lst; done
cp /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.ver lib/ghdl/llvm/grt.ver
make -f ../../libraries/Makefile.inc LIBSRC_DIR="../../libraries" LIBDST_DIR="lib/ghdl/llvm" enable_gplcompat="true" LN="ln -s" CP="cp" MKDIR="mkdir" GHDL=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm GHDL_FLAGS="--GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm " vhdl.libs.all libs.vhdl.standard
make[3]: Entering directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm'
sed -e '/--!V87/s/^/  --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/std/textio.vhdl > lib/ghdl/llvm/src/std/v87/textio.vhdl
sed -e '/--!V87/s/^/  --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/std/textio-body.vhdl > lib/ghdl/llvm/src/std/v87/textio-body.vhdl
rm -f -f lib/ghdl/llvm/std/v87/std-obj87.cf
cd lib/ghdl/llvm/std/v87; \
for i in std/v87/textio.vhdl std/v87/textio-body.vhdl; do \
  cmd="/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm  --std=87 --bootstrap --work=std ../../src/$i"; \
  echo $cmd; eval $cmd || exit 1; \
done
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=87 --bootstrap --work=std ../../src/std/v87/textio.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=87 --bootstrap --work=std ../../src/std/v87/textio-body.vhdl
../../src/std/v87/textio-body.vhdl:457:35:warning: declaration of "left" hides enumeration literal left [-Whide]
../../src/std/v87/textio-body.vhdl:488:40:warning: declaration of "left" hides enumeration literal left [-Whide]
../../src/std/v87/textio-body.vhdl:844:14:warning: declaration of "left" hides enumeration literal left [-Whide]
../../src/std/v87/textio-body.vhdl:846:26:warning: declaration of "right" hides enumeration literal right [-Whide]
sed -e '/--!V87/s/^/  --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/std_logic_1164.vhdl > lib/ghdl/llvm/src/ieee/v87/std_logic_1164.vhdl
sed -e '/--!V87/s/^/  --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/std_logic_1164-body.vhdl > lib/ghdl/llvm/src/ieee/v87/std_logic_1164-body.vhdl
sed -e '/--!V87/s/^/  --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_bit.vhdl > lib/ghdl/llvm/src/ieee/v87/numeric_bit.vhdl
sed -e '/--!V87/s/^/  --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_bit-body.vhdl > lib/ghdl/llvm/src/ieee/v87/numeric_bit-body.vhdl
sed -e '/--!V87/s/^/  --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_std.vhdl > lib/ghdl/llvm/src/ieee/v87/numeric_std.vhdl
sed -e '/--!V87/s/^/  --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_std-body.vhdl > lib/ghdl/llvm/src/ieee/v87/numeric_std-body.vhdl
cp ../../libraries/upf/upf.vhdl lib/ghdl/llvm/src/upf/upf.vhdl
cp ../../libraries/upf/upf-body.vhdl lib/ghdl/llvm/src/upf/upf-body.vhdl
cp ../../libraries/synopsys/std_logic_arith.vhdl lib/ghdl/llvm/src/synopsys/std_logic_arith.vhdl
cp ../../libraries/synopsys/std_logic_unsigned.vhdl lib/ghdl/llvm/src/synopsys/std_logic_unsigned.vhdl
cp ../../libraries/synopsys/std_logic_signed.vhdl lib/ghdl/llvm/src/synopsys/std_logic_signed.vhdl
cp ../../libraries/synopsys/std_logic_misc.vhdl lib/ghdl/llvm/src/synopsys/std_logic_misc.vhdl
cp ../../libraries/synopsys/std_logic_misc-body.vhdl lib/ghdl/llvm/src/synopsys/std_logic_misc-body.vhdl
cp ../../libraries/synopsys/std_logic_textio.vhdl lib/ghdl/llvm/src/synopsys/std_logic_textio.vhdl
echo dep: lib/ghdl/llvm/src/synopsys/std_logic_arith.vhdl lib/ghdl/llvm/src/synopsys/std_logic_unsigned.vhdl lib/ghdl/llvm/src/synopsys/std_logic_signed.vhdl lib/ghdl/llvm/src/synopsys/std_logic_misc.vhdl lib/ghdl/llvm/src/synopsys/std_logic_misc-body.vhdl lib/ghdl/llvm/src/synopsys/std_logic_textio.vhdl
dep: lib/ghdl/llvm/src/synopsys/std_logic_arith.vhdl lib/ghdl/llvm/src/synopsys/std_logic_unsigned.vhdl lib/ghdl/llvm/src/synopsys/std_logic_signed.vhdl lib/ghdl/llvm/src/synopsys/std_logic_misc.vhdl lib/ghdl/llvm/src/synopsys/std_logic_misc-body.vhdl lib/ghdl/llvm/src/synopsys/std_logic_textio.vhdl
rm -f -f lib/ghdl/llvm/ieee/v87/ieee-obj87.cf
cd lib/ghdl/llvm/ieee/v87; \
for i in ieee/v87/std_logic_1164.vhdl ieee/v87/std_logic_1164-body.vhdl ieee/v87/numeric_bit.vhdl ieee/v87/numeric_bit-body.vhdl ieee/v87/numeric_std.vhdl ieee/v87/numeric_std-body.vhdl upf/upf.vhdl upf/upf-body.vhdl ; do \
          cmd="/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm  --std=87 -P../.. --work=ieee ../../src/$i";\
  echo $cmd; eval $cmd || exit 1; \
done; \
for i in synopsys/std_logic_arith.vhdl synopsys/std_logic_unsigned.vhdl synopsys/std_logic_signed.vhdl synopsys/std_logic_misc.vhdl synopsys/std_logic_misc-body.vhdl synopsys/std_logic_textio.vhdl; do \
          cmd="/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm  --std=87 -P../.. --work=ieee -fsynopsys ../../src/$i";\
  echo $cmd; eval $cmd || exit 1; \
done
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee ../../src/ieee/v87/std_logic_1164.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v87/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee ../../src/ieee/v87/std_logic_1164-body.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v87/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee ../../src/ieee/v87/numeric_bit.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v87/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee ../../src/ieee/v87/numeric_bit-body.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v87/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee ../../src/ieee/v87/numeric_std.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v87/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee ../../src/ieee/v87/numeric_std-body.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v87/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee ../../src/upf/upf.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v87/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee ../../src/upf/upf-body.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v87/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_arith.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v87/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_unsigned.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v87/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_signed.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v87/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_misc.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v87/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_misc-body.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v87/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_textio.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v87/' not found
sed -e '/--V87/s/^/  --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/std/textio.vhdl > lib/ghdl/llvm/src/std/v93/textio.vhdl
sed -e '/--V87/s/^/  --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/std/textio-body.vhdl > lib/ghdl/llvm/src/std/v93/textio-body.vhdl
rm -f -rf lib/ghdl/llvm/std/v93/std-obj93.cf
cd lib/ghdl/llvm/std/v93; \
for i in std/v93/textio.vhdl std/v93/textio-body.vhdl; do \
  cmd="/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm  --std=93 --bootstrap --work=std ../../src/$i"; \
  echo $cmd; eval $cmd || exit 1; \
done
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=93 --bootstrap --work=std ../../src/std/v93/textio.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=93 --bootstrap --work=std ../../src/std/v93/textio-body.vhdl
../../src/std/v93/textio-body.vhdl:457:35:warning: declaration of "left" hides enumeration literal left [-Whide]
../../src/std/v93/textio-body.vhdl:488:40:warning: declaration of "left" hides enumeration literal left [-Whide]
../../src/std/v93/textio-body.vhdl:844:14:warning: declaration of "left" hides enumeration literal left [-Whide]
../../src/std/v93/textio-body.vhdl:846:26:warning: declaration of "right" hides enumeration literal right [-Whide]
sed -e '/--V87/s/^/  --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/std_logic_1164.vhdl > lib/ghdl/llvm/src/ieee/v93/std_logic_1164.vhdl
sed -e '/--V87/s/^/  --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/std_logic_1164-body.vhdl > lib/ghdl/llvm/src/ieee/v93/std_logic_1164-body.vhdl
sed -e '/--V87/s/^/  --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_bit.vhdl > lib/ghdl/llvm/src/ieee/v93/numeric_bit.vhdl
sed -e '/--V87/s/^/  --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_bit-body.vhdl > lib/ghdl/llvm/src/ieee/v93/numeric_bit-body.vhdl
sed -e '/--V87/s/^/  --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_std.vhdl > lib/ghdl/llvm/src/ieee/v93/numeric_std.vhdl
sed -e '/--V87/s/^/  --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_std-body.vhdl > lib/ghdl/llvm/src/ieee/v93/numeric_std-body.vhdl
cp ../../libraries/ieee/math_real.vhdl lib/ghdl/llvm/src/ieee/math_real.vhdl
cp ../../libraries/ieee/math_real-body.vhdl lib/ghdl/llvm/src/ieee/math_real-body.vhdl
cp ../../libraries/ieee/math_complex.vhdl lib/ghdl/llvm/src/ieee/math_complex.vhdl
cp ../../libraries/ieee/math_complex-body.vhdl lib/ghdl/llvm/src/ieee/math_complex-body.vhdl
rm -f -f lib/ghdl/llvm/ieee/v93/ieee-obj93.cf
cd lib/ghdl/llvm/ieee/v93; \
for i in ieee/v93/std_logic_1164.vhdl ieee/v93/std_logic_1164-body.vhdl ieee/v93/numeric_bit.vhdl ieee/v93/numeric_bit-body.vhdl ieee/v93/numeric_std.vhdl ieee/v93/numeric_std-body.vhdl ieee/math_real.vhdl ieee/math_real-body.vhdl ieee/math_complex.vhdl ieee/math_complex-body.vhdl upf/upf.vhdl upf/upf-body.vhdl ; do \
          cmd="/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm  --std=93 -P../.. --work=ieee ../../src/$i"; \
  echo $cmd; eval $cmd || exit 1; \
done; \
for i in synopsys/std_logic_arith.vhdl synopsys/std_logic_unsigned.vhdl synopsys/std_logic_signed.vhdl synopsys/std_logic_misc.vhdl synopsys/std_logic_misc-body.vhdl synopsys/std_logic_textio.vhdl; do \
          cmd="/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm  --std=93 -P../.. --work=ieee -fsynopsys ../../src/$i"; \
  echo $cmd; eval $cmd || exit 1; \
done
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee ../../src/ieee/v93/std_logic_1164.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v93/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee ../../src/ieee/v93/std_logic_1164-body.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v93/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee ../../src/ieee/v93/numeric_bit.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v93/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee ../../src/ieee/v93/numeric_bit-body.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v93/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee ../../src/ieee/v93/numeric_std.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v93/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee ../../src/ieee/v93/numeric_std-body.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v93/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee ../../src/ieee/math_real.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v93/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee ../../src/ieee/math_real-body.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v93/' not found
../../src/ieee/math_real-body.vhdl:830:14:warning: declaration of "real_vector" hides type "real_vector" [-Whide]
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee ../../src/ieee/math_complex.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v93/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee ../../src/ieee/math_complex-body.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v93/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee ../../src/upf/upf.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v93/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee ../../src/upf/upf-body.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v93/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_arith.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v93/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_unsigned.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v93/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_signed.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v93/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_misc.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v93/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_misc-body.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v93/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_textio.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v93/' not found
sed -e '/--V87/s/^/  --/' -e '/--!V08/s/^/  --/' -e '/--START-!V08/,/--END-!V08/s/^/--/' < ../../libraries/std/textio.vhdl > lib/ghdl/llvm/src/std/v08/textio.vhdl
sed -e '/--V87/s/^/  --/' -e '/--!V08/s/^/  --/' -e '/--START-!V08/,/--END-!V08/s/^/--/' < ../../libraries/std/textio-body.vhdl > lib/ghdl/llvm/src/std/v08/textio-body.vhdl
cp ../../libraries/std/env.vhdl lib/ghdl/llvm/src/std/env.vhdl
cp ../../libraries/std/env-body.vhdl lib/ghdl/llvm/src/std/env-body.vhdl
rm -f -f lib/ghdl/llvm/std/v08/std-obj08.cf
cd lib/ghdl/llvm/std/v08; \
for i in std/v08/textio.vhdl std/v08/textio-body.vhdl std/env.vhdl std/env-body.vhdl; do \
  cmd="/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm  --std=08 --bootstrap --work=std ../../src/$i"; \
  echo $cmd; eval $cmd || exit 1; \
done
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 --bootstrap --work=std ../../src/std/v08/textio.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 --bootstrap --work=std ../../src/std/v08/textio-body.vhdl
../../src/std/v08/textio-body.vhdl:457:35:warning: declaration of "left" hides enumeration literal left [-Whide]
../../src/std/v08/textio-body.vhdl:488:40:warning: declaration of "left" hides enumeration literal left [-Whide]
../../src/std/v08/textio-body.vhdl:844:14:warning: declaration of "left" hides enumeration literal left [-Whide]
../../src/std/v08/textio-body.vhdl:846:26:warning: declaration of "right" hides enumeration literal right [-Whide]
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 --bootstrap --work=std ../../src/std/env.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 --bootstrap --work=std ../../src/std/env-body.vhdl
cp ../../libraries/ieee2008/std_logic_1164.vhdl lib/ghdl/llvm/src/ieee2008/std_logic_1164.vhdl
cp ../../libraries/ieee2008/std_logic_1164-body.vhdl lib/ghdl/llvm/src/ieee2008/std_logic_1164-body.vhdl
cp ../../libraries/ieee2008/std_logic_textio.vhdl lib/ghdl/llvm/src/ieee2008/std_logic_textio.vhdl
cp ../../libraries/ieee2008/math_real.vhdl lib/ghdl/llvm/src/ieee2008/math_real.vhdl
cp ../../libraries/ieee2008/math_real-body.vhdl lib/ghdl/llvm/src/ieee2008/math_real-body.vhdl
cp ../../libraries/ieee2008/math_complex.vhdl lib/ghdl/llvm/src/ieee2008/math_complex.vhdl
cp ../../libraries/ieee2008/math_complex-body.vhdl lib/ghdl/llvm/src/ieee2008/math_complex-body.vhdl
cp ../../libraries/ieee2008/numeric_bit.vhdl lib/ghdl/llvm/src/ieee2008/numeric_bit.vhdl
cp ../../libraries/ieee2008/numeric_bit-body.vhdl lib/ghdl/llvm/src/ieee2008/numeric_bit-body.vhdl
cp ../../libraries/ieee2008/numeric_bit_unsigned.vhdl lib/ghdl/llvm/src/ieee2008/numeric_bit_unsigned.vhdl
cp ../../libraries/ieee2008/numeric_bit_unsigned-body.vhdl lib/ghdl/llvm/src/ieee2008/numeric_bit_unsigned-body.vhdl
cp ../../libraries/ieee2008/numeric_std.vhdl lib/ghdl/llvm/src/ieee2008/numeric_std.vhdl
cp ../../libraries/ieee2008/numeric_std-body.vhdl lib/ghdl/llvm/src/ieee2008/numeric_std-body.vhdl
cp ../../libraries/ieee2008/numeric_std_unsigned.vhdl lib/ghdl/llvm/src/ieee2008/numeric_std_unsigned.vhdl
cp ../../libraries/ieee2008/numeric_std_unsigned-body.vhdl lib/ghdl/llvm/src/ieee2008/numeric_std_unsigned-body.vhdl
cp ../../libraries/ieee2008/fixed_float_types.vhdl lib/ghdl/llvm/src/ieee2008/fixed_float_types.vhdl
cp ../../libraries/ieee2008/fixed_generic_pkg.vhdl lib/ghdl/llvm/src/ieee2008/fixed_generic_pkg.vhdl
cp ../../libraries/ieee2008/fixed_generic_pkg-body.vhdl lib/ghdl/llvm/src/ieee2008/fixed_generic_pkg-body.vhdl
cp ../../libraries/ieee2008/fixed_pkg.vhdl lib/ghdl/llvm/src/ieee2008/fixed_pkg.vhdl
cp ../../libraries/ieee2008/float_generic_pkg.vhdl lib/ghdl/llvm/src/ieee2008/float_generic_pkg.vhdl
cp ../../libraries/ieee2008/float_generic_pkg-body.vhdl lib/ghdl/llvm/src/ieee2008/float_generic_pkg-body.vhdl
cp ../../libraries/ieee2008/float_pkg.vhdl lib/ghdl/llvm/src/ieee2008/float_pkg.vhdl
cp ../../libraries/ieee2008/ieee_bit_context.vhdl lib/ghdl/llvm/src/ieee2008/ieee_bit_context.vhdl
cp ../../libraries/ieee2008/ieee_std_context.vhdl lib/ghdl/llvm/src/ieee2008/ieee_std_context.vhdl
sed -e '/--V87/s/^/  --/' -e '/--!V08/s/^/  --/' -e '/--START-!V08/,/--END-!V08/s/^/--/' < ../../libraries/synopsys/std_logic_misc.vhdl > lib/ghdl/llvm/src/synopsys/v08/std_logic_misc.vhdl
sed -e '/--V87/s/^/  --/' -e '/--!V08/s/^/  --/' -e '/--START-!V08/,/--END-!V08/s/^/--/' < ../../libraries/synopsys/std_logic_misc-body.vhdl > lib/ghdl/llvm/src/synopsys/v08/std_logic_misc-body.vhdl
rm -f -f lib/ghdl/llvm/ieee/v08/ieee-obj08.cf
cd lib/ghdl/llvm/ieee/v08; \
for i in ieee2008/std_logic_1164.vhdl ieee2008/std_logic_1164-body.vhdl ieee2008/std_logic_textio.vhdl ieee2008/math_real.vhdl ieee2008/math_real-body.vhdl ieee2008/math_complex.vhdl ieee2008/math_complex-body.vhdl ieee2008/numeric_bit.vhdl ieee2008/numeric_bit-body.vhdl ieee2008/numeric_bit_unsigned.vhdl ieee2008/numeric_bit_unsigned-body.vhdl ieee2008/numeric_std.vhdl ieee2008/numeric_std-body.vhdl ieee2008/numeric_std_unsigned.vhdl ieee2008/numeric_std_unsigned-body.vhdl ieee2008/fixed_float_types.vhdl ieee2008/fixed_generic_pkg.vhdl ieee2008/fixed_generic_pkg-body.vhdl ieee2008/fixed_pkg.vhdl ieee2008/float_generic_pkg.vhdl ieee2008/float_generic_pkg-body.vhdl ieee2008/float_pkg.vhdl ieee2008/ieee_bit_context.vhdl ieee2008/ieee_std_context.vhdl upf/upf.vhdl upf/upf-body.vhdl; do \
          cmd="/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm  --std=08 -P../.. --work=ieee ../../src/$i"; \
  echo $cmd; eval $cmd || exit 1; \
done; \
for i in ; do \
          cmd="/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm  --std=08 -P../.. --work=ieee -frelaxed-rules ../../src/$i"; \
  echo $cmd; eval $cmd || exit 1; \
done; \
for i in synopsys/std_logic_arith.vhdl synopsys/std_logic_unsigned.vhdl synopsys/std_logic_signed.vhdl synopsys/v08/std_logic_misc.vhdl synopsys/v08/std_logic_misc-body.vhdl; do \
          cmd="/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm  --std=08 -P../.. --work=ieee -fsynopsys ../../src/$i"; \
  echo $cmd; eval $cmd || exit 1; \
done
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/std_logic_1164.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/std_logic_1164-body.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/std_logic_textio.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/math_real.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/math_real-body.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found
../../src/ieee2008/math_real-body.vhdl:830:14:warning: declaration of "real_vector" hides type "real_vector" [-Whide]
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/math_complex.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/math_complex-body.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_bit.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_bit-body.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_bit_unsigned.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_bit_unsigned-body.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_std.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_std-body.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_std_unsigned.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_std_unsigned-body.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/fixed_float_types.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/fixed_generic_pkg.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/fixed_generic_pkg-body.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found
../../src/ieee2008/fixed_generic_pkg-body.vhdl:192:25:warning: declaration of "remainder" hides function "remainder" [-Whide]
../../src/ieee2008/fixed_generic_pkg-body.vhdl:225:25:warning: declaration of "remainder" hides function "remainder" [-Whide]
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/fixed_pkg.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/float_generic_pkg.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/float_generic_pkg-body.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found
../../src/ieee2008/float_generic_pkg-body.vhdl:182:5:warning: declaration of "remainder" hides function "remainder" [-Whide]
../../src/ieee2008/float_generic_pkg-body.vhdl:302:14:warning: declaration of "remainder" hides function "remainder" [-Whide]
../../src/ieee2008/float_generic_pkg-body.vhdl:1026:7:warning: declaration of "arg" hides constant interface "arg" [-Whide]
../../src/ieee2008/float_generic_pkg-body.vhdl:5299:14:warning: declaration of "ne" hides function "ne" [-Whide]
../../src/ieee2008/float_generic_pkg-body.vhdl:5378:14:warning: declaration of "ne" hides function "ne" [-Whide]
../../src/ieee2008/float_generic_pkg-body.vhdl:5448:14:warning: declaration of "ne" hides function "ne" [-Whide]
../../src/ieee2008/float_generic_pkg-body.vhdl:5527:14:warning: declaration of "ne" hides function "ne" [-Whide]
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/float_pkg.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/ieee_bit_context.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/ieee_std_context.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/upf/upf.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/upf/upf-body.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_arith.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_unsigned.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_signed.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee -fsynopsys ../../src/synopsys/v08/std_logic_misc.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee -fsynopsys ../../src/synopsys/v08/std_logic_misc-body.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found
cp ../../libraries/ieee2008/LICENSE lib/ghdl/llvm/src/ieee2008/LICENSE
cd lib/ghdl/llvm/std/v87; /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm --bootstrap-standard --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm  --std=87
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v87/' not found
cd lib/ghdl/llvm/std/v93; /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm --bootstrap-standard --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm  --std=93
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v93/' not found
cd lib/ghdl/llvm/std/v08; /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm --bootstrap-standard --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm  --std=08
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found
make[3]: Leaving directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm'
gnatgcc -c -g -o vpi_thunk.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/vpi_thunk.c -fPIC -O -Wall
gnatgcc -g -o libghdlvpi.so vpi_thunk.o -shared -Wl,-z,relro -Wl,-z,now -Wl,-soname,libghdlvpi.so
make[2]: Leaving directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm'

------------------------------------------------------------
Building with gcc backend
------------------------------------------------------------
if [ -n "1" ]; then \
	/usr/bin/make -j4 -C /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild; \
	/usr/bin/make -C /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc lib/ghdl/gcc/libgrt.a all.vpi; \
	/usr/bin/make -C /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc ghdllib \
		GHDL_GCC_BIN=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl \
		GHDL1_GCC_BIN="--GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl1"; \
fi
make[2]: Entering directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild'
make[3]: Entering directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild'
mkdir -p -- ./libiberty
mkdir -p -- ./intl
mkdir -p -- ./fixincludes
mkdir -p -- build-armv7l-unknown-linux-gnueabihf/libiberty
Configuring in build-armv7l-unknown-linux-gnueabihf/libiberty
Configuring in ./libiberty
Configuring in ./intl
Configuring in ./fixincludes
configure: creating cache ./config.cache
configure: creating cache ./config.cache
checking for armv7l-unknown-linux-gnueabihf-gcc... gnatgcc
checking whether to enable maintainer-specific portions of Makefiles... no
checking for makeinfo... /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/missing makeinfo --split-size=5000000
configure: WARNING:
*** Makeinfo is missing. Info documentation will not be built.
checking for perl... perl
configure: creating cache ./config.cache
checking whether to enable maintainer-specific portions of Makefiles... no
checking for makeinfo... /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/missing makeinfo --split-size=5000000
configure: WARNING:
*** Makeinfo is missing. Info documentation will not be built.
checking for perl... perl
configure: creating cache ./config.cache
checking build system type... checking build system type... armv7l-unknown-linux-gnueabihf
checking host system type... checking build system type... armv7l-unknown-linux-gnueabihf
armv7l-unknown-linux-gnueabihf
checking host system type... checking whether the C compiler works... checking for armv7l-unknown-linux-gnueabihf-ar... ar
armv7l-unknown-linux-gnueabihf
checking host system type... checking for armv7l-unknown-linux-gnueabihf-ranlib... ranlib
checking whether to install libiberty headers and static library... no
configure: target_header_dir = 
checking for armv7l-unknown-linux-gnueabihf-gcc... gnatgcc
armv7l-unknown-linux-gnueabihf
checking for armv7l-unknown-linux-gnueabihf-ar... ar
checking for armv7l-unknown-linux-gnueabihf-ranlib... ranlib
checking whether to install libiberty headers and static library... no
configure: target_header_dir = 
checking for armv7l-unknown-linux-gnueabihf-gcc... gnatgcc
armv7l-unknown-linux-gnueabihf
checking target system type... armv7l-unknown-linux-gnueabihf
checking for armv7l-unknown-linux-gnueabihf-gcc... gnatgcc
checking whether the C compiler works... yes
checking for C compiler default output file name... a.out
checking for suffix of executables... checking whether the C compiler works... yes
checking for C compiler default output file name... a.out
checking for suffix of executables... checking whether the C compiler works... 
checking whether we are cross compiling... 
checking whether we are cross compiling... yes
checking for C compiler default output file name... a.out
checking for suffix of executables... yes
checking for C compiler default output file name... a.out
checking for suffix of executables... no
checking for suffix of object files... o
checking whether we are using the GNU C compiler... 
no

checking for suffix of object files... checking whether we are cross compiling... checking whether we are cross compiling... yes
checking whether gnatgcc accepts -g... o
checking whether we are using the GNU C compiler... yes
checking for gnatgcc option to accept ISO C89... yes
checking whether gnatgcc accepts -g... no
checking for suffix of object files... no
checking for suffix of object files... yes
checking for gnatgcc option to accept ISO C89... o
checking whether we are using the GNU C compiler... none needed
checking how to run the C preprocessor... o
checking whether we are using the GNU C compiler... yes
checking whether gnatgcc accepts -g... none needed
checking how to run the C preprocessor... yes
checking whether gnatgcc accepts -g... gnatgcc -E
yes
checking for gnatgcc option to accept ISO C89... yes
checking for gnatgcc option to accept ISO C89... gnatgcc -E
checking for grep that handles long lines and -e... /bin/grep
checking for egrep... /bin/grep -E
checking for ANSI C header files... checking for grep that handles long lines and -e... none needed
checking how to run the C preprocessor... /bin/grep
checking for egrep... /bin/grep -E
checking for ANSI C header files... none needed
checking how to run the C preprocessor... gnatgcc -E
gnatgcc -E
checking for grep that handles long lines and -e... /bin/grep
checking for egrep... /bin/grep -E
checking for ANSI C header files... checking for grep that handles long lines and -e... /bin/grep
checking for egrep... /bin/grep -E
checking for ANSI C header files... yes
checking for sys/types.h... yes
checking for sys/types.h... yes
checking for sys/stat.h... yes
checking for sys/stat.h... yes
checking for sys/types.h... yes
checking for stdlib.h... yes
checking for sys/types.h... yes
checking for stdlib.h... yes
checking for sys/stat.h... yes
yes
checking for string.h... checking for sys/stat.h... yes
checking for string.h... yes
checking for stdlib.h... yes
yes
checking for stdlib.h... checking for memory.h... yes
checking for memory.h... yes
checking for string.h... yes
yes
checking for string.h... checking for strings.h... yes
checking for strings.h... yes
checking for memory.h... yes
yes
checking for memory.h... checking for inttypes.h... yes
checking for inttypes.h... yes
checking for strings.h... yes
checking for strings.h... yes
checking for stdint.h... yes
yes
checking for inttypes.h... checking for stdint.h... yes
checking for inttypes.h... yes
checking for unistd.h... yes
yes
checking for unistd.h... checking for stdint.h... yes
checking for stdint.h... yes
yes
checking for unistd.h... yes
checking minix/config.h usability... checking minix/config.h usability... yes
checking for unistd.h... yes
no
checking minix/config.h presence... checking minix/config.h usability... no
checking minix/config.h presence... no
checking for minix/config.h... no
checking whether it is safe to define __EXTENSIONS__... no
checking for minix/config.h... no
checking whether it is safe to define __EXTENSIONS__... yes
checking minix/config.h usability... no
checking minix/config.h presence... no
checking for minix/config.h... no
checking whether it is safe to define __EXTENSIONS__... yes
checking for a sed that does not truncate output... /bin/sed
yes
checking whether /usr/bin/make sets $(MAKE)... checking whether gnatgcc supports -W... yes
checking for a BSD-compatible install... /usr/bin/install -c
checking whether NLS is requested... yes
checking for msgfmt... no
checking minix/config.h presence... yes
checking whether gnatgcc supports -Wall... /usr/bin/msgfmt
checking for gmsgfmt... /usr/bin/msgfmt
no
checking for minix/config.h... no
checking whether it is safe to define __EXTENSIONS__... checking for xgettext... yes
checking for special C compiler options needed for large files... no
checking for _FILE_OFFSET_BITS value needed for large files... /usr/bin/xgettext
yes
checking whether gnatgcc supports -Wwrite-strings... checking for msgmerge... /usr/bin/msgmerge
yes
checking whether gnatgcc supports -Wstrict-prototypes... checking build system type... yes
checking for special C compiler options needed for large files... no
checking for _FILE_OFFSET_BITS value needed for large files... armv7l-unknown-linux-gnueabihf
checking host system type... 64
armv7l-unknown-linux-gnueabihf
checking for armv7l-unknown-linux-gnueabihf-ranlib... ranlib
checking for library containing strerror... checking whether gnatgcc supports -W... yes
checking whether gnatgcc supports -Wmissing-prototypes... yes
checking whether gnatgcc supports -Wall... yes
checking whether gnatgcc supports -Wold-style-definition... 64
none required
checking for an ANSI C-conforming const... checking whether gnatgcc supports -W... yes
checking whether gnatgcc supports -Wwrite-strings... yes
yes
checking for inline... checking whether gnatgcc supports -Wmissing-format-attribute... yes
checking whether gnatgcc supports -Wall... yes
checking whether gnatgcc supports -Wc++-compat... inline
checking for off_t... yes
yes
checking whether gnatgcc supports -Woverlength-strings... checking whether gnatgcc supports -Wwrite-strings... yes
checking whether gnatgcc supports -Wstrict-prototypes... yes
checking whether gnatgcc supports -pedantic -Wlong-long... yes
checking whether gnatgcc supports -Wc++-compat... yes
checking whether gnatgcc supports -Wshadow=local... yes
checking for ANSI C header files... (cached) yes
checking stddef.h usability... yes
checking whether gnatgcc supports -Wstrict-prototypes... yes
checking whether gnatgcc supports -pedantic ... yes
yes
checking whether gnatgcc and cc understand -c and -o together... checking whether gnatgcc supports -Wshadow=local... yes
checking stddef.h presence... yes
yes
checking for stddef.h... yes
checking whether gnatgcc supports -pedantic ... checking for stdlib.h... (cached) yes
yes
checking for strings.h... (cached) yes
checking for size_t... checking for unistd.h... (cached) yes
yes
checking whether gnatgcc and cc understand -c and -o together... checking fcntl.h usability... yes
checking fcntl.h presence... yes
checking for an ANSI C-conforming const... yes
checking for fcntl.h... yes
checking sys/file.h usability... yes
checking for inline... yes
checking for working alloca.h... yes
checking for an ANSI C-conforming const... inline
checking whether byte ordering is bigendian... yes
checking for inline... yes
checking sys/file.h presence... yes
checking for alloca... inline
checking whether byte ordering is bigendian... yes
checking for sys/file.h... yes
checking for sys/stat.h... (cached) yes
checking for clearerr_unlocked... yes
checking for stdlib.h... (cached) yes
checking for unistd.h... (cached) yes
checking for sys/param.h... no
checking for a BSD-compatible install... /usr/bin/install -c
checking for CET support... no
yes
checking for sys/file.h... checking for feof_unlocked... yes
no
checking for a BSD-compatible install... /usr/bin/install -c
checking for CET support... no
checking for sys/param.h... checking for sys/file.h... yes
yes
checking for limits.h... checking for sys/param.h... yes
checking for getpagesize... yes
checking for ferror_unlocked... yes
yes
checking for stdlib.h... (cached) yes
checking for limits.h... checking for malloc.h... yes
yes
checking for stdlib.h... (cached) yes
checking for string.h... (cached) yes
checking for malloc.h... yes
checking for unistd.h... (cached) yes
checking for working mmap... yes
checking for strings.h... (cached) yes
checking for fflush_unlocked... yes
checking for sys/time.h... checking for string.h... (cached) yes
checking for unistd.h... (cached) yes
checking for strings.h... (cached) yes
yes
checking for sys/time.h... checking for time.h... yes
yes
checking for time.h... yes
checking for sys/resource.h... checking for fgetc_unlocked... yes
checking for sys/resource.h... yes
yes
checking for sys/stat.h... (cached) yes
checking for sys/stat.h... (cached) yes
checking for sys/mman.h... checking for sys/mman.h... yes
yes
checking for fgets_unlocked... yes
checking for fcntl.h... checking for fcntl.h... yes
checking whether we are using the GNU C Library 2.1 or newer... yes
yes
checking for alloca.h... yes
checking whether integer division by zero raises SIGFPE... checking for alloca.h... yes
yes
checking for sys/pstat.h... checking for sys/pstat.h... yes
checking for fileno_unlocked... no
no
checking for sys/sysmp.h... checking for sys/sysmp.h... no
no
checking for sys/sysinfo.h... checking for sys/sysinfo.h... yes
checking for inttypes.h... yes
yes
yes
checking for machine/hal_sysinfo.h... checking for machine/hal_sysinfo.h... checking for fprintf_unlocked... yes
checking for stdint.h... no
no
checking for sys/table.h... checking for sys/table.h... no
no
checking for sys/sysctl.h... checking for sys/sysctl.h... yes
checking for unsigned long long... no
no
checking for sys/systemcfg.h... no
checking for sys/systemcfg.h... checking for fputc_unlocked... no
no
checking for stdint.h... (cached) yes
checking for stdint.h... (cached) yes
checking for stdio_ext.h... checking for stdio_ext.h... yes
checking for inttypes.h... yes
yes
yes
checking for process.h... checking for process.h... checking for fputs_unlocked... yes
checking whether the inttypes.h PRIxNN macros are broken... no
no
checking for sys/prctl.h... checking for sys/prctl.h... yes
yes
checking for sys/wait.h that is POSIX.1 compatible... checking for sys/wait.h that is POSIX.1 compatible... no
checking for ld used by GCC... ld
checking if the linker (ld) is GNU ld... yes
checking for shared library run path origin... yes
checking for fread_unlocked... done
yes
checking whether time.h and sys/time.h may both be included... yes
checking whether time.h and sys/time.h may both be included... checking argz.h usability... yes
checking whether errno must be declared... yes
checking whether errno must be declared... yes
checking for fwrite_unlocked... no
checking size of int... no
checking size of int... yes
checking argz.h presence... yes
checking for argz.h... yes
checking limits.h usability... yes
checking for getchar_unlocked... 4
checking size of long... 4
yes
checking limits.h presence... checking size of long... yes
checking for getc_unlocked... yes
checking for limits.h... yes
checking locale.h usability... yes
checking for putchar_unlocked... yes
checking locale.h presence... yes
checking for locale.h... yes
4
checking size of size_t... checking nl_types.h usability... 4
checking size of size_t... yes
checking for putc_unlocked... yes
checking nl_types.h presence... yes
checking for nl_types.h... yes
checking malloc.h usability... 4
checking for long long... yes
checking whether abort is declared... 4
checking for long long... yes
checking malloc.h presence... yes
checking for malloc.h... yes
yes
checking stddef.h usability... checking whether asprintf is declared... yes
checking size of long long... yes
checking stddef.h presence... yes
yes
checking size of long long... checking whether basename is declared... yes
checking for stddef.h... yes
checking for stdlib.h... (cached) yes
checking for string.h... (cached) yes
checking for unistd.h... (cached) yes
checking for sys/param.h... (cached) yes
checking for feof_unlocked... yes
checking whether errno is declared... 8
checking for a 64-bit type... yes
8
checking for a 64-bit type... checking for fgets_unlocked... uint64_t
checking for intptr_t... uint64_t
no
checking for intptr_t... checking whether vasprintf is declared... yes
checking for getc_unlocked... yes
checking whether clearerr_unlocked is declared... yes
checking for getcwd... yes
checking for uintptr_t... yes
checking for uintptr_t... yes
checking whether feof_unlocked is declared... yes
checking for getegid... yes
checking whether ferror_unlocked is declared... yes
checking for geteuid... yes
checking for ssize_t... yes
checking for ssize_t... yes
yes
checking for getgid... checking whether fflush_unlocked is declared... yes
checking for getuid... yes
checking whether fgetc_unlocked is declared... yes
checking for pid_t... yes
checking for pid_t... yes
checking for mempcpy... yes
checking whether fgets_unlocked is declared... yes
checking for munmap... yes
checking whether fileno_unlocked is declared... yes
checking for library containing strerror... yes
yes
checking for library containing strerror... checking for putenv... yes
checking whether fprintf_unlocked is declared... none required
none required
checking for asprintf... yes
checking for asprintf... checking for setenv... yes
no
yes
checking for atexit... checking whether fputc_unlocked is declared... yes
checking for atexit... checking for setlocale... yes
yes
checking for basename... yes
yes
checking for basename... checking for stpcpy... checking whether fputs_unlocked is declared... yes
checking for bcmp... yes
yes
checking for bcmp... checking for strcasecmp... yes
checking whether fread_unlocked is declared... yes
checking for bcopy... yes
yes
checking for strdup... checking for bcopy... yes
checking whether fwrite_unlocked is declared... yes
checking for bsearch... yes
yes
checking for bsearch... checking for strtoul... yes
checking whether getchar_unlocked is declared... yes
checking for bzero... yes
checking for tsearch... yes
checking for bzero... yes
checking whether getc_unlocked is declared... yes
checking for calloc... yes
yes
checking for __argz_count... checking for calloc... yes
yes
checking whether putchar_unlocked is declared... checking for clock... yes
checking for __argz_stringify... yes
checking for clock... yes
yes
checking for ffs... checking whether putc_unlocked is declared... yes
checking for __argz_next... yes
checking for ffs... yes
yes
checking for an ANSI C-conforming const... checking for getcwd... yes
checking for __fsetlocking... yes
yes
checking sys/mman.h usability... checking for getcwd... yes
checking for getpagesize... yes
checking for iconv... yes
yes
checking sys/mman.h presence... checking for getpagesize... yes
checking for sys/mman.h... yes
checking for mmap... yes
checking for gettimeofday... yes
checking for iconv declaration... yes
yes
checking whether read-only mmap of a plain file works... yes
checking whether mmap from /dev/zero works... yes
checking for MAP_ANON(YMOUS)... checking for gettimeofday... yes
checking for index... 
         extern size_t iconv (iconv_t cd, char * *inbuf, size_t *inbytesleft, char * *outbuf, size_t *outbytesleft);
checking for nl_langinfo and CODESET... yes
checking whether mmap with MAP_ANON(YMOUS) works... yes
checking whether to enable maintainer-specific portions of Makefiles... no
yes
checking for index... yes
configure: updating cache ./config.cache
checking for insque... configure: creating ./config.status
yes
checking for LC_MESSAGES... yes
yes
checking for memchr... checking for insque... yes
checking for bison... no
checking whether NLS is requested... yes
checking whether included gettext is requested... no
checking for GNU gettext in libc... config.status: creating Makefile
config.status: creating mkheaders.almost
yes
checking for memcmp... config.status: creating config.h
yes
checking for memchr... yes
checking whether to use NLS... yes
checking where the gettext function comes from... libc
checking for aclocal... aclocal
checking for autoconf... autoconf
checking for autoheader... autoheader
yes
mkdir -p -- build-armv7l-unknown-linux-gnueabihf/fixincludes
Configuring in build-armv7l-unknown-linux-gnueabihf/fixincludes
checking for memcpy... configure: updating cache ./config.cache
configure: creating ./config.status
yes
checking for memcmp... yes
checking for memmem... yes
checking for memcpy... config.status: creating Makefile
config.status: creating config.intl
yes
checking for memmove... config.status: creating config.h
config.status: executing default-1 commands
configure: creating cache ./config.cache
yes
checking build system type... checking for memmem... armv7l-unknown-linux-gnueabihf
checking host system type... armv7l-unknown-linux-gnueabihf
checking target system type... yes
armv7l-unknown-linux-gnueabihf
checking for armv7l-unknown-linux-gnueabihf-gcc... gnatgcc
mkdir -p -- build-armv7l-unknown-linux-gnueabihf/libcpp
Configuring in build-armv7l-unknown-linux-gnueabihf/libcpp
checking for mempcpy... checking whether the C compiler works... yes
checking for memmove... yes
checking for memset... yes
checking for C compiler default output file name... a.out
checking for suffix of executables... yes
checking for mempcpy... yes

checking for mkstemps... checking whether we are cross compiling... yes
configure: creating cache ./config.cache
checking for memset... yes
checking build system type... checking for putenv... armv7l-unknown-linux-gnueabihf
checking host system type... no
checking for suffix of object files... armv7l-unknown-linux-gnueabihf
checking target system type... armv7l-unknown-linux-gnueabihf
checking whether /usr/bin/make sets $(MAKE)... yes
checking for a BSD-compatible install... /usr/bin/install -c
checking for armv7l-unknown-linux-gnueabihf-gcc... gnatgcc
o
checking whether we are using the GNU C compiler... checking whether the C compiler works... yes
yes
checking for random... checking for mkstemps... yes
checking whether gnatgcc accepts -g... yes
checking for gnatgcc option to accept ISO C89... yes
yes
checking for C compiler default output file name... a.out
checking for suffix of executables... checking for rename... yes
checking for putenv... none needed
checking how to run the C preprocessor... yes
gnatgcc -E

checking whether we are cross compiling... checking for rindex... yes
checking for random... checking for grep that handles long lines and -e... /bin/grep
checking for egrep... /bin/grep -E
checking for ANSI C header files... yes
checking for setenv... no
checking for suffix of object files... yes
checking for rename... o
checking whether we are using the GNU C compiler... yes
checking for snprintf... yes
checking whether gnatgcc accepts -g... yes
checking for rindex... yes
checking for gnatgcc option to accept ISO C89... yes
yes
yes
checking for sys/types.h... checking for sigsetmask... none needed
checking for setenv... checking whether we are using the GNU C++ compiler... yes
checking for stpcpy... yes
checking for sys/stat.h... yes
checking whether g++ accepts -g... yes
checking for snprintf... yes
yes
checking for armv7l-unknown-linux-gnueabihf-ranlib... ranlib
checking how to run the C preprocessor... checking for stdlib.h... yes
checking for stpncpy... yes
gnatgcc -E
checking for sigsetmask... yes
checking for string.h... checking for grep that handles long lines and -e... yes
/bin/grep
checking for egrep... /bin/grep -E
checking for ANSI C header files... checking for strcasecmp... yes
yes
checking for stpcpy... checking for memory.h... yes
yes
checking for strings.h... checking for strchr... yes
checking for stpncpy... yes
checking for inttypes.h... yes
yes
checking for strdup... yes
checking for sys/types.h... checking for strcasecmp... yes
yes
checking for stdint.h... checking for sys/stat.h... yes
checking for strncasecmp... yes
checking for strchr... yes
yes
checking for stdlib.h... checking for unistd.h... yes
checking for strndup... yes
yes
checking for string.h... checking minix/config.h usability... yes
checking for strdup... yes
checking for memory.h... yes
no
checking minix/config.h presence... checking for strnlen... no
checking for minix/config.h... no
checking whether it is safe to define __EXTENSIONS__... yes
checking for strncasecmp... yes
checking for strings.h... yes
checking for a sed that does not truncate output... /bin/sed
yes
checking whether gnatgcc supports -W... yes
checking for strrchr... yes
checking for strndup... checking for inttypes.h... yes
checking whether gnatgcc supports -Wall... yes
yes
yes
checking whether gnatgcc supports -Wwrite-strings... checking for strstr... checking for stdint.h... yes
checking for strnlen... yes
checking whether gnatgcc supports -Wstrict-prototypes... yes
yes
checking for unistd.h... checking for strtod... yes
yes
checking whether gnatgcc supports -Wmissing-prototypes... checking for strrchr... yes
checking whether gnatgcc supports -Wold-style-definition... yes
yes
checking for strtol... checking minix/config.h usability... yes
checking whether gnatgcc supports -Wmissing-format-attribute... yes
yes
checking for strstr... checking whether gnatgcc supports -Woverlength-strings... yes
no
checking minix/config.h presence... checking for strtoul... no
checking for minix/config.h... no
checking whether it is safe to define __EXTENSIONS__... yes
checking whether gnatgcc supports -pedantic -Wlong-long... yes
yes
checking for ANSI C header files... (cached) yes
checking for strtod... checking stddef.h usability... yes
yes
checking for special C compiler options needed for large files... no
checking for _FILE_OFFSET_BITS value needed for large files... checking for strtoll... yes
yes
checking stddef.h presence... yes
checking for strtoull... checking for strtol... yes
checking for stddef.h... yes
64
checking for stdlib.h... (cached) yes
checking for aclocal... aclocal
checking for autoconf... autoconf
checking for autoheader... autoheader
checking whether gnatgcc supports -W... checking for strings.h... (cached) yes
checking for unistd.h... (cached) yes
yes
checking fcntl.h usability... checking whether gnatgcc supports -Wall... yes
yes
checking for strverscmp... checking for strtoul... yes
checking whether gnatgcc supports -Wnarrowing... yes
checking fcntl.h presence... yes
checking for fcntl.h... yes
yes
yes
checking whether gnatgcc supports -Wwrite-strings... checking sys/file.h usability... checking for tmpnam... yes
checking for strtoll... yes
checking whether gnatgcc supports -Wmissing-format-attribute... yes
yes
checking sys/file.h presence... checking whether gnatgcc supports -Wstrict-prototypes... yes
yes
checking for sys/file.h... yes
checking for vasprintf... yes
checking for sys/stat.h... (cached) yes
yes
checking for clearerr_unlocked... checking for strtoull... checking whether gnatgcc supports -Wmissing-prototypes... yes
checking whether gnatgcc supports -Wold-style-definition... yes
checking for vfprintf... yes
yes
checking for strverscmp... checking for feof_unlocked... yes
checking whether gnatgcc supports -Wc++-compat... yes
checking whether gnatgcc supports -pedantic -Wlong-long... yes
checking for vprintf... yes
yes
checking for tmpnam... checking whether gnatgcc supports -fno-exceptions... yes
checking for ferror_unlocked... yes
checking whether gnatgcc supports -fno-rtti... yes
checking for vsnprintf... yes
yes
yes
checking for vasprintf... checking for fflush_unlocked... checking dependency style of g++... yes
checking for vsprintf... yes
yes
checking for fgetc_unlocked... checking for vfprintf... gcc3
checking whether time.h and sys/time.h may both be included... yes
checking for waitpid... yes
checking whether string.h and strings.h may both be included... yes
checking for fgets_unlocked... yes
checking for vprintf... yes
checking locale.h usability... yes
checking for setproctitle... yes
checking for fileno_unlocked... yes
checking for vsnprintf... yes
checking locale.h presence... yes
checking for locale.h... yes
no
checking whether alloca needs Cray hooks... checking fcntl.h usability... no
checking stack direction for C alloca... yes
checking for fprintf_unlocked... yes
checking for vsprintf... 1
checking for vfork.h... yes
checking fcntl.h presence... no
yes
checking for fcntl.h... yes
no
checking for fork... checking for fputc_unlocked... checking limits.h usability... yes
checking for waitpid... yes
checking limits.h presence... yes
checking for vfork... yes
checking for limits.h... yes
yes
checking stddef.h usability... checking for fputs_unlocked... yes
checking for setproctitle... yes
checking for working fork... yes
checking stddef.h presence... yes
checking for stddef.h... yes
yes
checking for stdlib.h... (cached) yes
checking for fread_unlocked... checking for strings.h... (cached) yes
checking for string.h... (cached) yes
checking sys/file.h usability... no
checking whether alloca needs Cray hooks... no
checking stack direction for C alloca... yes
checking for working vfork... (cached) yes
checking for _doprnt... yes
checking for fwrite_unlocked... yes
checking sys/file.h presence... yes
checking for sys/file.h... yes
checking for unistd.h... (cached) yes
1
checking for vfork.h... checking whether byte ordering is bigendian... no
checking for sys_errlist... no
checking for fork... yes
checking for getchar_unlocked... no
checking for sys_nerr... yes
checking for vfork... yes
no
checking for an ANSI C-conforming const... checking for getc_unlocked... no
checking for sys_siglist... yes
checking for inline... yes
checking for working fork... yes
inline
checking for obstacks... checking for putchar_unlocked... no
checking for external symbol _system_configuration... no
checking for __fsetlocking... yes
checking for putc_unlocked... yes
checking for working vfork... (cached) yes
checking for _doprnt... yes
checking for off_t... yes
checking for canonicalize_file_name... yes
checking whether abort is declared... no
checking for sys_errlist... yes
checking for dup3... yes
no
checking for sys_nerr... checking whether asprintf is declared... yes
checking for size_t... yes
checking for getrlimit... yes
no
checking for sys_siglist... yes
checking whether basename is declared... checking for getrusage... yes
no
checking for external symbol _system_configuration... checking for getsysinfo... yes
checking for ssize_t... yes
checking whether errno is declared... no
checking for __fsetlocking... no
checking for gettimeofday... (cached) yes
checking for on_exit... no
checking whether vasprintf is declared... yes
checking for canonicalize_file_name... yes
checking for pipe2... yes
checking for uintptr_t... yes
yes
checking whether clearerr_unlocked is declared... checking for dup3... yes
checking for psignal... yes
yes
checking whether feof_unlocked is declared... checking for getrlimit... yes
checking for pstat_getdynamic... yes
checking for ptrdiff_t... yes
yes
checking whether ferror_unlocked is declared... checking for getrusage... no
checking for pstat_getstatic... yes
yes
checking for getsysinfo... checking whether fflush_unlocked is declared... no
checking for realpath... yes
checking for uint64_t... yes
yes
checking whether fgetc_unlocked is declared... no
checking for gettimeofday... (cached) yes
checking for setrlimit... checking for on_exit... yes
checking whether struct tm is in sys/time.h or time.h... yes
yes
checking for sbrk... checking whether fgets_unlocked is declared... yes
checking for pipe2... time.h
checking size of int... yes
checking for spawnve... yes
yes
checking for psignal... checking whether fileno_unlocked is declared... no
checking for spawnvpe... 4
checking size of long... yes
yes
checking for pstat_getdynamic... checking whether fprintf_unlocked is declared... no
checking for strerror... no
no
checking whether fputc_unlocked is declared... checking for pstat_getstatic... yes
checking for strsignal... 4
checking for clearerr_unlocked... yes
no
checking for realpath... checking whether fputs_unlocked is declared... yes
checking for sysconf... yes
checking for feof_unlocked... yes
yes
checking whether fread_unlocked is declared... yes
checking for setrlimit... checking for sysctl... yes
checking for ferror_unlocked... yes
no
yes
checking for sysmp... checking whether fwrite_unlocked is declared... checking for sbrk... yes
checking for fflush_unlocked... no
yes
checking for table... checking for spawnve... yes
checking whether getchar_unlocked is declared... yes
no
checking for fgetc_unlocked... checking for times... no
checking for spawnvpe... yes
checking whether getc_unlocked is declared... yes
yes
checking for wait3... checking for fgets_unlocked... no
checking for strerror... yes
checking whether putchar_unlocked is declared... yes
yes
checking for wait4... checking for fileno_unlocked... yes
checking for strsignal... yes
checking whether putc_unlocked is declared... yes
yes
checking whether basename is declared... checking for fprintf_unlocked... yes
checking for sysconf... yes
checking for an ANSI C-conforming const... yes
no
checking whether ffs is declared... checking for fputc_unlocked... yes
checking sys/mman.h usability... yes
checking for sysctl... yes
yes
yes
checking sys/mman.h presence... checking whether asprintf is declared... checking for fputs_unlocked... no
checking for sysmp... yes
checking for sys/mman.h... yes
checking for mmap... yes
yes
checking whether read-only mmap of a plain file works... yes
checking whether mmap from /dev/zero works... yes
checking for MAP_ANON(YMOUS)... yes
checking whether vasprintf is declared... no
checking for table... checking for fread_unlocked... yes
checking whether mmap with MAP_ANON(YMOUS) works... yes
checking whether to enable maintainer-specific portions of Makefiles... no
yes
yes
no
checking for times... checking whether snprintf is declared... checking for fwrite_unlocked... configure: updating cache ./config.cache
configure: creating ./config.status
yes
yes
checking for wait3... checking whether vsnprintf is declared... yes
checking for getchar_unlocked... config.status: creating Makefile
config.status: creating mkheaders.almost
yes
yes
config.status: creating config.h
yes
checking for wait4... checking whether calloc is declared... checking for getc_unlocked... mkdir -p -- ./libbacktrace
yes
Configuring in ./libbacktrace
yes
yes
checking whether basename is declared... checking whether getenv is declared... checking for putchar_unlocked... yes
yes
yes
checking whether ffs is declared... checking whether getopt is declared... checking for putc_unlocked... yes
checking whether asprintf is declared... yes
yes
checking whether malloc is declared... checking whether abort is declared... configure: creating cache ./config.cache
checking build system type... armv7l-unknown-linux-gnueabihf
checking host system type... armv7l-unknown-linux-gnueabihf
checking target system type... armv7l-unknown-linux-gnueabihf
checking for armv7l-unknown-linux-gnueabihf-gcc... gnatgcc
yes
checking whether vasprintf is declared... checking whether the C compiler works... yes
yes
checking whether realloc is declared... checking whether asprintf is declared... yes
checking for C compiler default output file name... a.out
checking for suffix of executables... yes
yes
yes
checking whether snprintf is declared... checking whether sbrk is declared... checking whether basename is declared... 
checking whether we are cross compiling... yes
yes
yes
checking whether strtol is declared... checking whether vsnprintf is declared... checking whether errno is declared... no
checking for suffix of object files... o
checking whether we are using the GNU C compiler... no
yes
checking whether getopt is declared... yes
checking whether calloc is declared... checking whether strtoul is declared... yes
checking whether gnatgcc accepts -g... yes
checking for gnatgcc option to accept ISO C89... yes
yes
checking whether vasprintf is declared... yes
checking whether getenv is declared... checking whether strtoll is declared... none needed
checking whether gnatgcc understands -c and -o together... yes
checking how to run the C preprocessor... yes
yes
yes
checking whether strtoull is declared... checking whether getopt is declared... checking whether clearerr_unlocked is declared... gnatgcc -E
checking for grep that handles long lines and -e... /bin/grep
checking for egrep... /bin/grep -E
checking for ANSI C header files... yes
yes
yes
checking whether malloc is declared... checking whether strverscmp is declared... checking whether feof_unlocked is declared... yes
yes
checking whether realloc is declared... checking whether ferror_unlocked is declared... yes
checking whether strnlen is declared... yes
yes
checking whether sbrk is declared... checking whether fflush_unlocked is declared... yes
yes
checking whether canonicalize_file_name must be declared... checking for sys/types.h... yes
checking for sys/stat.h... yes
checking whether strtol is declared... no
checking for stdlib.h... (cached) yes
yes
checking for unistd.h... (cached) yes
checking whether fgetc_unlocked is declared... checking for sys/param.h... (cached) yes
checking for getpagesize... (cached) yes
checking for working mmap... yes
checking for stdlib.h... yes
checking whether strtoul is declared... yes
yes
checking for string.h... checking whether fgets_unlocked is declared... yes
checking for memory.h... yes
checking whether strtoll is declared... yes
checking whether fileno_unlocked is declared... yes
checking for working strncmp... yes
checking for strings.h... yes
yes
checking whether strtoull is declared... yes
checking whether fprintf_unlocked is declared... checking for inttypes.h... yes
configure: updating cache ./config.cache
yes
configure: creating ./config.status
yes
checking for stdint.h... checking whether strverscmp is declared... no
checking whether fputc_unlocked is declared... yes
checking for unistd.h... yes
checking whether strnlen is declared... yes
checking whether fputs_unlocked is declared... config.status: creating Makefile
yes
checking minix/config.h usability... config.status: creating testsuite/Makefile
yes
checking whether canonicalize_file_name must be declared... config.status: creating config.h
yes
config.status: executing default commands
checking whether fread_unlocked is declared... no
checking minix/config.h presence... no
checking for minix/config.h... no
checking whether it is safe to define __EXTENSIONS__... no
checking for stdlib.h... (cached) yes
checking for unistd.h... (cached) yes
mkdir -p -- ./libdecnumber
Configuring in ./libdecnumber
checking for sys/param.h... (cached) yes
checking for getpagesize... (cached) yes
checking for working mmap... yes
checking whether fwrite_unlocked is declared... yes
checking for a BSD-compatible install... /usr/bin/install -c
checking whether build environment is sane... yes
checking for a thread-safe mkdir -p... /bin/mkdir -p
checking for gawk... gawk
checking whether /usr/bin/make sets $(MAKE)... yes
checking whether /usr/bin/make supports nested variables... yes
yes
checking whether to enable maintainer-specific portions of Makefiles... no
checking whether getchar_unlocked is declared... checking for armv7l-unknown-linux-gnueabihf-gcc... (cached) gnatgcc
checking whether we are using the GNU C compiler... (cached) yes
checking whether gnatgcc accepts -g... (cached) yes
checking for gnatgcc option to accept ISO C89... (cached) none needed
checking whether gnatgcc understands -c and -o together... (cached) yes
checking for armv7l-unknown-linux-gnueabihf-ranlib... ranlib
checking for gawk... (cached) gawk
checking for dwz... dwz
checking how to print strings... printf
checking for a sed that does not truncate output... /bin/sed
checking for fgrep... /bin/grep -F
checking for ld used by gnatgcc... ld
checking if the linker (ld) is GNU ld... yes
checking for BSD- or MS-compatible name lister (nm)... nm
checking the name lister (nm) interface... yes
checking whether getc_unlocked is declared... yes
checking for working strncmp... configure: creating cache ./config.cache
BSD nm
checking whether ln -s works... yes
checking the maximum length of command line arguments... checking whether /usr/bin/make sets $(MAKE)... 1572864
checking whether the shell understands some XSI constructs... yes
checking whether the shell understands "+="... yes
yes
checking for armv7l-unknown-linux-gnueabihf-gcc... gnatgcc
checking for ld option to reload object files... -r
checking for armv7l-unknown-linux-gnueabihf-objdump... objdump
checking how to recognize dependent libraries... pass_all
checking for armv7l-unknown-linux-gnueabihf-ar... ar
checking for armv7l-unknown-linux-gnueabihf-strip... no
checking for strip... strip
checking for armv7l-unknown-linux-gnueabihf-ranlib... (cached) ranlib
checking command to parse nm output from gnatgcc object... checking whether the C compiler works... yes
checking whether putchar_unlocked is declared... yes
yes
checking for C compiler default output file name... a.out
checking for suffix of executables... ok
checking for dlfcn.h... configure: updating cache ./config.cache
yes
configure: creating ./config.status
checking whether putc_unlocked is declared... 
checking whether we are cross compiling... yes
checking for objdir... .libs
yes
checking for working alloca.h... no
checking for suffix of object files... o
checking whether we are using the GNU C compiler... config.status: creating Makefile
yes
checking for alloca... checking if gnatgcc supports -fno-rtti -fno-exceptions... yes
config.status: creating testsuite/Makefile
checking whether gnatgcc accepts -g... config.status: creating config.h
no
checking for gnatgcc option to produce PIC... -fPIC -DPIC
checking if gnatgcc PIC flag -fPIC -DPIC works... yes
checking for gnatgcc option to accept ISO C89... config.status: executing default commands
yes
checking for ANSI C header files... (cached) yes
checking for nl_langinfo and CODESET... yes
checking if gnatgcc static flag -static works... none needed
checking for armv7l-unknown-linux-gnueabihf-ranlib... ranlib
checking for aclocal... aclocal
checking for autoconf... autoconf
checking for autoheader... autoheader
checking whether gnatgcc supports -W... make[4]: Entering directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/libiberty'
if [ x"-fPIC" != x ] && [ ! -d pic ]; then \
  mkdir pic; \
else true; fi
yes
yes
checking whether NLS is requested... no
checking for uchar... touch stamp-picdir
checking whether gnatgcc supports -Wall... if [ x"" != x ] && [ ! -d noasan ]; then \
  mkdir noasan; \
else true; fi
touch stamp-noasandir
if [ x"-fPIC" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/dwarfnames.c -o pic/dwarfnames.o; \
else true; fi
yes

checking for ld used by GCC... checking whether gnatgcc supports -Wwrite-strings... ld
checking if the linker (ld) is GNU ld... yes
checking for shared library run path origin... yes
checking if gnatgcc supports -c -o file.o... yes
checking whether gnatgcc supports -Wstrict-prototypes... done
checking for iconv... (cached) no
yes
checking whether gnatgcc supports -Wmissing-prototypes... yes
checking if gnatgcc supports -c -o file.o... (cached) yes
checking whether the gnatgcc linker (ld) supports shared libraries... yes
checking whether -lc should be explicitly linked in... yes
configure: updating cache ./config.cache
checking whether gnatgcc supports -Wold-style-definition... configure: creating ./config.status
no
yes
checking dynamic linker characteristics... checking whether gnatgcc supports -Wmissing-format-attribute... yes
checking whether gnatgcc supports -Wcast-qual... yes
checking whether gnatgcc supports -pedantic -Wlong-long... config.status: creating Makefile
GNU/Linux ld.so
checking how to hardcode library paths into programs... immediate
checking whether stripping libraries is possible... yes
checking if libtool supports shared libraries... yes
checking whether to build shared libraries... yes
checking whether to build static libraries... yes
config.status: creating config.h
checking for special C compiler options needed for large files... no
checking for _FILE_OFFSET_BITS value needed for large files... yes
checking whether gnatgcc supports -fno-lto... config.status: executing depdir commands
mkdir -p -- .deps
yes
checking how to run the C preprocessor... if [ x"-fPIC" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/dyn-string.c -o pic/dyn-string.o; \
else true; fi
64
checking unwind.h usability... gnatgcc -E
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/dwarfnames.c -o noasan/dwarfnames.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/dwarfnames.c -o dwarfnames.o
checking for grep that handles long lines and -e... /bin/grep
checking for egrep... /bin/grep -E
checking for ANSI C header files... yes
checking unwind.h presence... yes
checking for unwind.h... yes
checking for _Unwind_Backtrace... In file included from /usr/include/string.h:519,
                 from ../../src/libiberty/dyn-string.c:38:
In function 'strncpy',
    inlined from 'dyn_string_insert_cstr' at ../../src/libiberty/dyn-string.c:280:3:
/usr/include/arm-linux-gnueabihf/bits/string_fortified.h:91:10: warning: '__builtin_strncpy' output truncated before terminating nul copying as many bytes from a string as its length [-Wstringop-truncation]
   91 |   return __builtin___strncpy_chk (__dest, __src, __len, __bos (__dest));
      |          ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
../../src/libiberty/dyn-string.c: In function 'dyn_string_insert_cstr':
../../src/libiberty/dyn-string.c:272:16: note: length computed here
  272 |   int length = strlen (src);
      |                ^~~~~~~~~~~~
yes
checking for -funwind-tables option... if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/dyn-string.c -o noasan/dyn-string.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/dyn-string.c -o dyn-string.o
yes
checking for -frandom-seed=string option... yes
checking whether gnatgcc supports -W... yes
checking for sys/types.h... mkdir -p -- ./gcc
yes
Configuring in ./gcc
checking whether gnatgcc supports -Wall... yes
checking for sys/stat.h... yes
checking whether gnatgcc supports -Wwrite-strings... In file included from /usr/include/string.h:519,
                 from ../../src/libiberty/dyn-string.c:38:
In function 'strncpy',
    inlined from 'dyn_string_insert_cstr' at ../../src/libiberty/dyn-string.c:280:3:
/usr/include/arm-linux-gnueabihf/bits/string_fortified.h:91:10: warning: '__builtin_strncpy' output truncated before terminating nul copying as many bytes from a string as its length [-Wstringop-truncation]
   91 |   return __builtin___strncpy_chk (__dest, __src, __len, __bos (__dest));
      |          ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
../../src/libiberty/dyn-string.c: In function 'dyn_string_insert_cstr':
../../src/libiberty/dyn-string.c:272:16: note: length computed here
  272 |   int length = strlen (src);
      |                ^~~~~~~~~~~~
yes
yes
checking whether gnatgcc supports -Wstrict-prototypes... checking for stdlib.h... yes
checking whether gnatgcc supports -Wmissing-prototypes... if [ x"-fPIC" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/fdmatch.c -o pic/fdmatch.o; \
else true; fi
yes
checking for string.h... yes
checking whether gnatgcc supports -Wold-style-definition... if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/fdmatch.c -o noasan/fdmatch.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/fdmatch.c -o fdmatch.o
yes
configure: creating cache ./config.cache
checking whether gnatgcc supports -Wmissing-format-attribute... yes
checking build system type... checking for memory.h... armv7l-unknown-linux-gnueabihf
checking host system type... armv7l-unknown-linux-gnueabihf
checking target system type... yes
checking whether gnatgcc supports -Wcast-qual... armv7l-unknown-linux-gnueabihf
checking LIBRARY_PATH variable... ok
checking GCC_EXEC_PREFIX variable... ok
checking whether to place generated files in the source directory... no
checking whether a default linker was specified... no
checking whether a default assembler was specified... no
checking for armv7l-unknown-linux-gnueabihf-gcc... gnatgcc
if [ x"-fPIC" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/fibheap.c -o pic/fibheap.o; \
else true; fi
yes
checking for _Unwind_GetIPInfo... yes
checking whether the C compiler works... checking for strings.h... yes
checking __sync extensions... yes
yes
checking for C compiler default output file name... a.out
checking for inttypes.h... checking for suffix of executables... yes
checking __atomic extensions... yes

checking whether we are cross compiling... checking for stdint.h... yes
checking output filetype... yes
checking for unistd.h... no
checking for suffix of object files... if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/fibheap.c -o noasan/fibheap.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/fibheap.c -o fibheap.o
elf32
looking for a compliant stdint.h in stdint.h, checking for uintmax_t... o
checking whether we are using the GNU C compiler... yes
checking ctype.h usability... yes
checking whether gnatgcc accepts -g... yes
checking for uintptr_t... yes
checking for gnatgcc option to accept ISO C89... yes
checking ctype.h presence... yes
checking for ctype.h... yes
checking stddef.h usability... none needed
yes
checking for int_least32_t... checking whether we are using the GNU C++ compiler... yes
checking stddef.h presence... yes
checking whether g++ accepts -g... yes
checking for stddef.h... yes
if [ x"-fPIC" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/filedescriptor.c -o pic/filedescriptor.o; \
else true; fi
checking for string.h... (cached) yes
yes
checking for int_fast32_t... checking stdio.h usability... yes
checking for armv7l-unknown-linux-gnueabihf-gnatbind... gnatbind
checking for armv7l-unknown-linux-gnueabihf-gnatmake... gnatmake
checking whether compiler driver understands Ada... if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/filedescriptor.c -o noasan/filedescriptor.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/filedescriptor.c -o filedescriptor.o
yes
checking how to run the C++ preprocessor... yes
checking stdio.h presence... yes
checking for uint64_t... yes
checking for stdio.h... yes
if [ x"-fPIC" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/filename_cmp.c -o pic/filename_cmp.o; \
else true; fi
looking for a compliant stdint.h in stdint.h, checking for uintmax_t... g++ -E
checking for grep that handles long lines and -e... yes
checking what to include in gstdint.h... /bin/grep
checking for egrep... stdint.h (already complete)
checking sys/mman.h usability... yes
checking for uintptr_t... /bin/grep -E
checking for ANSI C header files... if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/filename_cmp.c -o noasan/filename_cmp.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/filename_cmp.c -o filename_cmp.o
yes
checking sys/mman.h presence... yes
checking for int_least32_t... yes
checking for sys/mman.h... yes
checking for mmap... if [ x"-fPIC" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/floatformat.c -o pic/floatformat.o; \
else true; fi
yes
yes
checking for int_fast32_t... checking link.h usability... yes
checking for sys/types.h... yes
checking for uint64_t... yes
checking link.h presence... yes
checking for link.h... yes
yes
checking for dl_iterate_phdr... checking for sys/stat.h... yes
checking what to include in gstdint.h... stdint.h (already complete)
checking for an ANSI C-conforming const... yes
checking for off_t... if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/floatformat.c -o noasan/floatformat.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/floatformat.c -o floatformat.o
yes
checking sys/ldr.h usability... yes
checking for stdlib.h... no
checking sys/ldr.h presence... yes
checking for string.h... no
checking for sys/ldr.h... no
checking for fcntl... yes
checking size of int... yes
checking whether strnlen is declared... yes
checking for memory.h... 4
yes
checking size of long... yes
if [ x"-fPIC" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/fnmatch.c -o pic/fnmatch.o; \
else true; fi
checking for lstat... checking for strings.h... if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/fnmatch.c -o noasan/fnmatch.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/fnmatch.c -o fnmatch.o
if [ x"-fPIC" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/fopen_unlocked.c -o pic/fopen_unlocked.o; \
else true; fi
yes
yes
checking for readlink... checking for inttypes.h... 4
checking for ANSI C header files... (cached) yes
checking build system type... armv7l-unknown-linux-gnueabihf
checking host system type... if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/fopen_unlocked.c -o noasan/fopen_unlocked.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/fopen_unlocked.c -o fopen_unlocked.o
yes
armv7l-unknown-linux-gnueabihf
checking target system type... checking for getexecname... armv7l-unknown-linux-gnueabihf
checking for decimal floating point... configure: WARNING: decimal float is not supported for this target, ignored
dpd
checking whether byte ordering is bigendian... yes
checking for stdint.h... if [ x"-fPIC" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/getopt.c -o pic/getopt.o; \
else true; fi
no
checking for clock_gettime... yes
checking for unistd.h... if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/getopt.c -o noasan/getopt.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/getopt.c -o getopt.o
yes
checking whether -pthread is supported... no
if [ x"-fPIC" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/getopt1.c -o pic/getopt1.o; \
else true; fi
yes
checking whether -gdwarf-5 is supported... yes
checking minix/config.h usability... configure: updating cache ./config.cache
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/getopt1.c -o noasan/getopt1.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/getopt1.c -o getopt1.o
configure: creating ./config.status
if [ x"-fPIC" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/getpwd.c -o pic/getpwd.o; \
else true; fi
yes
checking for compress in -lz... no
checking minix/config.h presence... no
checking for minix/config.h... no
checking whether it is safe to define __EXTENSIONS__... yes
checking whether --compress-debug-sections is supported... config.status: creating Makefile
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/getpwd.c -o noasan/getpwd.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/getpwd.c -o getpwd.o
config.status: creating config.h
config.status: executing gstdint.h commands
yes
checking how to run the C preprocessor... yes
checking for objcopy... objcopy
checking for readelf... readelf
checking whether objcopy supports debuglink... objcopy: /tmp/ls6945: debuglink section already exists
yes
checking whether tests can run... gnatgcc -E
if [ x"-fPIC" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/getruntime.c -o pic/getruntime.o; \
else true; fi
yes
checking for inline... make[4]: Entering directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/intl'
make[4]: Nothing to be done for 'all'.
make[4]: Leaving directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/intl'
if [ x"-fPIC" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/hashtab.c -o pic/hashtab.o; \
else true; fi
inline
checking for special C compiler options needed for large files... no
checking for _FILE_OFFSET_BITS value needed for large files... configure: updating cache ./config.cache
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/getruntime.c -o noasan/getruntime.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/getruntime.c -o getruntime.o
checking that generated files are newer than configure... done
configure: creating ./config.status
64
checking size of void *... make[4]: Entering directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/build-armv7l-unknown-linux-gnueabihf/libiberty'
if [ x"" != x ] && [ ! -d pic ]; then \
  mkdir pic; \
else true; fi
touch stamp-picdir
if [ x"" != x ] && [ ! -d noasan ]; then \
  mkdir noasan; \
else true; fi
touch stamp-noasandir
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/dwarfnames.c -o pic/dwarfnames.o; \
else true; fi
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/dwarfnames.c -o noasan/dwarfnames.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/dwarfnames.c -o dwarfnames.o
4
checking size of short... 2
checking size of int... if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/dyn-string.c -o pic/dyn-string.o; \
else true; fi
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/dyn-string.c -o noasan/dyn-string.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/dyn-string.c -o dyn-string.o
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/hashtab.c -o noasan/hashtab.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/hashtab.c -o hashtab.o
4
checking size of long... In file included from /usr/include/string.h:519,
                 from ../../../src/libiberty/dyn-string.c:38:
In function 'strncpy',
    inlined from 'dyn_string_insert_cstr' at ../../../src/libiberty/dyn-string.c:280:3:
/usr/include/arm-linux-gnueabihf/bits/string_fortified.h:91:10: warning: '__builtin_strncpy' output truncated before terminating nul copying as many bytes from a string as its length [-Wstringop-truncation]
   91 |   return __builtin___strncpy_chk (__dest, __src, __len, __bos (__dest));
      |          ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
../../../src/libiberty/dyn-string.c: In function 'dyn_string_insert_cstr':
../../../src/libiberty/dyn-string.c:272:16: note: length computed here
  272 |   int length = strlen (src);
      |                ^~~~~~~~~~~~
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/fdmatch.c -o pic/fdmatch.o; \
else true; fi
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/fdmatch.c -o noasan/fdmatch.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/fdmatch.c -o fdmatch.o
4
checking for long long... if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/fibheap.c -o pic/fibheap.o; \
else true; fi
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/fibheap.c -o noasan/fibheap.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/fibheap.c -o fibheap.o
config.status: creating Makefile
config.status: creating backtrace-supported.h
config.status: creating install-debuginfo-for-buildid.sh
config.status: creating config.h
config.status: executing libtool commands
yes
checking size of long long... config.status: executing gstdint.h commands
config.status: executing default commands
if [ x"-fPIC" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/hex.c -o pic/hex.o; \
else true; fi
if [ x"-fPIC" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/lbasename.c -o pic/lbasename.o; \
else true; fi
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/filedescriptor.c -o pic/filedescriptor.o; \
else true; fi
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/filedescriptor.c -o noasan/filedescriptor.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/filedescriptor.c -o filedescriptor.o
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/hex.c -o noasan/hex.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/hex.c -o hex.o
8
checking for int8_t... if [ x"-fPIC" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/lrealpath.c -o pic/lrealpath.o; \
else true; fi
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/filename_cmp.c -o pic/filename_cmp.o; \
else true; fi
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/lbasename.c -o noasan/lbasename.o; \
else true; fi
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/filename_cmp.c -o noasan/filename_cmp.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/lbasename.c -o lbasename.o
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/filename_cmp.c -o filename_cmp.o
mkdir -p -- ./libcpp
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/lrealpath.c -o noasan/lrealpath.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/lrealpath.c -o lrealpath.o
Configuring in ./libcpp
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/floatformat.c -o pic/floatformat.o; \
else true; fi
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/floatformat.c -o noasan/floatformat.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/floatformat.c -o floatformat.o
yes
checking for int16_t... if [ x"-fPIC" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/make-relative-prefix.c -o pic/make-relative-prefix.o; \
else true; fi
configure: creating cache ./config.cache
checking build system type... yes
checking for int32_t... armv7l-unknown-linux-gnueabihf
checking host system type... armv7l-unknown-linux-gnueabihf
checking target system type... armv7l-unknown-linux-gnueabihf
checking whether /usr/bin/make sets $(MAKE)... yes
checking for a BSD-compatible install... /usr/bin/install -c
checking for armv7l-unknown-linux-gnueabihf-gcc... gnatgcc
checking whether the C compiler works... if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/fnmatch.c -o pic/fnmatch.o; \
else true; fi
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/fnmatch.c -o noasan/fnmatch.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/fnmatch.c -o fnmatch.o
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/fopen_unlocked.c -o pic/fopen_unlocked.o; \
else true; fi
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/fopen_unlocked.c -o noasan/fopen_unlocked.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/fopen_unlocked.c -o fopen_unlocked.o
yes
checking for C compiler default output file name... a.out
checking for suffix of executables... yes
checking for int64_t... if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/getopt.c -o pic/getopt.o; \
else true; fi
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/getopt.c -o noasan/getopt.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/getopt.c -o getopt.o

checking whether we are cross compiling... if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/make-relative-prefix.c -o noasan/make-relative-prefix.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/make-relative-prefix.c -o make-relative-prefix.o
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/getopt1.c -o pic/getopt1.o; \
else true; fi
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/getopt1.c -o noasan/getopt1.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/getopt1.c -o getopt1.o
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/getpwd.c -o pic/getpwd.o; \
else true; fi
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/getpwd.c -o noasan/getpwd.o; \
else true; fi
no
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/getpwd.c -o getpwd.o
checking for suffix of object files... o
checking whether we are using the GNU C compiler... yes
checking whether gnatgcc accepts -g... yes
checking for unsigned long long int... yes
checking for gnatgcc option to accept ISO C89... if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/getruntime.c -o pic/getruntime.o; \
else true; fi
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/getruntime.c -o noasan/getruntime.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/getruntime.c -o getruntime.o
none needed
yes
checking for long long int... checking whether we are using the GNU C++ compiler... if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/hashtab.c -o pic/hashtab.o; \
else true; fi
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/hashtab.c -o noasan/hashtab.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/hashtab.c -o hashtab.o
yes
checking whether g++ accepts -g... yes
checking for intmax_t... if [ x"-fPIC" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/make-temp-file.c -o pic/make-temp-file.o; \
else true; fi
yes
checking for armv7l-unknown-linux-gnueabihf-ranlib... ranlib
checking how to run the C preprocessor... gnatgcc -E
checking for grep that handles long lines and -e... /bin/grep
checking for egrep... /bin/grep -E
checking for ANSI C header files... if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/make-temp-file.c -o noasan/make-temp-file.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/make-temp-file.c -o make-temp-file.o
yes
checking for intptr_t... yes
if [ x"-fPIC" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/objalloc.c -o pic/objalloc.o; \
else true; fi
checking for sys/types.h... yes
checking for uint8_t... yes
checking for sys/stat.h... if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/hex.c -o pic/hex.o; \
else true; fi
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/hex.c -o noasan/hex.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/hex.c -o hex.o
yes
yes
checking for uint16_t... checking for stdlib.h... if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/objalloc.c -o noasan/objalloc.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/objalloc.c -o objalloc.o
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/lbasename.c -o pic/lbasename.o; \
else true; fi
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/lbasename.c -o noasan/lbasename.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/lbasename.c -o lbasename.o
yes
checking for string.h... yes
checking for uint32_t... if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/lrealpath.c -o pic/lrealpath.o; \
else true; fi
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/lrealpath.c -o noasan/lrealpath.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/lrealpath.c -o lrealpath.o
yes
checking for memory.h... if [ x"-fPIC" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/obstack.c -o pic/obstack.o; \
else true; fi
yes
checking for uint64_t... yes
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/obstack.c -o noasan/obstack.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/obstack.c -o obstack.o
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/make-relative-prefix.c -o pic/make-relative-prefix.o; \
else true; fi
checking for strings.h... if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/make-relative-prefix.c -o noasan/make-relative-prefix.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/make-relative-prefix.c -o make-relative-prefix.o
if [ x"-fPIC" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/partition.c -o pic/partition.o; \
else true; fi
yes
checking for inttypes.h... yes
checking for uintmax_t... yes
checking for stdint.h... if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/partition.c -o noasan/partition.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/partition.c -o partition.o
yes
checking for unistd.h... yes
checking for uintptr_t... yes
checking minix/config.h usability... if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/make-temp-file.c -o pic/make-temp-file.o; \
else true; fi
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/make-temp-file.c -o noasan/make-temp-file.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/make-temp-file.c -o make-temp-file.o
if [ x"-fPIC" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/pexecute.c -o pic/pexecute.o; \
else true; fi
no
checking minix/config.h presence... no
checking for minix/config.h... no
checking whether it is safe to define __EXTENSIONS__... if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/pexecute.c -o noasan/pexecute.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/pexecute.c -o pexecute.o
yes
checking for int64_t underlying type... yes
checking for special C compiler options needed for large files... no
checking for _FILE_OFFSET_BITS value needed for large files... if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/objalloc.c -o pic/objalloc.o; \
else true; fi
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/objalloc.c -o noasan/objalloc.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/objalloc.c -o objalloc.o
long long
if [ x"-fPIC" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/physmem.c -o pic/physmem.o; \
else true; fi
64
checking for aclocal... aclocal
checking for autoconf... autoconf
checking for autoheader... autoheader
checking whether gnatgcc supports -W... checking for std::swap in <utility>... yes
checking whether gnatgcc supports -Wall... if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/obstack.c -o pic/obstack.o; \
else true; fi
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/obstack.c -o noasan/obstack.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/obstack.c -o obstack.o
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/physmem.c -o noasan/physmem.o; \
else true; fi
yes
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/physmem.c -o physmem.o
checking whether gnatgcc supports -Wnarrowing... if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/partition.c -o pic/partition.o; \
else true; fi
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/partition.c -o noasan/partition.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/partition.c -o partition.o
yes
yes
checking whether g++ is affected by placement new aliasing bug... checking whether gnatgcc supports -Wwrite-strings... no
yes
checking whether g++ supports -W... checking whether gnatgcc supports -Wmissing-format-attribute... if [ x"-fPIC" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/pex-common.c -o pic/pex-common.o; \
else true; fi
yes
yes
checking whether gnatgcc supports -Wstrict-prototypes... checking whether g++ supports -Wall... if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/pexecute.c -o pic/pexecute.o; \
else true; fi
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/pexecute.c -o noasan/pexecute.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/pexecute.c -o pexecute.o
yes
yes
checking whether gnatgcc supports -Wmissing-prototypes... checking whether g++ supports -Wnarrowing... yes
checking whether gnatgcc supports -Wold-style-definition... yes
checking whether g++ supports -Wwrite-strings... yes
checking whether gnatgcc supports -Wc++-compat... if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/physmem.c -o pic/physmem.o; \
else true; fi
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/physmem.c -o noasan/physmem.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/physmem.c -o physmem.o
yes
checking whether g++ supports -Wcast-qual... yes
checking whether gnatgcc supports -pedantic -Wlong-long... yes
yes
checking whether g++ supports -Werror=format-diag... checking whether gnatgcc supports -fno-exceptions... yes
yes
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/pex-common.c -o pic/pex-common.o; \
else true; fi
checking whether gnatgcc supports -fno-rtti... if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/pex-common.c -o noasan/pex-common.o; \
else true; fi
checking whether gnatgcc supports -Wstrict-prototypes... gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/pex-common.c -o pex-common.o
yes
yes
checking whether gnatgcc supports -Wmissing-prototypes... yes
checking dependency style of g++... checking whether gnatgcc supports -Werror=format-diag... (cached) yes
checking whether g++ supports -Wmissing-format-attribute... if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/pex-common.c -o noasan/pex-common.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/pex-common.c -o pex-common.o
yes
checking whether g++ supports -Woverloaded-virtual... gcc3
checking whether time.h and sys/time.h may both be included... yes
checking whether gnatgcc supports -Wold-style-definition... yes
checking whether string.h and strings.h may both be included... yes
checking whether gnatgcc supports -Wc++-compat... yes
checking locale.h usability... yes
checking whether g++ supports -pedantic -Wlong-long -Wvariadic-macros -Woverlength-strings... yes
checking whether gnatgcc supports -fno-exceptions... yes
checking locale.h presence... yes
checking for locale.h... yes
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/pex-one.c -o pic/pex-one.o; \
else true; fi
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/pex-one.c -o noasan/pex-one.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/pex-one.c -o pex-one.o
checking fcntl.h usability... yes
checking whether gnatgcc supports -fno-rtti... yes
checking whether gnatgcc supports -fasynchronous-unwind-tables... if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/pex-unix.c -o pic/pex-unix.o; \
else true; fi
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/pex-unix.c -o noasan/pex-unix.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/pex-unix.c -o pex-unix.o
yes
checking fcntl.h presence... yes
checking valgrind.h usability... yes
checking for fcntl.h... yes
checking limits.h usability... if [ x"-fPIC" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/pex-one.c -o pic/pex-one.o; \
else true; fi
no
checking valgrind.h presence... no
checking for valgrind.h... no
checking for VALGRIND_DISCARD in <valgrind/memcheck.h>... if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/pex-one.c -o noasan/pex-one.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/pex-one.c -o pex-one.o
yes
checking limits.h presence... no
checking for VALGRIND_DISCARD in <memcheck.h>... yes
checking for limits.h... yes
checking stddef.h usability... no
checking for multiarch configuration... auto
configure: WARNING: decimal float is not supported for this target, ignored
if [ x"-fPIC" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/pex-unix.c -o pic/pex-unix.o; \
else true; fi
checking whether /usr/bin/make sets $(MAKE)... yes
checking for gawk... gawk
checking whether ln -s works... yes
checking whether ln works... yes
checking for armv7l-unknown-linux-gnueabihf-ranlib... ranlib
checking for a BSD compatible install... /usr/bin/install -c
checking for cmp's capabilities... gnucompare
checking for mktemp... yes
checking for makeinfo... /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/missing makeinfo --split-size=5000000
checking for modern makeinfo... no
configure: WARNING:
*** Makeinfo is missing or too old.
*** Info documentation will not be built.
checking for recent Pod::Man... yes
checking stddef.h presence... yes
checking for stddef.h... yes
checking for stdlib.h... (cached) yes
checking for strings.h... (cached) yes
checking for string.h... (cached) yes
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/vprintf-support.c -o pic/vprintf-support.o; \
else true; fi
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/vprintf-support.c -o noasan/vprintf-support.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/vprintf-support.c -o vprintf-support.o
checking sys/file.h usability... yes
checking for flex... /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/missing flex
checking for bison... /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/missing bison
checking for nm... nm
checking for ar... ar
checking for sphinx-build... texinfo
checking for ANSI C header files... (cached) yes
checking whether time.h and sys/time.h may both be included... yes
checking sys/file.h presence... if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/rust-demangle.c -o pic/rust-demangle.o; \
else true; fi
yes
checking for sys/file.h... yes
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/rust-demangle.c -o noasan/rust-demangle.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/rust-demangle.c -o rust-demangle.o
checking for unistd.h... (cached) yes
checking whether byte ordering is bigendian... yes
checking whether string.h and strings.h may both be included... if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/pex-unix.c -o noasan/pex-unix.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/pex-unix.c -o pex-unix.o
yes
checking for sys/wait.h that is POSIX.1 compatible... yes
checking whether termios.h defines TIOCGWINSZ... no
checking for an ANSI C-conforming const... yes
checking for inline... no
checking whether sys/ioctl.h defines TIOCGWINSZ... inline
checking for obstacks... yes
checking for limits.h... yes
checking for stddef.h... yes
checking for string.h... (cached) yes
checking for strings.h... (cached) yes
if [ x"-fPIC" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/vprintf-support.c -o pic/vprintf-support.o; \
else true; fi
checking for stdlib.h... (cached) yes
checking for time.h... yes
checking for off_t... if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/safe-ctype.c -o pic/safe-ctype.o; \
else true; fi
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/safe-ctype.c -o noasan/safe-ctype.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/safe-ctype.c -o safe-ctype.o
yes
checking for iconv.h... yes
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/simple-object.c -o pic/simple-object.o; \
else true; fi
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/simple-object.c -o noasan/simple-object.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/simple-object.c -o simple-object.o
checking for fcntl.h... if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/vprintf-support.c -o noasan/vprintf-support.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/vprintf-support.c -o vprintf-support.o
yes
checking for ftw.h... yes
checking for unistd.h... (cached) yes
checking for sys/file.h... yes
checking for size_t... yes
if [ x"-fPIC" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/rust-demangle.c -o pic/rust-demangle.o; \
else true; fi
checking for sys/time.h... yes
checking for sys/mman.h... yes
checking for sys/resource.h... yes
checking for sys/param.h... yes
checking for sys/times.h... yes
checking for ssize_t... yes
checking for sys/stat.h... (cached) yes
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/simple-object-coff.c -o pic/simple-object-coff.o; \
else true; fi
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/simple-object-coff.c -o noasan/simple-object-coff.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/simple-object-coff.c -o simple-object-coff.o
checking for sys/auxv.h... yes
checking for direct.h... no
checking for malloc.h... yes
checking for langinfo.h... yes
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/rust-demangle.c -o noasan/rust-demangle.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/rust-demangle.c -o rust-demangle.o
checking for ldfcn.h... yes
checking for uintptr_t... no
checking for locale.h... yes
checking for wchar.h... yes
checking for thread.h... no
checking for pthread.h... yes
checking for CHAR_BIT... yes
checking whether byte ordering is bigendian... yes
checking for ptrdiff_t... if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/simple-object-elf.c -o pic/simple-object-elf.o; \
else true; fi
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/simple-object-elf.c -o noasan/simple-object-elf.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/simple-object-elf.c -o simple-object-elf.o
if [ x"-fPIC" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/safe-ctype.c -o pic/safe-ctype.o; \
else true; fi
no
checking how to run the C++ preprocessor... g++ -E
yes
checking for uint64_t... if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/safe-ctype.c -o noasan/safe-ctype.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/safe-ctype.c -o safe-ctype.o
if [ x"-fPIC" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/simple-object.c -o pic/simple-object.o; \
else true; fi
yes
checking whether struct tm is in sys/time.h or time.h... checking for unordered_map... time.h
checking size of int... yes
checking for tr1/unordered_map... yes
checking for ext/hash_map... 4
checking size of long... yes
checking dependency style of g++... 4
checking for clearerr_unlocked... if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/simple-object.c -o noasan/simple-object.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/simple-object.c -o simple-object.o
gcc3
checking for collect2 libraries... yes
checking for feof_unlocked... yes
checking for ferror_unlocked... yes
checking for fflush_unlocked... yes
checking for fgetc_unlocked... if [ x"-fPIC" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/simple-object-coff.c -o pic/simple-object-coff.o; \
else true; fi
yes
none required
checking for library containing exc_resume... checking for fgets_unlocked... yes
checking for fileno_unlocked... if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/simple-object-mach-o.c -o pic/simple-object-mach-o.o; \
else true; fi
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/simple-object-mach-o.c -o noasan/simple-object-mach-o.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/simple-object-mach-o.c -o simple-object-mach-o.o
no
checking for library containing kstat_open... yes
checking for fprintf_unlocked... no
checking for fputc_unlocked... no
checking for library containing ldexp... yes
checking for fputs_unlocked... if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/simple-object-coff.c -o noasan/simple-object-coff.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/simple-object-coff.c -o simple-object-coff.o
none required
checking for library containing dlopen... yes
checking for fread_unlocked... yes
checking for fwrite_unlocked... -ldl
checking for inttypes.h... yes
yes
checking for zstd.h... checking for getchar_unlocked... no
checking for library containing ZSTD_compress... yes
checking for getc_unlocked... if [ x"-fPIC" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/simple-object-elf.c -o pic/simple-object-elf.o; \
else true; fi
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/simple-object-xcoff.c -o pic/simple-object-xcoff.o; \
else true; fi
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/simple-object-xcoff.c -o noasan/simple-object-xcoff.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/simple-object-xcoff.c -o simple-object-xcoff.o
yes
checking for putchar_unlocked... no
checking for times... yes
checking for putc_unlocked... yes
checking for clock... yes
checking whether abort is declared... yes
checking for kill... yes
checking whether asprintf is declared... yes
checking for getrlimit... yes
yes
checking whether basename is declared... checking for setrlimit... yes
yes
checking whether errno is declared... if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/sort.c -o pic/sort.o; \
else true; fi
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/sort.c -o noasan/sort.o; \
else true; fi
checking for atoq... gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/sort.c -o sort.o
no
no
checking whether getopt is declared... checking for popen... if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/spaces.c -o pic/spaces.o; \
else true; fi
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/spaces.c -o noasan/spaces.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/spaces.c -o spaces.o
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/splay-tree.c -o pic/splay-tree.o; \
else true; fi
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/splay-tree.c -o noasan/splay-tree.o; \
else true; fi
yes
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/splay-tree.c -o splay-tree.o
checking for sysconf... yes
checking whether vasprintf is declared... yes
checking for strsignal... yes
checking whether clearerr_unlocked is declared... yes
checking for getrusage... yes
checking whether feof_unlocked is declared... if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/stack-limit.c -o pic/stack-limit.o; \
else true; fi
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/stack-limit.c -o noasan/stack-limit.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/stack-limit.c -o stack-limit.o
yes
checking for nl_langinfo... yes
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/strerror.c -o pic/strerror.o; \
else true; fi
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/strerror.c -o noasan/strerror.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/strerror.c -o strerror.o
checking whether ferror_unlocked is declared... if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/simple-object-elf.c -o noasan/simple-object-elf.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/simple-object-elf.c -o simple-object-elf.o
yes
checking for gettimeofday... yes
checking whether fflush_unlocked is declared... if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/strsignal.c -o pic/strsignal.o; \
else true; fi
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/strsignal.c -o noasan/strsignal.o; \
else true; fi
yes
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/strsignal.c -o strsignal.o
checking for mbstowcs... yes
checking whether fgetc_unlocked is declared... yes
checking for wcswidth... yes
checking whether fgets_unlocked is declared... if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/timeval-utils.c -o pic/timeval-utils.o; \
else true; fi
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/timeval-utils.c -o noasan/timeval-utils.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/timeval-utils.c -o timeval-utils.o
yes
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/unlink-if-ordinary.c -o pic/unlink-if-ordinary.o; \
else true; fi
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/unlink-if-ordinary.c -o noasan/unlink-if-ordinary.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/unlink-if-ordinary.c -o unlink-if-ordinary.o
checking for mmap... yes
checking whether fileno_unlocked is declared... if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/xasprintf.c -o pic/xasprintf.o; \
else true; fi
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/xasprintf.c -o noasan/xasprintf.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/xasprintf.c -o xasprintf.o
yes
checking for setlocale... yes
checking whether fprintf_unlocked is declared... if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/xatexit.c -o pic/xatexit.o; \
else true; fi
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/xatexit.c -o noasan/xatexit.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/xatexit.c -o xatexit.o
yes
checking for clearerr_unlocked... if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/xexit.c -o pic/xexit.o; \
else true; fi
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/xexit.c -o noasan/xexit.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/xexit.c -o xexit.o
no
checking whether fputc_unlocked is declared... yes
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/xmalloc.c -o pic/xmalloc.o; \
else true; fi
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/xmalloc.c -o noasan/xmalloc.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/xmalloc.c -o xmalloc.o
checking for feof_unlocked... yes
checking whether fputs_unlocked is declared... yes
checking for ferror_unlocked... if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/xmemdup.c -o pic/xmemdup.o; \
else true; fi
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/xmemdup.c -o noasan/xmemdup.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/xmemdup.c -o xmemdup.o
yes
checking whether fread_unlocked is declared... yes
checking for fflush_unlocked... if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/xstrdup.c -o pic/xstrdup.o; \
else true; fi
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/xstrdup.c -o noasan/xstrdup.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/xstrdup.c -o xstrdup.o
yes
checking whether fwrite_unlocked is declared... yes
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/xstrerror.c -o pic/xstrerror.o; \
else true; fi
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/xstrerror.c -o noasan/xstrerror.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/xstrerror.c -o xstrerror.o
checking for fgetc_unlocked... yes
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/xstrndup.c -o pic/xstrndup.o; \
else true; fi
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/xstrndup.c -o noasan/xstrndup.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/xstrndup.c -o xstrndup.o
checking whether getchar_unlocked is declared... yes
checking for fgets_unlocked... if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/xvasprintf.c -o pic/xvasprintf.o; \
else true; fi
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/xvasprintf.c -o noasan/xvasprintf.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/xvasprintf.c -o xvasprintf.o
yes
checking whether getc_unlocked is declared... yes
checking for fileno_unlocked... if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/setproctitle.c -o pic/setproctitle.o; \
else true; fi
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/setproctitle.c -o noasan/setproctitle.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/setproctitle.c -o setproctitle.o
if [ x"-fPIC" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/simple-object-mach-o.c -o pic/simple-object-mach-o.o; \
else true; fi
echo ./regex.o ./cplus-dem.o ./cp-demangle.o ./md5.o ./sha1.o ./alloca.o ./argv.o ./choose-temp.o ./concat.o ./cp-demint.o ./crc32.o ./d-demangle.o ./dwarfnames.o ./dyn-string.o ./fdmatch.o ./fibheap.o ./filedescriptor.o ./filename_cmp.o ./floatformat.o ./fnmatch.o ./fopen_unlocked.o ./getopt.o ./getopt1.o ./getpwd.o ./getruntime.o ./hashtab.o ./hex.o ./lbasename.o ./lrealpath.o ./make-relative-prefix.o ./make-temp-file.o ./objalloc.o ./obstack.o ./partition.o ./pexecute.o ./physmem.o ./pex-common.o ./pex-one.o ./pex-unix.o ./vprintf-support.o ./rust-demangle.o ./safe-ctype.o ./simple-object.o ./simple-object-coff.o ./simple-object-elf.o ./simple-object-mach-o.o ./simple-object-xcoff.o ./sort.o ./spaces.o ./splay-tree.o ./stack-limit.o ./strerror.o ./strsignal.o ./timeval-utils.o ./unlink-if-ordinary.o ./xasprintf.o ./xatexit.o ./xexit.o ./xmalloc.o ./xmemdup.o ./xstrdup.o ./xstrerror.o ./xstrndup.o ./xvasprintf.o > required-list
yes
make[5]: Entering directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/build-armv7l-unknown-linux-gnueabihf/libiberty/testsuite'
make[5]: Nothing to be done for 'all'.
make[5]: Leaving directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/build-armv7l-unknown-linux-gnueabihf/libiberty/testsuite'
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/regex.c -o pic/regex.o; \
else true; fi
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/regex.c -o noasan/regex.o; \
else true; fi
yes
checking for fprintf_unlocked... gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/regex.c -o regex.o
checking whether putchar_unlocked is declared... no
checking for fputc_unlocked... yes
checking whether putc_unlocked is declared... yes
yes
checking for working alloca.h... checking for fputs_unlocked... yes
checking for alloca... yes
checking for fread_unlocked... yes
checking for ANSI C header files... (cached) yes
checking for nl_langinfo and CODESET... yes
checking for fwrite_unlocked... yes
checking whether NLS is requested... yes
checking for catalogs to be installed... yes
checking for getchar_unlocked... yes
checking for getc_unlocked... if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/simple-object-mach-o.c -o noasan/simple-object-mach-o.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/simple-object-mach-o.c -o simple-object-mach-o.o
yes
checking for putchar_unlocked...  be ca da de el eo es fi fr id ja nl pt_BR ru sr sv tr uk vi zh_CN zh_TW be ca da de el eo es fi fr id ja nl pt_BR ru sr sv tr uk vi zh_CN zh_TW
checking for uchar... yes
checking for putc_unlocked... 
checking for ld used by GCC... ld
checking if the linker (ld) is GNU ld... yes
checking for shared library run path origin... done
checking for iconv... yes
checking for madvise... yes
checking for mallinfo... yes
checking for iconv declaration... yes
checking whether mbstowcs works... 
         extern size_t iconv (iconv_t cd, char * *inbuf, size_t *inbytesleft, char * *outbuf, size_t *outbytesleft);
configure: updating cache ./config.cache
configure: creating ./config.status
yes
checking for ssize_t... config.status: creating Makefile
config.status: creating config.h
config.status: executing depdir commands
mkdir -p -- .deps
if [ x"-fPIC" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/simple-object-xcoff.c -o pic/simple-object-xcoff.o; \
else true; fi
yes
checking for caddr_t... if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/cplus-dem.c -o pic/cplus-dem.o; \
else true; fi
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/cplus-dem.c -o noasan/cplus-dem.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/cplus-dem.c -o cplus-dem.o
yes
checking for sys/mman.h... (cached) yes
checking for mmap... (cached) yes
checking whether read-only mmap of a plain file works... yes
checking whether mmap from /dev/zero works... yes
checking for MAP_ANON(YMOUS)... yes
checking whether mmap with MAP_ANON(YMOUS) works... yes
checking for pid_t... make[4]: Entering directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/libdecnumber'
source='../../src/libdecnumber/decNumber.c' object='decNumber.o' libtool=no gnatgcc  -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -pedantic -Wno-long-long  -fno-lto -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=2  -c ../../src/libdecnumber/decNumber.c
yes
checking for vfork.h... no
checking for fork... yes
checking for vfork... if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/simple-object-xcoff.c -o noasan/simple-object-xcoff.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/simple-object-xcoff.c -o simple-object-xcoff.o
yes
checking for working fork... yes
checking for working vfork... (cached) yes
checking for ld used by GCC... ld
checking if the linker (ld) is GNU ld... yes
checking for shared library run path origin... done
checking for iconv... yes
checking for iconv declaration... 
         extern size_t iconv (iconv_t cd, char * *inbuf, size_t *inbytesleft, char * *outbuf, size_t *outbytesleft);
checking for LC_MESSAGES... yes
checking for nl_langinfo and CODESET... if [ x"-fPIC" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/sort.c -o pic/sort.o; \
else true; fi
yes
checking whether basename is declared... if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/sort.c -o noasan/sort.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/sort.c -o sort.o
if [ x"-fPIC" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/spaces.c -o pic/spaces.o; \
else true; fi
yes
checking whether strstr is declared... if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/spaces.c -o noasan/spaces.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/spaces.c -o spaces.o
if [ x"-fPIC" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/splay-tree.c -o pic/splay-tree.o; \
else true; fi
yes
checking whether getenv is declared... if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/splay-tree.c -o noasan/splay-tree.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/splay-tree.c -o splay-tree.o
yes
checking whether atol is declared... if [ x"-fPIC" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/stack-limit.c -o pic/stack-limit.o; \
else true; fi
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/stack-limit.c -o noasan/stack-limit.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/stack-limit.c -o stack-limit.o
yes
checking whether atoll is declared... if [ x"-fPIC" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/strerror.c -o pic/strerror.o; \
else true; fi
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/strerror.c -o noasan/strerror.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/strerror.c -o strerror.o
yes
checking whether asprintf is declared... if [ x"-fPIC" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/strsignal.c -o pic/strsignal.o; \
else true; fi
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/cp-demangle.c -o pic/cp-demangle.o; \
else true; fi
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/cp-demangle.c -o noasan/cp-demangle.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/cp-demangle.c -o cp-demangle.o
yes
checking whether sbrk is declared... if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/strsignal.c -o noasan/strsignal.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/strsignal.c -o strsignal.o
if [ x"-fPIC" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/timeval-utils.c -o pic/timeval-utils.o; \
else true; fi
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/timeval-utils.c -o noasan/timeval-utils.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/timeval-utils.c -o timeval-utils.o
yes
checking whether abort is declared... if [ x"-fPIC" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/unlink-if-ordinary.c -o pic/unlink-if-ordinary.o; \
else true; fi
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/unlink-if-ordinary.c -o noasan/unlink-if-ordinary.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/unlink-if-ordinary.c -o unlink-if-ordinary.o
if [ x"-fPIC" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/xasprintf.c -o pic/xasprintf.o; \
else true; fi
yes
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/xasprintf.c -o noasan/xasprintf.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/xasprintf.c -o xasprintf.o
checking whether atof is declared... if [ x"-fPIC" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/xatexit.c -o pic/xatexit.o; \
else true; fi
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/xatexit.c -o noasan/xatexit.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/xatexit.c -o xatexit.o
if [ x"-fPIC" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/xexit.c -o pic/xexit.o; \
else true; fi
yes
checking whether getcwd is declared... if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/xexit.c -o noasan/xexit.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/xexit.c -o xexit.o
if [ x"-fPIC" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/xmalloc.c -o pic/xmalloc.o; \
else true; fi
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/xmalloc.c -o noasan/xmalloc.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/xmalloc.c -o xmalloc.o
yes
checking whether getwd is declared... if [ x"-fPIC" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/xmemdup.c -o pic/xmemdup.o; \
else true; fi
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/xmemdup.c -o noasan/xmemdup.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/xmemdup.c -o xmemdup.o
if [ x"-fPIC" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/xstrdup.c -o pic/xstrdup.o; \
else true; fi
yes
checking whether madvise is declared... if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/xstrdup.c -o noasan/xstrdup.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/xstrdup.c -o xstrdup.o
if [ x"-fPIC" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/xstrerror.c -o pic/xstrerror.o; \
else true; fi
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/xstrerror.c -o noasan/xstrerror.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/xstrerror.c -o xstrerror.o
yes
checking whether stpcpy is declared... if [ x"-fPIC" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/xstrndup.c -o pic/xstrndup.o; \
else true; fi
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/xstrndup.c -o noasan/xstrndup.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/xstrndup.c -o xstrndup.o
if [ x"-fPIC" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/xvasprintf.c -o pic/xvasprintf.o; \
else true; fi
yes
checking whether strnlen is declared... if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/xvasprintf.c -o noasan/xvasprintf.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/xvasprintf.c -o xvasprintf.o
if [ x"-fPIC" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/setproctitle.c -o pic/setproctitle.o; \
else true; fi
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/setproctitle.c -o noasan/setproctitle.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/setproctitle.c -o setproctitle.o
echo ./regex.o ./cplus-dem.o ./cp-demangle.o ./md5.o ./sha1.o ./alloca.o ./argv.o ./choose-temp.o ./concat.o ./cp-demint.o ./crc32.o ./d-demangle.o ./dwarfnames.o ./dyn-string.o ./fdmatch.o ./fibheap.o ./filedescriptor.o ./filename_cmp.o ./floatformat.o ./fnmatch.o ./fopen_unlocked.o ./getopt.o ./getopt1.o ./getpwd.o ./getruntime.o ./hashtab.o ./hex.o ./lbasename.o ./lrealpath.o ./make-relative-prefix.o ./make-temp-file.o ./objalloc.o ./obstack.o ./partition.o ./pexecute.o ./physmem.o ./pex-common.o ./pex-one.o ./pex-unix.o ./vprintf-support.o ./rust-demangle.o ./safe-ctype.o ./simple-object.o ./simple-object-coff.o ./simple-object-elf.o ./simple-object-mach-o.o ./simple-object-xcoff.o ./sort.o ./spaces.o ./splay-tree.o ./stack-limit.o ./strerror.o ./strsignal.o ./timeval-utils.o ./unlink-if-ordinary.o ./xasprintf.o ./xatexit.o ./xexit.o ./xmalloc.o ./xmemdup.o ./xstrdup.o ./xstrerror.o ./xstrndup.o ./xvasprintf.o > required-list
make[5]: Entering directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/libiberty/testsuite'
make[5]: Nothing to be done for 'all'.
make[5]: Leaving directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/libiberty/testsuite'
if [ x"-fPIC" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/regex.c -o pic/regex.o; \
else true; fi
yes
checking whether strsignal is declared... yes
checking whether strverscmp is declared... yes
checking whether strtol is declared... source='../../src/libdecnumber/decContext.c' object='decContext.o' libtool=no gnatgcc  -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -pedantic -Wno-long-long  -fno-lto -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=2  -c ../../src/libdecnumber/decContext.c
yes
checking whether strtoul is declared... source='../../src/libdecnumber/dpd/decimal32.c' object='decimal32.o' libtool=no gnatgcc  -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -pedantic -Wno-long-long  -fno-lto -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=2  -c ../../src/libdecnumber/dpd/decimal32.c
yes
checking whether strtoll is declared... source='../../src/libdecnumber/dpd/decimal64.c' object='decimal64.o' libtool=no gnatgcc  -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -pedantic -Wno-long-long  -fno-lto -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=2  -c ../../src/libdecnumber/dpd/decimal64.c
yes
checking whether strtoull is declared... yes
checking whether setenv is declared... source='../../src/libdecnumber/dpd/decimal128.c' object='decimal128.o' libtool=no gnatgcc  -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -pedantic -Wno-long-long  -fno-lto -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=2  -c ../../src/libdecnumber/dpd/decimal128.c
yes
checking whether unsetenv is declared... yes
checking whether errno is declared... rm -f libdecnumber.a
ar cru libdecnumber.a decNumber.o decContext.o decimal32.o decimal64.o decimal128.o 
ar: `u' modifier ignored since `D' is the default (see `U')
ranlib libdecnumber.a
make[4]: Leaving directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/libdecnumber'
if [ x"-fPIC" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/cplus-dem.c -o pic/cplus-dem.o; \
else true; fi
yes
checking whether snprintf is declared... if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/md5.c -o pic/md5.o; \
else true; fi
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/md5.c -o noasan/md5.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/md5.c -o md5.o
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/cplus-dem.c -o noasan/cplus-dem.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/cplus-dem.c -o cplus-dem.o
yes
checking whether vsnprintf is declared... if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/sha1.c -o pic/sha1.o; \
else true; fi
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/sha1.c -o noasan/sha1.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/sha1.c -o sha1.o
make[4]: Entering directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/libbacktrace'
/usr/bin/make  all-am
make[5]: Entering directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/libbacktrace'
/bin/bash ./libtool  --tag=CC   --mode=compile gnatgcc -DHAVE_CONFIG_H -I. -I../../src/libbacktrace  -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=atomic.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual  -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -c -o atomic.lo ../../src/libbacktrace/atomic.c
yes
libtool: compile:  gnatgcc -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=atomic.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -c ../../src/libbacktrace/atomic.c  -fPIC -DPIC -o .libs/atomic.o
checking whether vasprintf is declared... libtool: compile:  gnatgcc -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=atomic.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -c ../../src/libbacktrace/atomic.c -o atomic.o >/dev/null 2>&1
true  DO=all multi-do # /usr/bin/make
/bin/bash ./libtool  --tag=CC   --mode=compile gnatgcc -DHAVE_CONFIG_H -I. -I../../src/libbacktrace  -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=dwarf.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual  -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -c -o dwarf.lo ../../src/libbacktrace/dwarf.c
libtool: compile:  gnatgcc -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=dwarf.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -c ../../src/libbacktrace/dwarf.c  -fPIC -DPIC -o .libs/dwarf.o
yes
checking whether malloc is declared... yes
checking whether realloc is declared... yes
checking whether calloc is declared... yes
checking whether free is declared... if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/regex.c -o noasan/regex.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/regex.c -o regex.o
yes
checking whether getopt is declared... yes
checking whether clock is declared... if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/alloca.c -o pic/alloca.o; \
else true; fi
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/alloca.c -o noasan/alloca.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/alloca.c -o alloca.o
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/argv.c -o pic/argv.o; \
else true; fi
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/argv.c -o noasan/argv.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/argv.c -o argv.o
yes
checking whether getpagesize is declared... if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/choose-temp.c -o pic/choose-temp.o; \
else true; fi
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/choose-temp.c -o noasan/choose-temp.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/choose-temp.c -o choose-temp.o
yes
checking whether ffs is declared... if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/concat.c -o pic/concat.o; \
else true; fi
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/concat.c -o noasan/concat.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/concat.c -o concat.o
yes
checking whether clearerr_unlocked is declared... if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/cp-demint.c -o pic/cp-demint.o; \
else true; fi
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/cp-demint.c -o noasan/cp-demint.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/cp-demint.c -o cp-demint.o
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/crc32.c -o pic/crc32.o; \
else true; fi
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/crc32.c -o noasan/crc32.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/crc32.c -o crc32.o
yes
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE   ../../../src/libiberty/d-demangle.c -o pic/d-demangle.o; \
else true; fi
checking whether feof_unlocked is declared... if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE    ../../../src/libiberty/d-demangle.c -o noasan/d-demangle.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../../src/libiberty/d-demangle.c -o d-demangle.o
libtool: compile:  gnatgcc -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=dwarf.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -c ../../src/libbacktrace/dwarf.c -o dwarf.o >/dev/null 2>&1
yes
checking whether ferror_unlocked is declared... yes
checking whether fflush_unlocked is declared... yes
checking whether fgetc_unlocked is declared... yes
checking whether fgets_unlocked is declared... yes
checking whether fileno_unlocked is declared... rm -f ./libiberty.a pic/./libiberty.a noasan/./libiberty.a
ar rc ./libiberty.a \
  ./regex.o ./cplus-dem.o ./cp-demangle.o ./md5.o ./sha1.o ./alloca.o ./argv.o ./choose-temp.o ./concat.o ./cp-demint.o ./crc32.o ./d-demangle.o ./dwarfnames.o ./dyn-string.o ./fdmatch.o ./fibheap.o ./filedescriptor.o ./filename_cmp.o ./floatformat.o ./fnmatch.o ./fopen_unlocked.o ./getopt.o ./getopt1.o ./getpwd.o ./getruntime.o ./hashtab.o ./hex.o ./lbasename.o ./lrealpath.o ./make-relative-prefix.o ./make-temp-file.o ./objalloc.o ./obstack.o ./partition.o ./pexecute.o ./physmem.o ./pex-common.o ./pex-one.o ./pex-unix.o ./vprintf-support.o ./rust-demangle.o ./safe-ctype.o ./simple-object.o ./simple-object-coff.o ./simple-object-elf.o ./simple-object-mach-o.o ./simple-object-xcoff.o ./sort.o ./spaces.o ./splay-tree.o ./stack-limit.o ./strerror.o ./strsignal.o ./timeval-utils.o ./unlink-if-ordinary.o ./xasprintf.o ./xatexit.o ./xexit.o ./xmalloc.o ./xmemdup.o ./xstrdup.o ./xstrerror.o ./xstrndup.o ./xvasprintf.o  ./setproctitle.o
ranlib ./libiberty.a
if [ x"" != x ]; then \
  cd pic; \
  ar rc ./libiberty.a \
    ./regex.o ./cplus-dem.o ./cp-demangle.o ./md5.o ./sha1.o ./alloca.o ./argv.o ./choose-temp.o ./concat.o ./cp-demint.o ./crc32.o ./d-demangle.o ./dwarfnames.o ./dyn-string.o ./fdmatch.o ./fibheap.o ./filedescriptor.o ./filename_cmp.o ./floatformat.o ./fnmatch.o ./fopen_unlocked.o ./getopt.o ./getopt1.o ./getpwd.o ./getruntime.o ./hashtab.o ./hex.o ./lbasename.o ./lrealpath.o ./make-relative-prefix.o ./make-temp-file.o ./objalloc.o ./obstack.o ./partition.o ./pexecute.o ./physmem.o ./pex-common.o ./pex-one.o ./pex-unix.o ./vprintf-support.o ./rust-demangle.o ./safe-ctype.o ./simple-object.o ./simple-object-coff.o ./simple-object-elf.o ./simple-object-mach-o.o ./simple-object-xcoff.o ./sort.o ./spaces.o ./splay-tree.o ./stack-limit.o ./strerror.o ./strsignal.o ./timeval-utils.o ./unlink-if-ordinary.o ./xasprintf.o ./xatexit.o ./xexit.o ./xmalloc.o ./xmemdup.o ./xstrdup.o ./xstrerror.o ./xstrndup.o ./xvasprintf.o  ./setproctitle.o; \
  ranlib ./libiberty.a; \
  cd ..; \
else true; fi; \
if [ x"" != x ]; then \
  cd noasan; \
  ar rc ./libiberty.a \
    ./regex.o ./cplus-dem.o ./cp-demangle.o ./md5.o ./sha1.o ./alloca.o ./argv.o ./choose-temp.o ./concat.o ./cp-demint.o ./crc32.o ./d-demangle.o ./dwarfnames.o ./dyn-string.o ./fdmatch.o ./fibheap.o ./filedescriptor.o ./filename_cmp.o ./floatformat.o ./fnmatch.o ./fopen_unlocked.o ./getopt.o ./getopt1.o ./getpwd.o ./getruntime.o ./hashtab.o ./hex.o ./lbasename.o ./lrealpath.o ./make-relative-prefix.o ./make-temp-file.o ./objalloc.o ./obstack.o ./partition.o ./pexecute.o ./physmem.o ./pex-common.o ./pex-one.o ./pex-unix.o ./vprintf-support.o ./rust-demangle.o ./safe-ctype.o ./simple-object.o ./simple-object-coff.o ./simple-object-elf.o ./simple-object-mach-o.o ./simple-object-xcoff.o ./sort.o ./spaces.o ./splay-tree.o ./stack-limit.o ./strerror.o ./strsignal.o ./timeval-utils.o ./unlink-if-ordinary.o ./xasprintf.o ./xatexit.o ./xexit.o ./xmalloc.o ./xmemdup.o ./xstrdup.o ./xstrerror.o ./xstrndup.o ./xvasprintf.o  ./setproctitle.o; \
  ranlib ./libiberty.a; \
  cd ..; \
else true; fi
make[4]: Leaving directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/build-armv7l-unknown-linux-gnueabihf/libiberty'
if [ x"-fPIC" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/cp-demangle.c -o pic/cp-demangle.o; \
else true; fi
yes
checking whether fprintf_unlocked is declared... no
checking whether fputc_unlocked is declared... yes
checking whether fputs_unlocked is declared... yes
checking whether fread_unlocked is declared... yes
checking whether fwrite_unlocked is declared... /bin/bash ./libtool  --tag=CC   --mode=compile gnatgcc -DHAVE_CONFIG_H -I. -I../../src/libbacktrace  -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=fileline.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual  -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -c -o fileline.lo ../../src/libbacktrace/fileline.c
libtool: compile:  gnatgcc -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=fileline.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -c ../../src/libbacktrace/fileline.c  -fPIC -DPIC -o .libs/fileline.o
yes
libtool: compile:  gnatgcc -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=fileline.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -c ../../src/libbacktrace/fileline.c -o fileline.o >/dev/null 2>&1
checking whether getchar_unlocked is declared... make[4]: Entering directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/build-armv7l-unknown-linux-gnueabihf/fixincludes'
gnatgcc -c -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long  -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -I. -I../../../src/fixincludes -I../include -I../../../src/fixincludes/../include ../../../src/fixincludes/fixincl.c
/bin/bash ./libtool  --tag=CC   --mode=compile gnatgcc -DHAVE_CONFIG_H -I. -I../../src/libbacktrace  -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=posix.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual  -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -c -o posix.lo ../../src/libbacktrace/posix.c
libtool: compile:  gnatgcc -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=posix.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -c ../../src/libbacktrace/posix.c  -fPIC -DPIC -o .libs/posix.o
yes
checking whether getc_unlocked is declared... libtool: compile:  gnatgcc -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=posix.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -c ../../src/libbacktrace/posix.c -o posix.o >/dev/null 2>&1
/bin/bash ./libtool  --tag=CC   --mode=compile gnatgcc -DHAVE_CONFIG_H -I. -I../../src/libbacktrace  -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=print.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual  -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -c -o print.lo ../../src/libbacktrace/print.c
libtool: compile:  gnatgcc -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=print.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -c ../../src/libbacktrace/print.c  -fPIC -DPIC -o .libs/print.o
yes
checking whether putchar_unlocked is declared... libtool: compile:  gnatgcc -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=print.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -c ../../src/libbacktrace/print.c -o print.o >/dev/null 2>&1
/bin/bash ./libtool  --tag=CC   --mode=compile gnatgcc -DHAVE_CONFIG_H -I. -I../../src/libbacktrace  -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=sort.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual  -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -c -o sort.lo ../../src/libbacktrace/sort.c
libtool: compile:  gnatgcc -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=sort.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -c ../../src/libbacktrace/sort.c  -fPIC -DPIC -o .libs/sort.o
yes
checking whether putc_unlocked is declared... libtool: compile:  gnatgcc -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=sort.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -c ../../src/libbacktrace/sort.c -o sort.o >/dev/null 2>&1
/bin/bash ./libtool  --tag=CC   --mode=compile gnatgcc -DHAVE_CONFIG_H -I. -I../../src/libbacktrace  -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=state.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual  -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -c -o state.lo ../../src/libbacktrace/state.c
libtool: compile:  gnatgcc -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=state.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -c ../../src/libbacktrace/state.c  -fPIC -DPIC -o .libs/state.o
libtool: compile:  gnatgcc -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=state.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -c ../../src/libbacktrace/state.c -o state.o >/dev/null 2>&1
yes
gnatgcc -c -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long  -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -I. -I../../../src/fixincludes -I../include -I../../../src/fixincludes/../include ../../../src/fixincludes/fixtests.c
checking whether getrlimit is declared... /bin/bash ./libtool  --tag=CC   --mode=compile gnatgcc -DHAVE_CONFIG_H -I. -I../../src/libbacktrace  -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=backtrace.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual  -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -c -o backtrace.lo ../../src/libbacktrace/backtrace.c
libtool: compile:  gnatgcc -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=backtrace.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -c ../../src/libbacktrace/backtrace.c  -fPIC -DPIC -o .libs/backtrace.o
gnatgcc -c -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long  -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -I. -I../../../src/fixincludes -I../include -I../../../src/fixincludes/../include ../../../src/fixincludes/fixfixes.c
libtool: compile:  gnatgcc -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=backtrace.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -c ../../src/libbacktrace/backtrace.c -o backtrace.o >/dev/null 2>&1
yes
checking whether setrlimit is declared... /bin/bash ./libtool  --tag=CC   --mode=compile gnatgcc -DHAVE_CONFIG_H -I. -I../../src/libbacktrace  -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=simple.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual  -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -c -o simple.lo ../../src/libbacktrace/simple.c
libtool: compile:  gnatgcc -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=simple.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -c ../../src/libbacktrace/simple.c  -fPIC -DPIC -o .libs/simple.o
libtool: compile:  gnatgcc -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=simple.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -c ../../src/libbacktrace/simple.c -o simple.o >/dev/null 2>&1
/bin/bash ./libtool  --tag=CC   --mode=compile gnatgcc -DHAVE_CONFIG_H -I. -I../../src/libbacktrace  -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=elf.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual  -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -c -o elf.lo ../../src/libbacktrace/elf.c
yes
libtool: compile:  gnatgcc -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=elf.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -c ../../src/libbacktrace/elf.c  -fPIC -DPIC -o .libs/elf.o
checking whether getrusage is declared... gnatgcc -c -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long  -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -I. -I../../../src/fixincludes -I../include -I../../../src/fixincludes/../include ../../../src/fixincludes/server.c
yes
checking whether mallinfo is declared... gnatgcc -c -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long  -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -I. -I../../../src/fixincludes -I../include -I../../../src/fixincludes/../include ../../../src/fixincludes/procopen.c
yes
gnatgcc -c -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long  -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -I. -I../../../src/fixincludes -I../include -I../../../src/fixincludes/../include ../../../src/fixincludes/fixlib.c
gnatgcc -c -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long  -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -I. -I../../../src/fixincludes -I../include -I../../../src/fixincludes/../include ../../../src/fixincludes/fixopts.c
checking whether ldgetname is declared... srcdir="../../../src/fixincludes" /bin/bash ../../../src/fixincludes/mkfixinc.sh armv7l-unknown-linux-gnueabihf
sed -e 's/@gcc_version@/10.3.0/' < mkheaders.almost > mkheadersT
mv -f mkheadersT mkheaders
gnatgcc -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wl,-z,relro -Wl,-z,now -o fixincl fixincl.o fixtests.o fixfixes.o server.o procopen.o fixlib.o fixopts.o ../libiberty/libiberty.a
echo timestamp > full-stamp
make[4]: Leaving directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/build-armv7l-unknown-linux-gnueabihf/fixincludes'
if [ x"-fPIC" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/md5.c -o pic/md5.o; \
else true; fi
no
checking whether times is declared... yes
checking whether sigaltstack is declared... if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/md5.c -o noasan/md5.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/md5.c -o md5.o
yes
checking for struct tms... yes
checking for clock_t... libtool: compile:  gnatgcc -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=elf.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -c ../../src/libbacktrace/elf.c -o elf.o >/dev/null 2>&1
make[4]: Entering directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/build-armv7l-unknown-linux-gnueabihf/libcpp'
g++  -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long  -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2  -DPACKAGE_SUFFIX=\"-10\" -c -o charset.o -MT charset.o -MMD -MP -MF .deps/charset.Tpo ../../../src/libcpp/charset.c
yes
checking for F_SETLKW... if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/cp-demangle.c -o noasan/cp-demangle.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/cp-demangle.c -o cp-demangle.o
yes
checking if mkdir takes one argument... no
Using `../../src/gcc/config/arm/arm.c' for machine-specific logic.
Using `../../src/gcc/config/arm/arm.md' as machine description file.
Using the following target machine macro files:
	../../src/gcc/config/vxworks-dummy.h
	../../src/gcc/config/dbxelf.h
	../../src/gcc/config/elfos.h
	../../src/gcc/config/gnu-user.h
	../../src/gcc/config/linux.h
	../../src/gcc/config/linux-android.h
	../../src/gcc/config/glibc-stdint.h
	../../src/gcc/config/arm/elf.h
	../../src/gcc/config/arm/linux-gas.h
	../../src/gcc/config/arm/linux-elf.h
	../../src/gcc/config/arm/bpabi.h
	../../src/gcc/config/arm/linux-eabi.h
	../../src/gcc/config/arm/aout.h
	../../src/gcc/config/arm/arm.h
	../../src/gcc/config/initfini-array.h
Using host-linux.o for host machine hooks.
checking for __cxa_atexit... yes
checking whether NLS is requested... yes
checking for catalogs to be installed...  be da de el es fi fr hr id ja nl ru sr sv tr uk vi zh_CN zh_TW be da de el es fi fr hr id ja nl ru sr sv tr uk vi zh_CN zh_TW
checking how to print strings... printf
checking for a sed that does not truncate output... /bin/sed
checking for fgrep... /bin/grep -F
checking for ld used by gnatgcc... ld
checking if the linker (ld) is GNU ld... yes
checking for BSD- or MS-compatible name lister (nm)... nm
checking the name lister (nm) interface... BSD nm
checking whether ln -s works... yes
checking the maximum length of command line arguments... 1572864
checking whether the shell understands some XSI constructs... yes
checking whether the shell understands "+="... yes
checking for ld option to reload object files... -r
checking for armv7l-unknown-linux-gnueabihf-objdump... objdump
checking how to recognize dependent libraries... pass_all
checking for armv7l-unknown-linux-gnueabihf-ar... (cached) ar
checking for armv7l-unknown-linux-gnueabihf-strip... no
checking for strip... strip
checking for armv7l-unknown-linux-gnueabihf-ranlib... (cached) ranlib
checking command to parse nm output from gnatgcc object... ok
checking for dlfcn.h... yes
checking for objdir... .libs
checking if gnatgcc supports -fno-rtti -fno-exceptions... no
checking for gnatgcc option to produce PIC... -fPIC -DPIC
checking if gnatgcc PIC flag -fPIC -DPIC works... yes
checking if gnatgcc static flag -static works... yes
checking if gnatgcc supports -c -o file.o... yes
checking if gnatgcc supports -c -o file.o... (cached) yes
checking whether the gnatgcc linker (ld) supports shared libraries... yes
checking whether -lc should be explicitly linked in... no
checking dynamic linker characteristics... GNU/Linux ld.so
checking how to hardcode library paths into programs... immediate
checking whether stripping libraries is possible... yes
checking if libtool supports shared libraries... yes
checking whether to build shared libraries... yes
checking whether to build static libraries... yes
checking how to run the C++ preprocessor... g++ -E
/bin/bash ./libtool  --tag=CC   --mode=compile gnatgcc -DHAVE_CONFIG_H -I. -I../../src/libbacktrace  -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=mmapio.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual  -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -c -o mmapio.lo ../../src/libbacktrace/mmapio.c
libtool: compile:  gnatgcc -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=mmapio.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -c ../../src/libbacktrace/mmapio.c  -fPIC -DPIC -o .libs/mmapio.o
libtool: compile:  gnatgcc -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=mmapio.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -c ../../src/libbacktrace/mmapio.c -o mmapio.o >/dev/null 2>&1
checking for ld used by g++... ld
checking if the linker (ld) is GNU ld... yes
checking whether the g++ linker (ld) supports shared libraries... yes
/bin/bash ./libtool  --tag=CC   --mode=compile gnatgcc -DHAVE_CONFIG_H -I. -I../../src/libbacktrace  -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=mmap.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual  -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -c -o mmap.lo ../../src/libbacktrace/mmap.c
libtool: compile:  gnatgcc -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=mmap.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -c ../../src/libbacktrace/mmap.c  -fPIC -DPIC -o .libs/mmap.o
checking for g++ option to produce PIC... -fPIC -DPIC
checking if g++ PIC flag -fPIC -DPIC works... yes
checking if g++ static flag -static works... libtool: compile:  gnatgcc -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=mmap.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -c ../../src/libbacktrace/mmap.c -o mmap.o >/dev/null 2>&1
yes
checking if g++ supports -c -o file.o... yes
checking if g++ supports -c -o file.o... (cached) yes
checking whether the g++ linker (ld) supports shared libraries... yes
checking dynamic linker characteristics... (cached) GNU/Linux ld.so
checking how to hardcode library paths into programs... immediate
checking for as... /usr/bin/as
checking what assembler to use... /usr/bin/as
checking for ld... /usr/bin/ld
checking whether we are using gold... no
checking gold linker with split stack support as non default... no
checking what linker to use... /usr/bin/ld
/bin/bash ./libtool  --tag=CC   --mode=link gnatgcc -funwind-tables -frandom-seed=libbacktrace.la -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual  -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong  -static-libstdc++ -static-libgcc -Wl,-z,relro -Wl,-z,now -o libbacktrace.la  atomic.lo dwarf.lo fileline.lo posix.lo print.lo sort.lo state.lo backtrace.lo simple.lo elf.lo mmapio.lo mmap.lo 
checking for nm... /usr/bin/nm
checking what nm to use... /usr/bin/nm
checking for objdump... /usr/bin/objdump
checking what objdump to use... /usr/bin/objdump
checking for readelf... /usr/bin/readelf
checking what readelf to use... /usr/bin/readelf
checking for otool... no
checking what otool to use... not found
checking assembler flags...  
checking assembler for .balign and .p2align... yes
checking assembler for .p2align with maximum skip... yes
checking assembler for .literal16... no
checking assembler for working .subsection -1... yes
checking assembler for .weak... yes
checking assembler for .weakref... yes
checking assembler for .nsubspa comdat... no
checking assembler for .hidden... yes
libtool: link: ar rc .libs/libbacktrace.a .libs/atomic.o .libs/dwarf.o .libs/fileline.o .libs/posix.o .libs/print.o .libs/sort.o .libs/state.o .libs/backtrace.o .libs/simple.o .libs/elf.o .libs/mmapio.o .libs/mmap.o 
checking linker for .hidden support... libtool: link: ranlib .libs/libbacktrace.a
yes
checking linker read-only and read-write section mixing... libtool: link: ( cd ".libs" && rm -f "libbacktrace.la" && ln -s "../libbacktrace.la" "libbacktrace.la" )
make[5]: Leaving directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/libbacktrace'
make[4]: Leaving directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/libbacktrace'
if [ x"-fPIC" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/sha1.c -o pic/sha1.o; \
else true; fi
read-write
checking for .preinit_array/.init_array/.fini_array support... g++  -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long  -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2  -DPACKAGE_SUFFIX=\"-10\" -c -o directives.o -MT directives.o -MMD -MP -MF .deps/directives.Tpo ../../../src/libcpp/directives.c
yes
checking assembler for .sleb128 and .uleb128... yes
checking assembler for cfi directives... yes
checking assembler for working cfi advance... yes
checking assembler for cfi personality directive... yes
checking assembler for cfi sections directive... yes
checking assembler for eh_frame optimization... no
checking assembler for section exclude flag... yes
checking assembler for section merging support... yes
checking assembler for stabs directive... yes
checking assembler for COMDAT group support (GNU as)... no
checking assembler for COMDAT group support (GNU as, %type)... yes
checking assembler for line table is_stmt support... yes
checking assembler for line table discriminator support... yes
checking assembler for thread-local storage support... yes
checking linker -Bstatic/-Bdynamic option... yes
checking linker --version-script option... yes
checking linker soname option... yes
checking linker --demangle support... yes
checking linker plugin support... 0
checking assembler for dwarf2 debug_line support... yes
checking assembler for buggy dwarf2 .file directive... no
checking assembler for dwarf2 debug_view support... yes
checking assembler for --gdwarf2 option... yes
checking assembler for --gstabs option... yes
checking assembler for --debug-prefix-map option... yes
checking assembler for compressed debug sections... 2
checking assembler for .lcomm with alignment... no
checking for target glibc version... 2.32
checking assembler for gnu_unique_object... yes
checking assembler for tolerance to line number 0... yes
checking support for thin archives... yes
checking linker PT_GNU_EH_FRAME support... yes
checking linker CIEv3 in .eh_frame support... yes
checking linker position independent executable support... yes
checking linker PIE support with copy reloc... no
checking linker EH-compatible garbage collection of sections... no
checking linker EH garbage collection of sections bug... no
checking linker for compressed debug sections... 3
checking linker --as-needed support... yes
checking linker mapfile support for clearing hardware capabilities... no
checking linker --build-id support... yes
checking linker *_sol2 emulation support... no
checking linker --sysroot support... yes
checking __stack_chk_fail in target C library... yes
checking sys/sdt.h in the target C library... no
checking dl_iterate_phdr in target C library... unknown
checking whether to enable maintainer-specific portions of Makefiles... no
checking whether to avoid linking multiple front-ends at once... no
Links are now set up to build a native compiler for armv7l-unknown-linux-gnueabihf.
checking for exported symbols... yes
checking for -rdynamic... yes
checking for library containing dlopen... (cached) -ldl
checking for -fPIC -shared... yes
gcc_driver_version: 10.3.0
checking for -fno-PIE option... yes
checking for -no-pie option... yes
checking linker -z bndplt option... no
checking linker --push-state/--pop-state options... yes
configure: updating cache ./config.cache
configure: creating ./config.status
config.status: creating as
config.status: creating collect-ld
config.status: creating nm
config.status: creating Makefile
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/sha1.c -o noasan/sha1.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/sha1.c -o sha1.o
config.status: creating ada/gcc-interface/Makefile
config.status: creating ada/Makefile
config.status: creating m2/config-make
config.status: creating m2/examples/callingC/Makefile
config.status: creating m2/examples/cplusplus/cppcatchm2/Makefile
config.status: creating m2/examples/cplusplus/m2catchcpp/Makefile
config.status: creating m2/examples/cpp/Makefile
g++  -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long  -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2  -DPACKAGE_SUFFIX=\"-10\" -c -o directives-only.o -MT directives-only.o -MMD -MP -MF .deps/directives-only.Tpo ../../../src/libcpp/directives-only.c
config.status: creating m2/examples/gravity/Makefile
config.status: creating m2/examples/hello/Makefile
config.status: creating m2/examples/iso/socket/Makefile
config.status: creating m2/examples/map/Makefile
config.status: creating m2/examples/pge/Makefile
config.status: creating m2/examples/ncurses/Makefile
config.status: creating m2/examples/shared/Makefile
config.status: creating m2/examples/swig/exceptions/Makefile
config.status: creating m2/examples/swig/full-strlib/Makefile
config.status: creating m2/examples/swig/strlib/Makefile
config.status: creating m2/examples/swig/strio/Makefile
config.status: creating m2/examples/swig/tiny/Makefile
config.status: creating m2/examples/swig/dual/Makefile
if [ x"-fPIC" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/alloca.c -o pic/alloca.o; \
else true; fi
g++  -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long  -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2  -DPACKAGE_SUFFIX=\"-10\" -c -o errors.o -MT errors.o -MMD -MP -MF .deps/errors.Tpo ../../../src/libcpp/errors.c
config.status: creating m2/www/Makefile
config.status: creating auto-host.h
config.status: executing depdir commands
mkdir -p -- .deps
config.status: executing gccdepdir commands
mkdir -p -- build/.deps
mkdir -p -- ada/.deps
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/alloca.c -o noasan/alloca.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/alloca.c -o alloca.o
mkdir -p -- brig/.deps
mkdir -p -- c/.deps
mkdir -p -- cp/.deps
mkdir -p -- d/.deps
mkdir -p -- fortran/.deps
mkdir -p -- go/.deps
mkdir -p -- jit/.deps
mkdir -p -- lto/.deps
mkdir -p -- m2/.deps
mkdir -p -- objc/.deps
mkdir -p -- objcp/.deps
mkdir -p -- vhdl/.deps
mkdir -p -- c-family/.deps
mkdir -p -- common/.deps
mkdir -p -- analyzer/.deps
if [ x"-fPIC" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/argv.c -o pic/argv.o; \
else true; fi
config.status: executing default commands
if [ x"-fPIC" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/choose-temp.c -o pic/choose-temp.o; \
else true; fi
g++  -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long  -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2  -DPACKAGE_SUFFIX=\"-10\" -c -o expr.o -MT expr.o -MMD -MP -MF .deps/expr.Tpo ../../../src/libcpp/expr.c
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/choose-temp.c -o noasan/choose-temp.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/choose-temp.c -o choose-temp.o
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/argv.c -o noasan/argv.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/argv.c -o argv.o
mkdir -p -- ./libcc1
Configuring in ./libcc1
configure: creating cache ./config.cache
checking build system type... armv7l-unknown-linux-gnueabihf
checking host system type... armv7l-unknown-linux-gnueabihf
checking target system type... armv7l-unknown-linux-gnueabihf
checking for armv7l-unknown-linux-gnueabihf-gcc... gnatgcc
if [ x"-fPIC" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/concat.c -o pic/concat.o; \
else true; fi
checking whether the C compiler works... if [ x"-fPIC" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/cp-demint.c -o pic/cp-demint.o; \
else true; fi
yes
checking for C compiler default output file name... a.out
checking for suffix of executables... if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/concat.c -o noasan/concat.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/concat.c -o concat.o

checking whether we are cross compiling... if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/cp-demint.c -o noasan/cp-demint.o; \
else true; fi
gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/cp-demint.c -o cp-demint.o
no
checking for suffix of object files... if [ x"-fPIC" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/crc32.c -o pic/crc32.o; \
else true; fi
o
checking whether we are using the GNU C compiler... if [ x"-fPIC" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC ../../src/libiberty/d-demangle.c -o pic/d-demangle.o; \
else true; fi
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/crc32.c -o noasan/crc32.o; \
else true; fi
yes
checking whether gnatgcc accepts -g... gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/crc32.c -o crc32.o
yes
checking for gnatgcc option to accept ISO C89... g++  -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long  -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2  -DPACKAGE_SUFFIX=\"-10\" -c -o files.o -MT files.o -MMD -MP -MF .deps/files.Tpo ../../../src/libcpp/files.c
none needed
checking whether gnatgcc understands -c and -o together... yes
checking how to run the C preprocessor... gnatgcc -E
checking for grep that handles long lines and -e... /bin/grep
checking for egrep... /bin/grep -E
checking for ANSI C header files... yes
checking for sys/types.h... yes
checking for sys/stat.h... yes
checking for stdlib.h... yes
checking for string.h... yes
checking for memory.h... yes
checking for strings.h... yes
checking for inttypes.h... yes
checking for stdint.h... yes
checking for unistd.h... yes
checking minix/config.h usability... no
checking minix/config.h presence... no
checking for minix/config.h... no
checking whether it is safe to define __EXTENSIONS__... yes
if [ x"" != x ]; then \
  gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  -fPIC  ../../src/libiberty/d-demangle.c -o noasan/d-demangle.o; \
else true; fi
checking for a BSD-compatible install... /usr/bin/install -c
checking whether build environment is sane... gnatgcc -c -DHAVE_CONFIG_H -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include  -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic  -D_GNU_SOURCE  ../../src/libiberty/d-demangle.c -o d-demangle.o
yes
checking for a thread-safe mkdir -p... /bin/mkdir -p
checking for gawk... gawk
checking whether /usr/bin/make sets $(MAKE)... yes
checking for style of include used by /usr/bin/make... GNU
checking whether /usr/bin/make supports nested variables... yes
checking dependency style of gnatgcc... gcc3
checking whether to enable maintainer-specific portions of Makefiles... no
checking how to print strings... printf
checking for a sed that does not truncate output... /bin/sed
checking for fgrep... /bin/grep -F
checking for ld used by gnatgcc... ld
checking if the linker (ld) is GNU ld... yes
checking for BSD- or MS-compatible name lister (nm)... nm
checking the name lister (nm) interface... g++  -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long  -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2  -DPACKAGE_SUFFIX=\"-10\" -c -o identifiers.o -MT identifiers.o -MMD -MP -MF .deps/identifiers.Tpo ../../../src/libcpp/identifiers.c
BSD nm
checking whether ln -s works... yes
checking the maximum length of command line arguments... 1572864
checking whether the shell understands some XSI constructs... yes
checking whether the shell understands "+="... yes
checking for ld option to reload object files... -r
checking for armv7l-unknown-linux-gnueabihf-objdump... objdump
checking how to recognize dependent libraries... pass_all
checking for armv7l-unknown-linux-gnueabihf-ar... ar
checking for armv7l-unknown-linux-gnueabihf-strip... no
checking for strip... strip
checking for armv7l-unknown-linux-gnueabihf-ranlib... ranlib
checking command to parse nm output from gnatgcc object... echo "#define LOCALEDIR \"/usr/lib/ghdl/gcc/share/locale\"" > localedir.new
../../../src/libcpp/../move-if-change localedir.new localedir.h
echo timestamp > localedir.hs
g++  -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long  -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2  -DPACKAGE_SUFFIX=\"-10\" -c -o lex.o -MT lex.o -MMD -MP -MF .deps/lex.Tpo ../../../src/libcpp/lex.c
ok
checking for dlfcn.h... yes
checking for objdir... .libs
g++  -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long  -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2  -DPACKAGE_SUFFIX=\"-10\" -c -o line-map.o -MT line-map.o -MMD -MP -MF .deps/line-map.Tpo ../../../src/libcpp/line-map.c
checking if gnatgcc supports -fno-rtti -fno-exceptions... no
checking for gnatgcc option to produce PIC... -fPIC -DPIC
checking if gnatgcc PIC flag -fPIC -DPIC works... yes
checking if gnatgcc static flag -static works... yes
checking if gnatgcc supports -c -o file.o... yes
checking if gnatgcc supports -c -o file.o... (cached) yes
checking whether the gnatgcc linker (ld) supports shared libraries... yes
checking whether -lc should be explicitly linked in... no
checking dynamic linker characteristics... GNU/Linux ld.so
checking how to hardcode library paths into programs... immediate
checking whether stripping libraries is possible... yes
checking if libtool supports shared libraries... yes
checking whether to build shared libraries... yes
checking whether to build static libraries... no
checking whether we are using the GNU C++ compiler... yes
checking whether g++ accepts -g... yes
checking dependency style of g++... gcc3
checking how to run the C++ preprocessor... g++ -E
rm -f ./libiberty.a pic/./libiberty.a noasan/./libiberty.a
ar rc ./libiberty.a \
  ./regex.o ./cplus-dem.o ./cp-demangle.o ./md5.o ./sha1.o ./alloca.o ./argv.o ./choose-temp.o ./concat.o ./cp-demint.o ./crc32.o ./d-demangle.o ./dwarfnames.o ./dyn-string.o ./fdmatch.o ./fibheap.o ./filedescriptor.o ./filename_cmp.o ./floatformat.o ./fnmatch.o ./fopen_unlocked.o ./getopt.o ./getopt1.o ./getpwd.o ./getruntime.o ./hashtab.o ./hex.o ./lbasename.o ./lrealpath.o ./make-relative-prefix.o ./make-temp-file.o ./objalloc.o ./obstack.o ./partition.o ./pexecute.o ./physmem.o ./pex-common.o ./pex-one.o ./pex-unix.o ./vprintf-support.o ./rust-demangle.o ./safe-ctype.o ./simple-object.o ./simple-object-coff.o ./simple-object-elf.o ./simple-object-mach-o.o ./simple-object-xcoff.o ./sort.o ./spaces.o ./splay-tree.o ./stack-limit.o ./strerror.o ./strsignal.o ./timeval-utils.o ./unlink-if-ordinary.o ./xasprintf.o ./xatexit.o ./xexit.o ./xmalloc.o ./xmemdup.o ./xstrdup.o ./xstrerror.o ./xstrndup.o ./xvasprintf.o  ./setproctitle.o
ranlib ./libiberty.a
checking for ld used by g++... ld
checking if the linker (ld) is GNU ld... yes
checking whether the g++ linker (ld) supports shared libraries... if [ x"-fPIC" != x ]; then \
  cd pic; \
  ar rc ./libiberty.a \
    ./regex.o ./cplus-dem.o ./cp-demangle.o ./md5.o ./sha1.o ./alloca.o ./argv.o ./choose-temp.o ./concat.o ./cp-demint.o ./crc32.o ./d-demangle.o ./dwarfnames.o ./dyn-string.o ./fdmatch.o ./fibheap.o ./filedescriptor.o ./filename_cmp.o ./floatformat.o ./fnmatch.o ./fopen_unlocked.o ./getopt.o ./getopt1.o ./getpwd.o ./getruntime.o ./hashtab.o ./hex.o ./lbasename.o ./lrealpath.o ./make-relative-prefix.o ./make-temp-file.o ./objalloc.o ./obstack.o ./partition.o ./pexecute.o ./physmem.o ./pex-common.o ./pex-one.o ./pex-unix.o ./vprintf-support.o ./rust-demangle.o ./safe-ctype.o ./simple-object.o ./simple-object-coff.o ./simple-object-elf.o ./simple-object-mach-o.o ./simple-object-xcoff.o ./sort.o ./spaces.o ./splay-tree.o ./stack-limit.o ./strerror.o ./strsignal.o ./timeval-utils.o ./unlink-if-ordinary.o ./xasprintf.o ./xatexit.o ./xexit.o ./xmalloc.o ./xmemdup.o ./xstrdup.o ./xstrerror.o ./xstrndup.o ./xvasprintf.o  ./setproctitle.o; \
  ranlib ./libiberty.a; \
  cd ..; \
else true; fi; \
if [ x"" != x ]; then \
  cd noasan; \
  ar rc ./libiberty.a \
    ./regex.o ./cplus-dem.o ./cp-demangle.o ./md5.o ./sha1.o ./alloca.o ./argv.o ./choose-temp.o ./concat.o ./cp-demint.o ./crc32.o ./d-demangle.o ./dwarfnames.o ./dyn-string.o ./fdmatch.o ./fibheap.o ./filedescriptor.o ./filename_cmp.o ./floatformat.o ./fnmatch.o ./fopen_unlocked.o ./getopt.o ./getopt1.o ./getpwd.o ./getruntime.o ./hashtab.o ./hex.o ./lbasename.o ./lrealpath.o ./make-relative-prefix.o ./make-temp-file.o ./objalloc.o ./obstack.o ./partition.o ./pexecute.o ./physmem.o ./pex-common.o ./pex-one.o ./pex-unix.o ./vprintf-support.o ./rust-demangle.o ./safe-ctype.o ./simple-object.o ./simple-object-coff.o ./simple-object-elf.o ./simple-object-mach-o.o ./simple-object-xcoff.o ./sort.o ./spaces.o ./splay-tree.o ./stack-limit.o ./strerror.o ./strsignal.o ./timeval-utils.o ./unlink-if-ordinary.o ./xasprintf.o ./xatexit.o ./xexit.o ./xmalloc.o ./xmemdup.o ./xstrdup.o ./xstrerror.o ./xstrndup.o ./xvasprintf.o  ./setproctitle.o; \
  ranlib ./libiberty.a; \
  cd ..; \
else true; fi
yes
make[4]: Leaving directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/libiberty'
checking for g++ option to produce PIC... -fPIC -DPIC
checking if g++ PIC flag -fPIC -DPIC works... make[4]: Entering directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/fixincludes'
gnatgcc -c -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long  -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -I. -I../../src/fixincludes -I../include -I../../src/fixincludes/../include ../../src/fixincludes/fixincl.c
yes
checking if g++ static flag -static works... yes
checking if g++ supports -c -o file.o... yes
checking if g++ supports -c -o file.o... (cached) yes
checking whether the g++ linker (ld) supports shared libraries... yes
checking dynamic linker characteristics... (cached) GNU/Linux ld.so
checking how to hardcode library paths into programs... immediate
checking whether basename is declared... yes
checking whether gnatgcc supports -W... yes
checking whether gnatgcc supports -Wall... yes
checking for objdump... /usr/bin/objdump
checking what objdump to use... /usr/bin/objdump
checking for socket libraries... checking for connect... gnatgcc -c -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long  -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -I. -I../../src/fixincludes -I../include -I../../src/fixincludes/../include ../../src/fixincludes/fixtests.c
yes
checking for gethostbyname... g++  -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long  -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2  -DPACKAGE_SUFFIX=\"-10\" -c -o macro.o -MT macro.o -MMD -MP -MF .deps/macro.Tpo ../../../src/libcpp/macro.c
yes

checking for exported symbols... yes
checking for -rdynamic... yes
checking for library containing dlopen... gnatgcc -c -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long  -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -I. -I../../src/fixincludes -I../include -I../../src/fixincludes/../include ../../src/fixincludes/fixfixes.c
-ldl
checking for -fPIC -shared... yes
checking for socketpair... yes
checking for select... yes
checking for fork... make[4]: Entering directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/libcpp'
g++  -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long  -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2  -DPACKAGE_SUFFIX=\"-10\" -c -o charset.o -MT charset.o -MMD -MP -MF .deps/charset.Tpo ../../src/libcpp/charset.c
yes
gnatgcc -c -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long  -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -I. -I../../src/fixincludes -I../include -I../../src/fixincludes/../include ../../src/fixincludes/server.c
configure: updating cache ./config.cache
checking that generated files are newer than configure... done
configure: creating ./config.status
gnatgcc -c -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long  -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -I. -I../../src/fixincludes -I../include -I../../src/fixincludes/../include ../../src/fixincludes/procopen.c
gnatgcc -c -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long  -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -I. -I../../src/fixincludes -I../include -I../../src/fixincludes/../include ../../src/fixincludes/fixlib.c
gnatgcc -c -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long  -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -I. -I../../src/fixincludes -I../include -I../../src/fixincludes/../include ../../src/fixincludes/fixopts.c
srcdir="../../src/fixincludes" /bin/bash ../../src/fixincludes/mkfixinc.sh armv7l-unknown-linux-gnueabihf
sed -e 's/@gcc_version@/10.3.0/' < mkheaders.almost > mkheadersT
mv -f mkheadersT mkheaders
gnatgcc -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -static-libstdc++ -static-libgcc -Wl,-z,relro -Wl,-z,now -o fixincl fixincl.o fixtests.o fixfixes.o server.o procopen.o fixlib.o fixopts.o ../libiberty/libiberty.a
echo timestamp > full-stamp
make[4]: Leaving directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/fixincludes'
g++  -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long  -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2  -DPACKAGE_SUFFIX=\"-10\" -c -o mkdeps.o -MT mkdeps.o -MMD -MP -MF .deps/mkdeps.Tpo ../../../src/libcpp/mkdeps.c
g++  -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long  -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2  -DPACKAGE_SUFFIX=\"-10\" -c -o directives.o -MT directives.o -MMD -MP -MF .deps/directives.Tpo ../../src/libcpp/directives.c
config.status: creating Makefile
config.status: creating cc1plugin-config.h
config.status: executing depfiles commands
config.status: executing libtool commands
g++  -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long  -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2  -DPACKAGE_SUFFIX=\"-10\" -c -o directives-only.o -MT directives-only.o -MMD -MP -MF .deps/directives-only.Tpo ../../src/libcpp/directives-only.c
g++  -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long  -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2  -DPACKAGE_SUFFIX=\"-10\" -c -o pch.o -MT pch.o -MMD -MP -MF .deps/pch.Tpo ../../../src/libcpp/pch.c
g++  -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long  -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2  -DPACKAGE_SUFFIX=\"-10\" -c -o symtab.o -MT symtab.o -MMD -MP -MF .deps/symtab.Tpo ../../../src/libcpp/symtab.c
g++  -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long  -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2  -DPACKAGE_SUFFIX=\"-10\" -c -o traditional.o -MT traditional.o -MMD -MP -MF .deps/traditional.Tpo ../../../src/libcpp/traditional.c
g++  -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long  -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2  -DPACKAGE_SUFFIX=\"-10\" -c -o errors.o -MT errors.o -MMD -MP -MF .deps/errors.Tpo ../../src/libcpp/errors.c
g++  -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long  -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2  -DPACKAGE_SUFFIX=\"-10\" -c -o init.o -MT init.o -MMD -MP -MF .deps/init.Tpo ../../../src/libcpp/init.c
g++  -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long  -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2  -DPACKAGE_SUFFIX=\"-10\" -c -o expr.o -MT expr.o -MMD -MP -MF .deps/expr.Tpo ../../src/libcpp/expr.c
g++  -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long  -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2  -DPACKAGE_SUFFIX=\"-10\" -c -o files.o -MT files.o -MMD -MP -MF .deps/files.Tpo ../../src/libcpp/files.c
g++  -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long  -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2  -DPACKAGE_SUFFIX=\"-10\" -c -o identifiers.o -MT identifiers.o -MMD -MP -MF .deps/identifiers.Tpo ../../src/libcpp/identifiers.c
rm -f libcpp.a
ar cru libcpp.a charset.o directives.o directives-only.o errors.o expr.o files.o identifiers.o init.o lex.o line-map.o macro.o mkdeps.o pch.o symtab.o traditional.o
ar: `u' modifier ignored since `D' is the default (see `U')
ranlib libcpp.a
make[4]: Leaving directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/build-armv7l-unknown-linux-gnueabihf/libcpp'
echo "#define LOCALEDIR \"/usr/lib/ghdl/gcc/share/locale\"" > localedir.new
../../src/libcpp/../move-if-change localedir.new localedir.h
echo timestamp > localedir.hs
g++  -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long  -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2  -DPACKAGE_SUFFIX=\"-10\" -c -o lex.o -MT lex.o -MMD -MP -MF .deps/lex.Tpo ../../src/libcpp/lex.c
g++  -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long  -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2  -DPACKAGE_SUFFIX=\"-10\" -c -o line-map.o -MT line-map.o -MMD -MP -MF .deps/line-map.Tpo ../../src/libcpp/line-map.c
g++  -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long  -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2  -DPACKAGE_SUFFIX=\"-10\" -c -o macro.o -MT macro.o -MMD -MP -MF .deps/macro.Tpo ../../src/libcpp/macro.c
g++  -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long  -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2  -DPACKAGE_SUFFIX=\"-10\" -c -o mkdeps.o -MT mkdeps.o -MMD -MP -MF .deps/mkdeps.Tpo ../../src/libcpp/mkdeps.c
g++  -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long  -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2  -DPACKAGE_SUFFIX=\"-10\" -c -o pch.o -MT pch.o -MMD -MP -MF .deps/pch.Tpo ../../src/libcpp/pch.c
g++  -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long  -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2  -DPACKAGE_SUFFIX=\"-10\" -c -o symtab.o -MT symtab.o -MMD -MP -MF .deps/symtab.Tpo ../../src/libcpp/symtab.c
g++  -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long  -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2  -DPACKAGE_SUFFIX=\"-10\" -c -o traditional.o -MT traditional.o -MMD -MP -MF .deps/traditional.Tpo ../../src/libcpp/traditional.c
g++  -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long  -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2  -DPACKAGE_SUFFIX=\"-10\" -c -o init.o -MT init.o -MMD -MP -MF .deps/init.Tpo ../../src/libcpp/init.c
rm -f libcpp.a
ar cru libcpp.a charset.o directives.o directives-only.o errors.o expr.o files.o identifiers.o init.o lex.o line-map.o macro.o mkdeps.o pch.o symtab.o traditional.o
ar: `u' modifier ignored since `D' is the default (see `U')
ranlib libcpp.a
make[4]: Leaving directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/libcpp'
make[4]: Entering directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc'
TARGET_CPU_DEFAULT="" \
HEADERS="auto-host.h ansidecl.h" DEFINES="" \
/bin/bash ../../src/gcc/mkconfig.sh config.h
TARGET_CPU_DEFAULT="\"arm10tdmi\"" \
HEADERS="options.h insn-constants.h config/vxworks-dummy.h config/dbxelf.h config/elfos.h config/gnu-user.h config/linux.h config/linux-android.h config/glibc-stdint.h config/arm/elf.h config/arm/linux-gas.h config/arm/linux-elf.h config/arm/bpabi.h config/arm/linux-eabi.h config/arm/aout.h config/arm/arm.h config/initfini-array.h defaults.h" DEFINES="LIBC_GLIBC=1 LIBC_UCLIBC=2 LIBC_BIONIC=3 LIBC_MUSL=4 DEFAULT_LIBC=LIBC_GLIBC ANDROID_DEFAULT=0" \
/bin/bash ../../src/gcc/mkconfig.sh tm.h
TARGET_CPU_DEFAULT="" \
HEADERS="config/arm/arm-flags.h config/arm/arm-protos.h config/arm/aarch-common-protos.h config/linux-protos.h tm-preds.h" DEFINES="" \
/bin/bash ../../src/gcc/mkconfig.sh tm_p.h
gawk -f ../../src/gcc/config/arm/parsecpu.awk -v cmd=md \
	../../src/gcc/config/arm/arm-cpus.in > arm-tune.new
TARGET_CPU_DEFAULT="" \
HEADERS="auto-host.h ansidecl.h" DEFINES="" \
/bin/bash ../../src/gcc/mkconfig.sh bconfig.h
g++ -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include  -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \
-DBASEVER="\"10.3.0\"" -DDATESTAMP="\"\"" \
-DREVISION="\"\"" \
-DDEVPHASE="\"\"" -DPKGVERSION="\"(GCC) \"" \
-DBUGURL="\"<https://gcc.gnu.org/bugs/>\"" -o build/version.o ../../src/gcc/version.c
gawk -f ../../src/gcc/config/arm/parsecpu.awk -v cmd=opt \
	../../src/gcc/config/arm/arm-cpus.in > arm-tables.new
../../src/gcc/../move-if-change arm-tune.new \
			    ../../src/gcc/config/arm/arm-tune.md
../../src/gcc/../move-if-change arm-tables.new \
			    ../../src/gcc/config/arm/arm-tables.opt
gawk -f ../../src/gcc/config/arm/parsecpu.awk -v cmd=headers \
	../../src/gcc/config/arm/arm-cpus.in > tmp-arm-cpu.h
gawk -f ../../src/gcc/config/arm/parsecpu.awk -v cmd=isa \
	../../src/gcc/config/arm/arm-cpus.in > tmp-arm-isa.h
/bin/bash ../../src/gcc/../move-if-change tmp-gi.list gtyp-input.list
echo "#define BUILDING_GCC_MAJOR `echo 10.3.0 | sed -e 's/^\([0-9]*\).*$/\1/'`" > bversion.h
echo "#define BUILDING_GCC_MINOR `echo 10.3.0 | sed -e 's/^[0-9]*\.\([0-9]*\).*$/\1/'`" >> bversion.h
echo timestamp > s-gtyp-input
TARGET_CPU_DEFAULT="" \
HEADERS="options.h insn-constants.h config/arm/arm.h config/arm/arm-protos.h defaults.h" DEFINES="" \
/bin/bash ../../src/gcc/mkconfig.sh tm_d.h
echo "#define BUILDING_GCC_PATCHLEVEL `echo 10.3.0 | sed -e 's/^[0-9]*\.[0-9]*\.\([0-9]*\)$/\1/'`" >> bversion.h
echo "#define BUILDING_GCC_VERSION (BUILDING_GCC_MAJOR * 1000 + BUILDING_GCC_MINOR)" >> bversion.h
if test no = yes \
   || test -n ""; then \
  /bin/bash ../../src/gcc/genmultilib \
    "" \
    "" \
    "" \
    "" \
    "" \
    "" \
    "" \
    "" \
    "arm-linux-gnueabi" \
    "" \
    "no" \
    > tmp-mlib.h; \
else \
  /bin/bash ../../src/gcc/genmultilib '' '' '' '' '' '' '' '' \
    "arm-linux-gnueabi" '' no \
    > tmp-mlib.h; \
fi
echo timestamp > s-bversion
/bin/bash ../../src/gcc/../move-if-change tmp-arm-cpu.h arm-cpu.h
lsf="../../src/gcc/vhdl/lang-specs.h "; for f in $lsf; do \
    echo "#include \"$f\""; \
done | sed 's|../../src/gcc/||' > tmp-specs.h
/bin/bash ../../src/gcc/../move-if-change tmp-specs.h specs.h
echo timestamp > s-arm-cpu
rm -f tmp-all-tree.def
echo timestamp > s-specs
echo '#include "tree.def"' > tmp-all-tree.def
gawk -f ../../src/gcc/gen-pass-instances.awk \
  ../../src/gcc/passes.def  > pass-instances.def
echo 'END_OF_BASE_TREE_CODES' >> tmp-all-tree.def
echo '#include "c-family/c-common.def"' >> tmp-all-tree.def
ltf="../../src/gcc/ada/gcc-interface/ada-tree.def ../../src/gcc/cp/cp-tree.def ../../src/gcc/d/d-tree.def ../../src/gcc/m2/m2-tree.def ../../src/gcc/objc/objc-tree.def"; for f in $ltf; do \
  echo "#include \"$f\""; \
done | sed 's|../../src/gcc/||' >> tmp-all-tree.def
/bin/bash ../../src/gcc/../move-if-change tmp-all-tree.def all-tree.def
/bin/bash ../../src/gcc/../move-if-change tmp-mlib.h multilib.h
rm -f tmp-omp-device-properties.h; \
for kind in kind arch isa; do \
  echo 'const char omp_offload_device_'${kind}'[] = ' \
    >> tmp-omp-device-properties.h; \
  for prop in none ; do \
    [ "$prop" = "none" ] && continue; \
    tgt=`echo "$prop" | sed 's/=.*$//'`; \
    props=`echo "$prop" | sed 's/.*=//'`; \
    echo "\"$tgt\\0\"" >> tmp-omp-device-properties.h; \
    sed -n 's/^'${kind}': //p' ${props} \
      | sed 's/[[:blank:]]/ /g;s/  */ /g;s/^ //;s/ $//;s/ /\\0/g;s/^/"/;s/$/\\0\\0"/' \
      >> tmp-omp-device-properties.h; \
  done; \
  echo '"";' >> tmp-omp-device-properties.h; \
done; \
/bin/bash ../../src/gcc/../move-if-change tmp-omp-device-properties.h \
  omp-device-properties.h
/bin/bash ../../src/gcc/../move-if-change tmp-arm-isa.h arm-isa.h
echo timestamp > s-alltree
echo timestamp > s-mlib
echo "g++ -no-pie   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc -Wl,-z,relro -Wl,-z,now" > checksum-options.tmp \
&& ../../src/gcc/../move-if-change checksum-options.tmp checksum-options
echo timestamp > s-omp-device-properties-h
echo timestamp > s-arm-isa
gawk -f ../../src/gcc/config/arm/parsecpu.awk -v cmd=data \
	../../src/gcc/config/arm/arm-cpus.in > tmp-arm-cpu-data.h
gawk -f ../../src/gcc/config/arm/parsecpu.awk -v cmd=common-data \
	../../src/gcc/config/arm/arm-cpus.in > tmp-arm-cpu-cdata.h
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag    -DHAVE_CONFIG_H -I. -Ivhdl -I../../src/gcc -I../../src/gcc/vhdl -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o vhdl/grt-cstdio.o -MT vhdl/grt-cstdio.o -MMD -MP -MF vhdl/.deps/grt-cstdio.TPo ../../src/gcc/vhdl/grt-cstdio.c
echo "--  DO NOT EDIT" > tmp-dpaths.ads
echo "--  This file is created by Makefile" >> tmp-dpaths.ads
echo "package Default_Paths is" >> tmp-dpaths.ads
echo "   --  Accept long lines."  >> tmp-dpaths.ads
echo "   pragma Style_Checks (\"M999\");"  >> tmp-dpaths.ads
echo "   Install_Prefix : constant String :=" >> tmp-dpaths.ads
echo "     \"/usr/lib/ghdl/gcc\";" >> tmp-dpaths.ads
suffix=`expr @"/usr/lib/ghdl/gcc/libexec" : @"/usr/lib/ghdl/gcc/\(.*\)"`; \
if test x"$suffix" = x; then suffix="/usr/lib/ghdl/gcc/libexec"; fi; \
echo "   Compiler_Gcc   : constant String :=" >> tmp-dpaths.ads; \
echo "     \"$suffix/gcc/armv7l-unknown-linux-gnueabihf/10.3.0/ghdl1\";" >> tmp-dpaths.ads
echo "   Compiler_Debug : constant String := \"\";" >> tmp-dpaths.ads
echo "   Compiler_Mcode : constant String := \"\";" >> tmp-dpaths.ads
echo "   Compiler_Llvm  : constant String := \"\";" >> tmp-dpaths.ads
echo "   Post_Processor : constant String := \"\";" >> tmp-dpaths.ads
echo "   Lib_Prefix     : constant String :=">> tmp-dpaths.ads
echo "     \"lib/ghdl/gcc\";" >> tmp-dpaths.ads
echo "   Inc_Prefix     : constant String :=" >> tmp-dpaths.ads
echo "     \"lib/ghdl/include\";" >> tmp-dpaths.ads
/bin/bash ../../src/gcc/../move-if-change tmp-arm-cpu-data.h arm-cpu-data.h
echo "   Shared_Library_Extension : constant String :=">> tmp-dpaths.ads
echo "     \".so\";" >> tmp-dpaths.ads
echo "   Default_Pie : constant Boolean := False;" >> tmp-dpaths.ads
echo "end Default_Paths;" >> tmp-dpaths.ads
echo timestamp > s-arm-data
../../src/gcc/../move-if-change tmp-dpaths.ads vhdl/default_paths.ads
cp ../../src/gcc/gcc-ar.c gcc-nm.c
cp ../../src/gcc/gcc-ar.c gcc-ranlib.c
gawk -f ../../src/gcc/config/arm/parsecpu.awk -v cmd=native \
	../../src/gcc/config/arm/arm-cpus.in > tmp-arm-native.h
TARGET_CPU_DEFAULT="" \
HEADERS="auto-host.h ansidecl.h" DEFINES="USED_FOR_TARGET " \
/bin/bash ../../src/gcc/mkconfig.sh tconfig.h
(echo "@set version-GCC 10.3.0"; \
 if [ "" = "experimental" ]; \
 then echo "@set DEVELOPMENT"; \
 else echo "@clear DEVELOPMENT"; \
 fi) > gcc-vers.texiT
echo @set srcdir /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/../../src/gcc >> gcc-vers.texiT
if [ -n "(GCC) " ]; then \
  echo "@set VERSION_PACKAGE (GCC) " >> gcc-vers.texiT; \
fi
echo "@set BUGURL @uref{https://gcc.gnu.org/bugs/}" >> gcc-vers.texiT; \
mv -f gcc-vers.texiT gcc-vers.texi
/bin/bash ../../src/gcc/../move-if-change tmp-arm-native.h arm-native.h
LC_ALL=C ; export LC_ALL ; \
gawk -f ../../src/gcc/opt-gather.awk ../../src/gcc/ada/gcc-interface/lang.opt ../../src/gcc/brig/lang.opt ../../src/gcc/d/lang.opt ../../src/gcc/fortran/lang.opt ../../src/gcc/go/lang.opt ../../src/gcc/lto/lang.opt ../../src/gcc/m2/lang.opt ../../src/gcc/vhdl/lang.opt ../../src/gcc/c-family/c.opt ../../src/gcc/common.opt ../../src/gcc/params.opt ../../src/gcc/analyzer/analyzer.opt  ../../src/gcc/config/arm/arm-tables.opt ../../src/gcc/config/arm/arm.opt ../../src/gcc/config/gnu-user.opt ../../src/gcc/config/linux.opt ../../src/gcc/config/linux-android.opt > tmp-optionlist
echo timestamp > s-arm-native
g++ -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include  -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \
	-o build/gengenrtl.o ../../src/gcc/gengenrtl.c
g++ -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include  -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \
	-o build/sort.o ../../src/gcc/sort.cc
/bin/bash ../../src/gcc/../move-if-change tmp-arm-cpu-cdata.h arm-cpu-cdata.h
echo timestamp > s-arm-cdata
g++ -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include  -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \
	-o build/genhooks.o ../../src/gcc/genhooks.c
g++ -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include  -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \
	-o build/genchecksum.o ../../src/gcc/genchecksum.c
echo timestamp > gcc.pod
perl ../../src/gcc/../contrib/texi2pod.pl ../../src/gcc/doc/invoke.texi > gcc.pod
No filename or title
make[4]: [Makefile:3408: gcc.pod] Error 255 (ignored)
g++ -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include  -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \
	-o build/genmodes.o ../../src/gcc/genmodes.c
../../src/gcc/genhooks.c: In function 'void emit_documentation(const char*)':
../../src/gcc/genhooks.c:131:17: warning: ignoring return value of 'int fscanf(FILE*, const char*, ...)' declared with attribute 'warn_unused_result' [-Wunused-result]
  131 |   while (fscanf (f, "%*[^@]"), buf[0] = '\0',
      |          ~~~~~~~^~~~~~~~~~~~~
../../src/gcc/genhooks.c:140:14: warning: ignoring return value of 'int fscanf(FILE*, const char*, ...)' declared with attribute 'warn_unused_result' [-Wunused-result]
  140 |       fscanf (f, "%999s", buf);
      |       ~~~~~~~^~~~~~~~~~~~~~~~~
../../src/gcc/genhooks.c:193:14: warning: ignoring return value of 'int fscanf(FILE*, const char*, ...)' declared with attribute 'warn_unused_result' [-Wunused-result]
  193 |       fscanf (f, "%5[^ \n]", buf);
      |       ~~~~~~~^~~~~~~~~~~~~~~~~~~~
../../src/gcc/genhooks.c:199:14: warning: ignoring return value of 'int fscanf(FILE*, const char*, ...)' declared with attribute 'warn_unused_result' [-Wunused-result]
  199 |       fscanf (f, "%999s", buf);
      |       ~~~~~~~^~~~~~~~~~~~~~~~~
g++ -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include  -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \
	-o build/errors.o ../../src/gcc/errors.c
g++ -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include  -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \
	-o build/gengtype.o ../../src/gcc/gengtype.c
g++ -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings  -Wno-error -DHAVE_CONFIG_H  -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include  -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \
	-o build/gengtype-lex.o ../../src/gcc/gengtype-lex.c
/home/rguenther/src/releases/gcc-10.3.0/gcc-10.3.0/gcc/gengtype-lex.l: In function 'int yylex(const char**)':
gengtype-lex.c:356:15: warning: this statement may fall through [-Wimplicit-fallthrough=]
/home/rguenther/src/releases/gcc-10.3.0/gcc-10.3.0/gcc/gengtype-lex.l:119:1: note: in expansion of macro 'YY_DO_BEFORE_ACTION'
/home/rguenther/src/releases/gcc-10.3.0/gcc-10.3.0/gcc/gengtype-lex.l:114:1: note: here
gengtype-lex.c:356:15: warning: this statement may fall through [-Wimplicit-fallthrough=]
/home/rguenther/src/releases/gcc-10.3.0/gcc-10.3.0/gcc/gengtype-lex.l:136:1: note: in expansion of macro 'YY_DO_BEFORE_ACTION'
/home/rguenther/src/releases/gcc-10.3.0/gcc-10.3.0/gcc/gengtype-lex.l:132:1: note: here
/bin/bash ../../src/gcc/../move-if-change tmp-optionlist optionlist
echo timestamp > s-options
g++ -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include  -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \
	-o build/gengtype-parse.o ../../src/gcc/gengtype-parse.c
g++ -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include  -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \
	-o build/gengtype-state.o ../../src/gcc/gengtype-state.c
g++   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -fno-PIE -static-libstdc++ -static-libgcc -Wl,-z,relro -Wl,-z,now -no-pie -o build/gengenrtl \
    build/gengenrtl.o build/errors.o ../build-armv7l-unknown-linux-gnueabihf/libiberty/libiberty.a
g++   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -fno-PIE -static-libstdc++ -static-libgcc -Wl,-z,relro -Wl,-z,now -no-pie -o build/genhooks \
    build/genhooks.o build/errors.o ../build-armv7l-unknown-linux-gnueabihf/libiberty/libiberty.a
g++   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -fno-PIE -static-libstdc++ -static-libgcc -Wl,-z,relro -Wl,-z,now -no-pie -o build/genchecksum \
    build/genchecksum.o ../build-armv7l-unknown-linux-gnueabihf/libiberty/libiberty.a
gawk -f ../../src/gcc/opt-functions.awk -f ../../src/gcc/opt-read.awk \
       -f ../../src/gcc/optc-save-gen.awk \
       -v header_name="config.h system.h coretypes.h tm.h" < optionlist > options-save.c
gawk -f ../../src/gcc/opt-functions.awk -f ../../src/gcc/opt-read.awk \
       -f ../../src/gcc/optc-gen.awk \
       -v header_name="config.h system.h coretypes.h options.h tm.h" < optionlist > options.c
echo timestamp > doc/gcc.1
(pod2man --center="GNU" --release="gcc-10.3.0" --date=2021-09-18 --section=1 gcc.pod > doc/gcc.1.T$$ && \
	mv -f doc/gcc.1.T$$ doc/gcc.1) || \
	(rm -f doc/gcc.1.T$$ && exit 1)
pod2man: unable to format gcc.pod
make[4]: [Makefile:3386: doc/gcc.1] Error 1 (ignored)
g++   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -fno-PIE -static-libstdc++ -static-libgcc -Wl,-z,relro -Wl,-z,now -no-pie -o build/genmodes \
    build/genmodes.o build/errors.o ../build-armv7l-unknown-linux-gnueabihf/libiberty/libiberty.a
gawk -f ../../src/gcc/opt-functions.awk -f ../../src/gcc/opt-read.awk \
       -f ../../src/gcc/opth-gen.awk \
       < optionlist > tmp-options.h
/bin/bash ../../src/gcc/../move-if-change tmp-options.h options.h
echo timestamp > s-options-h
build/gengenrtl > tmp-genrtl.h
/bin/bash ../../src/gcc/../move-if-change tmp-genrtl.h genrtl.h
echo timestamp > s-genrtl-h
build/genmodes -m > tmp-min-modes.c
/bin/bash ../../src/gcc/../move-if-change tmp-min-modes.c min-insn-modes.c
echo timestamp > s-modes-m
build/genhooks "Target Hook" \
				     > tmp-target-hooks-def.h
/bin/bash ../../src/gcc/../move-if-change tmp-target-hooks-def.h \
				     target-hooks-def.h
echo timestamp > s-target-hooks-def-h
build/genhooks "Common Target Hook" \
				     > tmp-common-target-hooks-def.h
/bin/bash ../../src/gcc/../move-if-change tmp-common-target-hooks-def.h \
				     common/common-target-hooks-def.h
echo timestamp > s-common-target-hooks-def-h
build/genhooks "C Target Hook" \
				     > tmp-c-target-hooks-def.h
/bin/bash ../../src/gcc/../move-if-change tmp-c-target-hooks-def.h \
				     c-family/c-target-hooks-def.h
echo timestamp > s-c-target-hooks-def-h
build/genhooks "D Target Hook" \
				     > tmp-d-target-hooks-def.h
/bin/bash ../../src/gcc/../move-if-change tmp-d-target-hooks-def.h \
				     d/d-target-hooks-def.h
echo timestamp > s-d-target-hooks-def-h
build/genmodes > tmp-modes.c
/bin/bash ../../src/gcc/../move-if-change tmp-modes.c insn-modes.c
echo timestamp > s-modes
build/genmodes -h > tmp-modes.h
/bin/bash ../../src/gcc/../move-if-change tmp-modes.h insn-modes.h
echo timestamp > s-modes-h
build/genmodes -i > tmp-modes-inline.h
/bin/bash ../../src/gcc/../move-if-change tmp-modes-inline.h \
  insn-modes-inline.h
echo timestamp > s-modes-inline-h
g++ -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include  -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \
	-o build/genmddeps.o ../../src/gcc/genmddeps.c
g++ -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include  -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \
	-o build/read-md.o ../../src/gcc/read-md.c
g++ -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include  -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \
	-o build/genconstants.o ../../src/gcc/genconstants.c
g++ -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include  -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \
	-o build/min-insn-modes.o min-insn-modes.c
g++ -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include  -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \
	-o build/inchash.o ../../src/gcc/inchash.c
g++ -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include  -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \
	-o build/genenums.o ../../src/gcc/genenums.c
g++   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -fno-PIE -static-libstdc++ -static-libgcc -Wl,-z,relro -Wl,-z,now -no-pie -o build/gengtype \
    build/gengtype.o build/errors.o build/gengtype-lex.o build/gengtype-parse.o build/gengtype-state.o build/version.o ../build-armv7l-unknown-linux-gnueabihf/libiberty/libiberty.a
build/gengtype  \
                    -S ../../src/gcc -I gtyp-input.list -w tmp-gtype.state
g++   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -fno-PIE -static-libstdc++ -static-libgcc -Wl,-z,relro -Wl,-z,now -no-pie -o build/genmddeps \
    build/genmddeps.o build/read-md.o build/errors.o ../build-armv7l-unknown-linux-gnueabihf/libiberty/libiberty.a
g++   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -fno-PIE -static-libstdc++ -static-libgcc -Wl,-z,relro -Wl,-z,now -no-pie -o build/genconstants \
    build/genconstants.o build/read-md.o build/errors.o ../build-armv7l-unknown-linux-gnueabihf/libiberty/libiberty.a
build/genmddeps ../../src/gcc/common.md ../../src/gcc/config/arm/arm.md > tmp-mddeps
/bin/bash ../../src/gcc/../move-if-change tmp-mddeps mddeps.mk
echo timestamp > s-mddeps
build/genconstants ../../src/gcc/common.md ../../src/gcc/config/arm/arm.md \
   > tmp-constants.h
g++   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -fno-PIE -static-libstdc++ -static-libgcc -Wl,-z,relro -Wl,-z,now -no-pie -o build/genenums \
    build/genenums.o build/read-md.o build/errors.o ../build-armv7l-unknown-linux-gnueabihf/libiberty/libiberty.a
/bin/bash ../../src/gcc/../move-if-change tmp-constants.h insn-constants.h
echo timestamp > s-constants
g++ -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include  -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \
	-o build/gencheck.o ../../src/gcc/gencheck.c
build/genenums ../../src/gcc/common.md ../../src/gcc/config/arm/arm.md \
   > tmp-enums.c
/bin/bash ../../src/gcc/../move-if-change tmp-enums.c insn-enums.c
echo timestamp > s-enums
/bin/bash ../../src/gcc/../move-if-change tmp-gtype.state gtype.state
build/gengtype  \
                    -r gtype.state
g++   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -fno-PIE -static-libstdc++ -static-libgcc -Wl,-z,relro -Wl,-z,now -no-pie -o build/gencheck \
    build/gencheck.o ../build-armv7l-unknown-linux-gnueabihf/libiberty/libiberty.a
build/gencheck > tmp-check.h
/bin/bash ../../src/gcc/../move-if-change tmp-check.h tree-check.h
echo timestamp > s-check
echo timestamp > s-gtype
g++ -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include  -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \
	-o build/genopinit.o ../../src/gcc/genopinit.c
g++ -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include  -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \
	-o build/gencfn-macros.o ../../src/gcc/gencfn-macros.c
g++ -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include  -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \
	-o build/genattrtab.o ../../src/gcc/genattrtab.c
g++ -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include  -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \
	-o build/genautomata.o ../../src/gcc/genautomata.c
g++ -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include  -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \
	-o build/genemit.o ../../src/gcc/genemit.c
g++ -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include  -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \
	-o build/genextract.o ../../src/gcc/genextract.c
g++ -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include  -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \
	-o build/genoutput.o ../../src/gcc/genoutput.c
g++ -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include  -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \
	-o build/genpeep.o ../../src/gcc/genpeep.c
g++ -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include  -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \
	-o build/genrecog.o ../../src/gcc/genrecog.c
g++ -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include  -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \
	-o build/genpreds.o ../../src/gcc/genpreds.c
g++ -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include  -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \
	-o build/rtl.o ../../src/gcc/rtl.c
g++ -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include  -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \
	-o build/read-rtl.o ../../src/gcc/read-rtl.c
g++ -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include  -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \
	-o build/ggc-none.o ../../src/gcc/ggc-none.c
g++ -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include  -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \
	-o build/vec.o ../../src/gcc/vec.c
g++ -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include  -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \
	-o build/gensupport.o ../../src/gcc/gensupport.c
g++ -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include  -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \
	-o build/print-rtl.o ../../src/gcc/print-rtl.c
g++ -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include  -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \
	-o build/hash-table.o ../../src/gcc/hash-table.c
g++ -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include  -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \
	-o build/genflags.o ../../src/gcc/genflags.c
g++ -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include  -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \
	-o build/genconditions.o ../../src/gcc/genconditions.c
g++ -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include  -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \
	-o build/genattr.o ../../src/gcc/genattr.c
g++ -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include  -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \
	-o build/genattr-common.o ../../src/gcc/genattr-common.c
g++ -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include  -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \
	-o build/gencodes.o ../../src/gcc/gencodes.c
g++ -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include  -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \
	-o build/genconfig.o ../../src/gcc/genconfig.c
g++ -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include  -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \
	-o build/gentarget-def.o ../../src/gcc/gentarget-def.c
g++   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -fno-PIE -static-libstdc++ -static-libgcc -Wl,-z,relro -Wl,-z,now -no-pie -o build/genopinit \
    build/genopinit.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-armv7l-unknown-linux-gnueabihf/libiberty/libiberty.a
g++   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -fno-PIE -static-libstdc++ -static-libgcc -Wl,-z,relro -Wl,-z,now -no-pie -o build/gencfn-macros \
    build/gencfn-macros.o build/errors.o build/hash-table.o build/vec.o build/ggc-none.o build/sort.o ../build-armv7l-unknown-linux-gnueabihf/libiberty/libiberty.a
g++   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -fno-PIE -static-libstdc++ -static-libgcc -Wl,-z,relro -Wl,-z,now -no-pie -o build/genattrtab \
    build/genattrtab.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-armv7l-unknown-linux-gnueabihf/libiberty/libiberty.a
g++   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -fno-PIE -static-libstdc++ -static-libgcc -Wl,-z,relro -Wl,-z,now -no-pie -o build/genautomata \
    build/genautomata.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-armv7l-unknown-linux-gnueabihf/libiberty/libiberty.a -lm
g++   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -fno-PIE -static-libstdc++ -static-libgcc -Wl,-z,relro -Wl,-z,now -no-pie -o build/genemit \
    build/genemit.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-armv7l-unknown-linux-gnueabihf/libiberty/libiberty.a
g++   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -fno-PIE -static-libstdc++ -static-libgcc -Wl,-z,relro -Wl,-z,now -no-pie -o build/genextract \
    build/genextract.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-armv7l-unknown-linux-gnueabihf/libiberty/libiberty.a
g++   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -fno-PIE -static-libstdc++ -static-libgcc -Wl,-z,relro -Wl,-z,now -no-pie -o build/genoutput \
    build/genoutput.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-armv7l-unknown-linux-gnueabihf/libiberty/libiberty.a
g++   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -fno-PIE -static-libstdc++ -static-libgcc -Wl,-z,relro -Wl,-z,now -no-pie -o build/genpeep \
    build/genpeep.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-armv7l-unknown-linux-gnueabihf/libiberty/libiberty.a
g++   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -fno-PIE -static-libstdc++ -static-libgcc -Wl,-z,relro -Wl,-z,now -no-pie -o build/genrecog \
    build/genrecog.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o build/inchash.o ../build-armv7l-unknown-linux-gnueabihf/libiberty/libiberty.a
g++   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -fno-PIE -static-libstdc++ -static-libgcc -Wl,-z,relro -Wl,-z,now -no-pie -o build/genpreds \
    build/genpreds.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-armv7l-unknown-linux-gnueabihf/libiberty/libiberty.a
g++   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -fno-PIE -static-libstdc++ -static-libgcc -Wl,-z,relro -Wl,-z,now -no-pie -o build/genflags \
    build/genflags.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-armv7l-unknown-linux-gnueabihf/libiberty/libiberty.a
g++   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -fno-PIE -static-libstdc++ -static-libgcc -Wl,-z,relro -Wl,-z,now -no-pie -o build/genconditions \
    build/genconditions.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-armv7l-unknown-linux-gnueabihf/libiberty/libiberty.a
g++   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -fno-PIE -static-libstdc++ -static-libgcc -Wl,-z,relro -Wl,-z,now -no-pie -o build/genattr \
    build/genattr.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-armv7l-unknown-linux-gnueabihf/libiberty/libiberty.a
g++   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -fno-PIE -static-libstdc++ -static-libgcc -Wl,-z,relro -Wl,-z,now -no-pie -o build/genattr-common \
    build/genattr-common.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-armv7l-unknown-linux-gnueabihf/libiberty/libiberty.a
g++   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -fno-PIE -static-libstdc++ -static-libgcc -Wl,-z,relro -Wl,-z,now -no-pie -o build/gencodes \
    build/gencodes.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-armv7l-unknown-linux-gnueabihf/libiberty/libiberty.a
g++   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -fno-PIE -static-libstdc++ -static-libgcc -Wl,-z,relro -Wl,-z,now -no-pie -o build/genconfig \
    build/genconfig.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-armv7l-unknown-linux-gnueabihf/libiberty/libiberty.a
build/gencfn-macros -c \
  > tmp-case-cfn-macros.h
/bin/bash ../../src/gcc/../move-if-change tmp-case-cfn-macros.h \
  case-cfn-macros.h
echo timestamp > s-case-cfn-macros
build/gencfn-macros -o \
  > tmp-cfn-operators.pd
/bin/bash ../../src/gcc/../move-if-change tmp-cfn-operators.pd \
  cfn-operators.pd
echo timestamp > s-cfn-operators
build/genpreds ../../src/gcc/common.md ../../src/gcc/config/arm/arm.md > tmp-preds.c
build/genpreds -h ../../src/gcc/common.md ../../src/gcc/config/arm/arm.md > tmp-preds.h
build/genconditions ../../src/gcc/common.md ../../src/gcc/config/arm/arm.md > tmp-condmd.c
/bin/bash ../../src/gcc/../move-if-change tmp-preds.c insn-preds.c
echo timestamp > s-preds
build/genpreds -c ../../src/gcc/common.md ../../src/gcc/config/arm/arm.md > tmp-constrs.h
/bin/bash ../../src/gcc/../move-if-change tmp-condmd.c build/gencondmd.c
/bin/bash ../../src/gcc/../move-if-change tmp-preds.h tm-preds.h
echo timestamp > s-conditions
echo timestamp > s-preds-h
g++ -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include  -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \
	-o build/genmatch.o ../../src/gcc/genmatch.c
/bin/bash ../../src/gcc/../move-if-change tmp-constrs.h tm-constrs.h
echo timestamp > s-constrs-h
g++ -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include  -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \
	-o build/gencondmd.o build/gencondmd.c
g++   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -fno-PIE -static-libstdc++ -static-libgcc -Wl,-z,relro -Wl,-z,now -no-pie -o build/gentarget-def \
    build/gentarget-def.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-armv7l-unknown-linux-gnueabihf/libiberty/libiberty.a
g++   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -fno-PIE -static-libstdc++ -static-libgcc -Wl,-z,relro -Wl,-z,now -no-pie -o build/gencondmd \
    build/gencondmd.o build/errors.o ../build-armv7l-unknown-linux-gnueabihf/libiberty/libiberty.a
build/gencondmd > tmp-cond.md
/bin/bash ../../src/gcc/../move-if-change tmp-cond.md insn-conditions.md
echo timestamp > s-condmd
build/genflags ../../src/gcc/common.md ../../src/gcc/config/arm/arm.md \
  insn-conditions.md > tmp-flags.h
build/genattr ../../src/gcc/common.md ../../src/gcc/config/arm/arm.md \
  insn-conditions.md > tmp-attr.h
build/genattr-common ../../src/gcc/common.md ../../src/gcc/config/arm/arm.md \
  insn-conditions.md > tmp-attr-common.h
/bin/bash ../../src/gcc/../move-if-change tmp-attr.h insn-attr.h
echo timestamp > s-attr
build/gencodes ../../src/gcc/common.md ../../src/gcc/config/arm/arm.md \
  insn-conditions.md > tmp-codes.h
/bin/bash ../../src/gcc/../move-if-change tmp-attr-common.h insn-attr-common.h
echo timestamp > s-attr-common
/bin/bash ../../src/gcc/../move-if-change tmp-flags.h insn-flags.h
build/genconfig ../../src/gcc/common.md ../../src/gcc/config/arm/arm.md \
  insn-conditions.md > tmp-config.h
echo timestamp > s-flags
build/gentarget-def ../../src/gcc/common.md ../../src/gcc/config/arm/arm.md \
  insn-conditions.md > tmp-target-def.h
/bin/bash ../../src/gcc/../move-if-change tmp-codes.h insn-codes.h
echo timestamp > s-codes
build/genopinit ../../src/gcc/common.md ../../src/gcc/config/arm/arm.md \
  insn-conditions.md -htmp-opinit.h -ctmp-opinit.c
/bin/bash ../../src/gcc/../move-if-change tmp-config.h insn-config.h
/bin/bash ../../src/gcc/../move-if-change tmp-target-def.h insn-target-def.h
echo timestamp > s-config
build/genattrtab ../../src/gcc/common.md ../../src/gcc/config/arm/arm.md insn-conditions.md \
	-Atmp-attrtab.c -Dtmp-dfatab.c -Ltmp-latencytab.c
echo timestamp > s-target-def
build/genautomata ../../src/gcc/common.md ../../src/gcc/config/arm/arm.md \
  insn-conditions.md > tmp-automata.c
/bin/bash ../../src/gcc/../move-if-change tmp-opinit.h insn-opinit.h
/bin/bash ../../src/gcc/../move-if-change tmp-opinit.c insn-opinit.c
echo timestamp > s-opinit
build/genemit ../../src/gcc/common.md ../../src/gcc/config/arm/arm.md \
  insn-conditions.md > tmp-emit.c
/bin/bash ../../src/gcc/../move-if-change tmp-emit.c insn-emit.c
echo timestamp > s-emit
build/genextract ../../src/gcc/common.md ../../src/gcc/config/arm/arm.md \
  insn-conditions.md > tmp-extract.c
/bin/bash ../../src/gcc/../move-if-change tmp-extract.c insn-extract.c
echo timestamp > s-extract
build/genoutput ../../src/gcc/common.md ../../src/gcc/config/arm/arm.md \
  insn-conditions.md > tmp-output.c
/bin/bash ../../src/gcc/../move-if-change tmp-output.c insn-output.c
echo timestamp > s-output
build/genpeep ../../src/gcc/common.md ../../src/gcc/config/arm/arm.md \
  insn-conditions.md > tmp-peep.c
/bin/bash ../../src/gcc/../move-if-change tmp-peep.c insn-peep.c
echo timestamp > s-peep
build/genrecog ../../src/gcc/common.md ../../src/gcc/config/arm/arm.md \
  insn-conditions.md > tmp-recog.c
Statistics for recog:
  Number of decisions:  34488
  longest path:           327 (code:    429)
  longest backtrack:       24 (code:    344)
Statistics for split_insns:
  Number of decisions:   1613
  longest path:            67 (code:     97)
  longest backtrack:       10 (code:     65)
Statistics for peephole2_insns:
  Number of decisions:    437
  longest path:           141 (code:     18)
  longest backtrack:       12 (code:     34)
Shared 27849 out of 56115 states by creating 4931 new states, saving 22918
/bin/bash ../../src/gcc/../move-if-change tmp-recog.c insn-recog.c
echo timestamp > s-recog
g++ -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include  -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \
	-o build/gcov-iov.o ../../src/gcc/gcov-iov.c
g++   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -fno-PIE -static-libstdc++ -static-libgcc -Wl,-z,relro -Wl,-z,now -no-pie \
	build/gcov-iov.o -o build/gcov-iov
build/gcov-iov '10.3.0' '' \
    > tmp-gcov-iov.h
/bin/bash ../../src/gcc/../move-if-change tmp-gcov-iov.h gcov-iov.h
echo timestamp > s-iov
g++ -fno-PIE -c  -DIN_GCC_FRONTEND -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -Ic -I../../src/gcc -I../../src/gcc/c -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o c/c-lang.o -MT c/c-lang.o -MMD -MP -MF c/.deps/c-lang.TPo ../../src/gcc/c/c-lang.c
g++ -fno-PIE -c  -DIN_GCC_FRONTEND -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/stub-objc.o -MT c-family/stub-objc.o -MMD -MP -MF c-family/.deps/stub-objc.TPo ../../src/gcc/c-family/stub-objc.c
g++ -fno-PIE -c  -DIN_GCC_FRONTEND -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o attribs.o -MT attribs.o -MMD -MP -MF ./.deps/attribs.TPo ../../src/gcc/attribs.c
g++ -fno-PIE -c  -DIN_GCC_FRONTEND -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -Ic -I../../src/gcc -I../../src/gcc/c -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o c/c-errors.o -MT c/c-errors.o -MMD -MP -MF c/.deps/c-errors.TPo ../../src/gcc/c/c-errors.c
g++ -fno-PIE -c  -DIN_GCC_FRONTEND -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -Ic -I../../src/gcc -I../../src/gcc/c -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o c/c-decl.o -MT c/c-decl.o -MMD -MP -MF c/.deps/c-decl.TPo ../../src/gcc/c/c-decl.c
g++ -fno-PIE -c  -DIN_GCC_FRONTEND -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -Ic -I../../src/gcc -I../../src/gcc/c -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o c/c-typeck.o -MT c/c-typeck.o -MMD -MP -MF c/.deps/c-typeck.TPo ../../src/gcc/c/c-typeck.c
/bin/bash ../../src/gcc/../move-if-change tmp-automata.c insn-automata.c
echo timestamp > s-automata
g++ -fno-PIE -c  -DIN_GCC_FRONTEND -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -Ic -I../../src/gcc -I../../src/gcc/c -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o c/c-convert.o -MT c/c-convert.o -MMD -MP -MF c/.deps/c-convert.TPo ../../src/gcc/c/c-convert.c
g++ -fno-PIE -c  -DIN_GCC_FRONTEND -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -Ic -I../../src/gcc -I../../src/gcc/c -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o c/c-aux-info.o -MT c/c-aux-info.o -MMD -MP -MF c/.deps/c-aux-info.TPo ../../src/gcc/c/c-aux-info.c
g++ -fno-PIE -c  -DIN_GCC_FRONTEND -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -Ic -I../../src/gcc -I../../src/gcc/c -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o c/c-objc-common.o -MT c/c-objc-common.o -MMD -MP -MF c/.deps/c-objc-common.TPo ../../src/gcc/c/c-objc-common.c
g++ -fno-PIE -c  -DIN_GCC_FRONTEND -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -Ic -I../../src/gcc -I../../src/gcc/c -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o c/c-parser.o -MT c/c-parser.o -MMD -MP -MF c/.deps/c-parser.TPo ../../src/gcc/c/c-parser.c
g++ -fno-PIE -c  -DIN_GCC_FRONTEND -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -Ic -I../../src/gcc -I../../src/gcc/c -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o c/c-fold.o -MT c/c-fold.o -MMD -MP -MF c/.deps/c-fold.TPo ../../src/gcc/c/c-fold.c
g++ -fno-PIE -c  -DIN_GCC_FRONTEND -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -Ic -I../../src/gcc -I../../src/gcc/c -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o c/gimple-parser.o -MT c/gimple-parser.o -MMD -MP -MF c/.deps/gimple-parser.TPo ../../src/gcc/c/gimple-parser.c
g++ -fno-PIE -c  -DIN_GCC_FRONTEND -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-common.o -MT c-family/c-common.o -MMD -MP -MF c-family/.deps/c-common.TPo ../../src/gcc/c-family/c-common.c
../../src/gcc/c/gimple-parser.c: In function 'void c_parser_parse_gimple_body(c_parser*, char*, c_declspec_il, profile_count)':
../../src/gcc/c/gimple-parser.c:212:1: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
  212 | c_parser_parse_gimple_body (c_parser *cparser, char *gimple_pass,
      | ^~~~~~~~~~~~~~~~~~~~~~~~~~
g++ -fno-PIE -c  -DIN_GCC_FRONTEND -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-cppbuiltin.o -MT c-family/c-cppbuiltin.o -MMD -MP -MF c-family/.deps/c-cppbuiltin.TPo ../../src/gcc/c-family/c-cppbuiltin.c
g++ -fno-PIE -c  -DIN_GCC_FRONTEND -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-dump.o -MT c-family/c-dump.o -MMD -MP -MF c-family/.deps/c-dump.TPo ../../src/gcc/c-family/c-dump.c
g++ -fno-PIE -c  -DIN_GCC_FRONTEND -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-format.o -MT c-family/c-format.o -MMD -MP -MF c-family/.deps/c-format.TPo ../../src/gcc/c-family/c-format.c
/bin/bash ../../src/gcc/../move-if-change tmp-attrtab.c    insn-attrtab.c
/bin/bash ../../src/gcc/../move-if-change tmp-dfatab.c     insn-dfatab.c
/bin/bash ../../src/gcc/../move-if-change tmp-latencytab.c insn-latencytab.c
echo timestamp > s-attrtab
g++ -fno-PIE -c  -DIN_GCC_FRONTEND -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-gimplify.o -MT c-family/c-gimplify.o -MMD -MP -MF c-family/.deps/c-gimplify.TPo ../../src/gcc/c-family/c-gimplify.c
g++ -fno-PIE -c  -DIN_GCC_FRONTEND -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-indentation.o -MT c-family/c-indentation.o -MMD -MP -MF c-family/.deps/c-indentation.TPo ../../src/gcc/c-family/c-indentation.c
g++ -fno-PIE -c  -DIN_GCC_FRONTEND -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-lex.o -MT c-family/c-lex.o -MMD -MP -MF c-family/.deps/c-lex.TPo ../../src/gcc/c-family/c-lex.c
g++ -fno-PIE -c  -DIN_GCC_FRONTEND -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-omp.o -MT c-family/c-omp.o -MMD -MP -MF c-family/.deps/c-omp.TPo ../../src/gcc/c-family/c-omp.c
g++ -fno-PIE -c  -DIN_GCC_FRONTEND -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-opts.o -MT c-family/c-opts.o -MMD -MP -MF c-family/.deps/c-opts.TPo ../../src/gcc/c-family/c-opts.c
../../src/gcc/c/c-parser.c: In function 'void c_parser_declaration_or_fndef(c_parser*, bool, bool, bool, bool, bool, tree_node**, vec<c_token>, bool, tree, oacc_routine_data*, bool*)':
../../src/gcc/c/c-parser.c:2499:31: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 2499 |    c_parser_parse_gimple_body (parser, specs->gimple_or_rtl_pass,
      |    ~~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
 2500 |           specs->declspec_il,
      |           ~~~~~~~~~~~~~~~~~~~  
 2501 |           specs->entry_bb_count);
      |           ~~~~~~~~~~~~~~~~~~~~~~
g++ -fno-PIE -c  -DIN_GCC_FRONTEND -DHOST_MACHINE=\"armv7l-unknown-linux-gnueabihf\" -DTARGET_MACHINE=\"armv7l-unknown-linux-gnueabihf\" -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-pch.o -MT c-family/c-pch.o -MMD -MP -MF c-family/.deps/c-pch.TPo ../../src/gcc/c-family/c-pch.c
g++ -fno-PIE -c  -DIN_GCC_FRONTEND -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-ppoutput.o -MT c-family/c-ppoutput.o -MMD -MP -MF c-family/.deps/c-ppoutput.TPo ../../src/gcc/c-family/c-ppoutput.c
g++ -fno-PIE -c  -DIN_GCC_FRONTEND -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-pragma.o -MT c-family/c-pragma.o -MMD -MP -MF c-family/.deps/c-pragma.TPo ../../src/gcc/c-family/c-pragma.c
g++ -fno-PIE -c  -DIN_GCC_FRONTEND -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-pretty-print.o -MT c-family/c-pretty-print.o -MMD -MP -MF c-family/.deps/c-pretty-print.TPo ../../src/gcc/c-family/c-pretty-print.c
g++ -fno-PIE -c  -DIN_GCC_FRONTEND -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-semantics.o -MT c-family/c-semantics.o -MMD -MP -MF c-family/.deps/c-semantics.TPo ../../src/gcc/c-family/c-semantics.c
g++ -fno-PIE -c  -DIN_GCC_FRONTEND -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-ada-spec.o -MT c-family/c-ada-spec.o -MMD -MP -MF c-family/.deps/c-ada-spec.TPo ../../src/gcc/c-family/c-ada-spec.c
g++ -fno-PIE -c  -DIN_GCC_FRONTEND -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-ubsan.o -MT c-family/c-ubsan.o -MMD -MP -MF c-family/.deps/c-ubsan.TPo ../../src/gcc/c-family/c-ubsan.c
g++ -fno-PIE -c  -DIN_GCC_FRONTEND -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/known-headers.o -MT c-family/known-headers.o -MMD -MP -MF c-family/.deps/known-headers.TPo ../../src/gcc/c-family/known-headers.cc
g++ -fno-PIE -c  -DIN_GCC_FRONTEND -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-attribs.o -MT c-family/c-attribs.o -MMD -MP -MF c-family/.deps/c-attribs.TPo ../../src/gcc/c-family/c-attribs.c
g++ -fno-PIE -c  -DIN_GCC_FRONTEND -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-warn.o -MT c-family/c-warn.o -MMD -MP -MF c-family/.deps/c-warn.TPo ../../src/gcc/c-family/c-warn.c
g++ -fno-PIE -c  -DIN_GCC_FRONTEND -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-spellcheck.o -MT c-family/c-spellcheck.o -MMD -MP -MF c-family/.deps/c-spellcheck.TPo ../../src/gcc/c-family/c-spellcheck.cc
g++ -fno-PIE -c  -DIN_GCC_FRONTEND -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  \
	../../src/gcc/config/arm/arm-c.c
g++ -fno-PIE -c  -DIN_GCC_FRONTEND -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o glibc-c.o -MT glibc-c.o -MMD -MP -MF ./.deps/glibc-c.TPo ../../src/gcc/config/glibc-c.c
g++   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H  -DGENERATOR_FILE -fno-PIE -static-libstdc++ -static-libgcc -Wl,-z,relro -Wl,-z,now -no-pie -o build/genmatch \
    build/genmatch.o ../build-armv7l-unknown-linux-gnueabihf/libcpp/libcpp.a build/errors.o build/vec.o build/hash-table.o build/sort.o ../build-armv7l-unknown-linux-gnueabihf/libiberty/libiberty.a
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o insn-emit.o -MT insn-emit.o -MMD -MP -MF ./.deps/insn-emit.TPo insn-emit.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o insn-extract.o -MT insn-extract.o -MMD -MP -MF ./.deps/insn-extract.TPo insn-extract.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o insn-modes.o -MT insn-modes.o -MMD -MP -MF ./.deps/insn-modes.TPo insn-modes.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o insn-opinit.o -MT insn-opinit.o -MMD -MP -MF ./.deps/insn-opinit.TPo insn-opinit.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o insn-output.o -MT insn-output.o -MMD -MP -MF ./.deps/insn-output.TPo insn-output.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o insn-peep.o -MT insn-peep.o -MMD -MP -MF ./.deps/insn-peep.TPo insn-peep.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o insn-preds.o -MT insn-preds.o -MMD -MP -MF ./.deps/insn-preds.TPo insn-preds.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o insn-recog.o -MT insn-recog.o -MMD -MP -MF ./.deps/insn-recog.TPo insn-recog.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o insn-enums.o -MT insn-enums.o -MMD -MP -MF ./.deps/insn-enums.TPo insn-enums.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o ggc-page.o -MT ggc-page.o -MMD -MP -MF ./.deps/ggc-page.TPo ../../src/gcc/ggc-page.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o alias.o -MT alias.o -MMD -MP -MF ./.deps/alias.TPo ../../src/gcc/alias.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o alloc-pool.o -MT alloc-pool.o -MMD -MP -MF ./.deps/alloc-pool.TPo ../../src/gcc/alloc-pool.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o auto-inc-dec.o -MT auto-inc-dec.o -MMD -MP -MF ./.deps/auto-inc-dec.TPo ../../src/gcc/auto-inc-dec.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o auto-profile.o -MT auto-profile.o -MMD -MP -MF ./.deps/auto-profile.TPo ../../src/gcc/auto-profile.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o bb-reorder.o -MT bb-reorder.o -MMD -MP -MF ./.deps/bb-reorder.TPo ../../src/gcc/bb-reorder.c
In file included from ../../src/gcc/dumpfile.h:24,
                 from ../../src/gcc/coretypes.h:464,
                 from ../../src/gcc/bb-reorder.c:95:
../../src/gcc/profile-count.h: In member function 'profile_count profile_count::max(profile_count) const':
../../src/gcc/profile-count.h:1014:17: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 1014 |   profile_count max (profile_count other) const
      |                 ^~~
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o bitmap.o -MT bitmap.o -MMD -MP -MF ./.deps/bitmap.TPo ../../src/gcc/bitmap.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o builtins.o -MT builtins.o -MMD -MP -MF ./.deps/builtins.TPo ../../src/gcc/builtins.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o caller-save.o -MT caller-save.o -MMD -MP -MF ./.deps/caller-save.TPo ../../src/gcc/caller-save.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o calls.o -MT calls.o -MMD -MP -MF ./.deps/calls.TPo ../../src/gcc/calls.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o ccmp.o -MT ccmp.o -MMD -MP -MF ./.deps/ccmp.TPo ../../src/gcc/ccmp.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o cfg.o -MT cfg.o -MMD -MP -MF ./.deps/cfg.TPo ../../src/gcc/cfg.c
In file included from ../../src/gcc/dumpfile.h:24,
                 from ../../src/gcc/coretypes.h:464,
                 from ../../src/gcc/cfg.c:51:
../../src/gcc/profile-count.h: In member function 'profile_count profile_count::apply_scale(profile_count, profile_count) const':
../../src/gcc/profile-count.h:1089:17: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 1089 |   profile_count apply_scale (profile_count num, profile_count den) const
      |                 ^~~~~~~~~~~
../../src/gcc/profile-count.h:1089:17: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
../../src/gcc/cfg.c: In function 'void update_bb_profile_for_threading(basic_block, profile_count, edge)':
../../src/gcc/cfg.c:876:1: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
  876 | update_bb_profile_for_threading (basic_block bb,
      | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
../../src/gcc/cfg.c: In function 'void scale_bbs_frequencies_profile_count(basic_block_def**, int, profile_count, profile_count)':
../../src/gcc/cfg.c:940:1: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
  940 | scale_bbs_frequencies_profile_count (basic_block *bbs, int nbbs,
      | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
../../src/gcc/cfg.c:940:1: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
../../src/gcc/cfg.c:946:58: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
  946 |       bbs[i]->count = bbs[i]->count.apply_scale (num, den);
      |                                                          ^
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o cfganal.o -MT cfganal.o -MMD -MP -MF ./.deps/cfganal.TPo ../../src/gcc/cfganal.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o cfgbuild.o -MT cfgbuild.o -MMD -MP -MF ./.deps/cfgbuild.TPo ../../src/gcc/cfgbuild.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o cfgcleanup.o -MT cfgcleanup.o -MMD -MP -MF ./.deps/cfgcleanup.TPo ../../src/gcc/cfgcleanup.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o cfgexpand.o -MT cfgexpand.o -MMD -MP -MF ./.deps/cfgexpand.TPo ../../src/gcc/cfgexpand.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o cfghooks.o -MT cfghooks.o -MMD -MP -MF ./.deps/cfghooks.TPo ../../src/gcc/cfghooks.c
../../src/gcc/cfgcleanup.c: In function 'bool try_crossjump_to_edge(int, edge, edge, replace_direction)':
../../src/gcc/cfgcleanup.c:2130:35: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 2130 |       s2->probability, src1->count);
      |                                   ^
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o cfgloop.o -MT cfgloop.o -MMD -MP -MF ./.deps/cfgloop.TPo ../../src/gcc/cfgloop.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o cfgloopanal.o -MT cfgloopanal.o -MMD -MP -MF ./.deps/cfgloopanal.TPo ../../src/gcc/cfgloopanal.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o cfgloopmanip.o -MT cfgloopmanip.o -MMD -MP -MF ./.deps/cfgloopmanip.TPo ../../src/gcc/cfgloopmanip.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o cfgrtl.o -MT cfgrtl.o -MMD -MP -MF ./.deps/cfgrtl.TPo ../../src/gcc/cfgrtl.c
../../src/gcc/cfgloopmanip.c: In function 'bool duplicate_loop_to_header_edge(loop*, edge, unsigned int, sbitmap, edge, vec<edge_def*>*, int)':
../../src/gcc/cfgloopmanip.c:1366:39: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 1366 |   scale_bbs_frequencies_profile_count (new_bbs + i, 1, after_exit_num,
      |   ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
 1367 |            after_exit_den);
      |            ~~~~~~~~~~~~~~~             
../../src/gcc/cfgloopmanip.c:1400:42: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 1400 |      scale_bbs_frequencies_profile_count (bbs + i, 1, after_exit_num,
      |      ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~
 1401 |        after_exit_den);
      |        ~~~~~~~~~~~~~~~                    
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o symtab.o -MT symtab.o -MMD -MP -MF ./.deps/symtab.TPo ../../src/gcc/symtab.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o cgraph.o -MT cgraph.o -MMD -MP -MF ./.deps/cgraph.TPo ../../src/gcc/cgraph.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o cgraphbuild.o -MT cgraphbuild.o -MMD -MP -MF ./.deps/cgraphbuild.TPo ../../src/gcc/cgraphbuild.c
../../src/gcc/cgraphbuild.c: In member function 'virtual unsigned int {anonymous}::pass_build_cgraph_edges::execute(function*)':
../../src/gcc/cgraphbuild.c:326:30: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
  326 |   node->create_indirect_edge (call_stmt,
      |   ~~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~
  327 |          gimple_call_flags (call_stmt),
      |          ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
  328 |          bb->count);
      |          ~~~~~~~~~~           
../../src/gcc/cgraphbuild.c:322:21: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
  322 |   node->create_edge (cgraph_node::get_create (decl), call_stmt, bb->count);
      |   ~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
../../src/gcc/cgraphbuild.c: In static member function 'static unsigned int cgraph_edge::rebuild_edges()':
../../src/gcc/cgraphbuild.c:421:30: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
  421 |   node->create_indirect_edge (call_stmt,
      |   ~~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~
  422 |          gimple_call_flags (call_stmt),
      |          ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
  423 |          bb->count);
      |          ~~~~~~~~~~           
../../src/gcc/cgraphbuild.c:416:21: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
  416 |   node->create_edge (cgraph_node::get_create (decl), call_stmt,
      |   ~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
  417 |        bb->count);
      |        ~~~~~~~~~~    
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o cgraphunit.o -MT cgraphunit.o -MMD -MP -MF ./.deps/cgraphunit.TPo ../../src/gcc/cgraphunit.c
In file included from ../../src/gcc/dumpfile.h:24,
                 from ../../src/gcc/coretypes.h:464,
                 from ../../src/gcc/cgraph.c:29:
../../src/gcc/profile-count.h: In member function 'bool profile_count::compatible_p(profile_count) const':
../../src/gcc/profile-count.h:719:8: note: parameter passing for argument of type 'const profile_count' changed in GCC 9.1
  719 |   bool compatible_p (const profile_count other) const
      |        ^~~~~~~~~~~~
../../src/gcc/cgraphunit.c: In function 'void process_symver_attribute(symtab_node*)':
../../src/gcc/cgraphunit.c:764:4: warning: unquoted keyword 'weakref' in format [-Wformat-diag]
  764 |   "weakref cannot be versioned");
      |    ^~~~~~~
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o cgraphclones.o -MT cgraphclones.o -MMD -MP -MF ./.deps/cgraphclones.TPo ../../src/gcc/cgraphclones.c
../../src/gcc/cgraphunit.c: In function 'basic_block_def* init_lowered_empty_function(tree, bool, profile_count)':
../../src/gcc/cgraphunit.c:1688:1: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 1688 | init_lowered_empty_function (tree decl, bool in_ssa, profile_count count)
      | ^~~~~~~~~~~~~~~~~~~~~~~~~~~
../../src/gcc/cgraph.c: In member function 'cgraph_edge* symbol_table::create_edge(cgraph_node*, cgraph_node*, gcall*, profile_count, bool, bool)':
../../src/gcc/cgraph.c:864:1: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
  864 | symbol_table::create_edge (cgraph_node *caller, cgraph_node *callee,
      | ^~~~~~~~~~~~
../../src/gcc/cgraph.c: In member function 'cgraph_edge* cgraph_node::create_edge(cgraph_node*, gcall*, profile_count, bool)':
../../src/gcc/cgraph.c:937:1: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
  937 | cgraph_node::create_edge (cgraph_node *callee,
      | ^~~~~~~~~~~
../../src/gcc/cgraph.c:940:43: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
  940 |   cgraph_edge *edge = symtab->create_edge (this, callee, call_stmt, count,
      |                       ~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
  941 |         false, cloning_p);
      |         ~~~~~~~~~~~~~~~~~                  
../../src/gcc/cgraph.c: In member function 'cgraph_edge* cgraph_edge::make_speculative(cgraph_node*, profile_count, unsigned int)':
../../src/gcc/cgraph.c:1095:1: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 1095 | cgraph_edge::make_speculative (cgraph_node *n2, profile_count direct_count,
      | ^~~~~~~~~~~
../../src/gcc/cgraph.c:1106:23: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 1106 |   e2 = n->create_edge (n2, call_stmt, direct_count);
      |        ~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~
../../src/gcc/cgraph.c: In function 'void cgraph_update_edges_for_call_stmt_node(cgraph_node*, gimple*, tree, gimple*)':
../../src/gcc/cgraph.c:1678:27: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 1678 |    ne = node->create_edge (cgraph_node::get_create (new_call),
      |         ~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
 1679 |       as_a <gcall *> (new_stmt), count);
      |       ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
../../src/gcc/cgraphunit.c: In member function 'void cgraph_node::analyze()':
../../src/gcc/cgraphunit.c:625:19: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
  625 |       create_edge (t, NULL, t->count);
      |       ~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~
../../src/gcc/cgraphunit.c:625:19: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
../../src/gcc/cgraph.c: In member function 'cgraph_edge* cgraph_node::create_indirect_edge(gcall*, int, profile_count, bool)':
../../src/gcc/cgraph.c:977:1: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
  977 | cgraph_node::create_indirect_edge (gcall *call_stmt, int ecf_flags,
      | ^~~~~~~~~~~
../../src/gcc/cgraph.c:981:43: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
  981 |   cgraph_edge *edge = symtab->create_edge (this, NULL, call_stmt, count, true,
      |                       ~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
  982 |         cloning_p);
      |         ~~~~~~~~~~                         
../../src/gcc/cgraphunit.c: In member function 'void cgraph_node::create_wrapper(cgraph_node*)':
../../src/gcc/cgraphunit.c:3063:15: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 3063 |   create_edge (target, NULL, count);
      |   ~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~
In file included from ../../src/gcc/dumpfile.h:24,
                 from ../../src/gcc/coretypes.h:464,
                 from ../../src/gcc/cgraphclones.c:69:
../../src/gcc/profile-count.h: In member function 'profile_count profile_count::apply_scale(profile_count, profile_count) const':
../../src/gcc/profile-count.h:1089:17: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 1089 |   profile_count apply_scale (profile_count num, profile_count den) const
      |                 ^~~~~~~~~~~
../../src/gcc/profile-count.h:1089:17: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
../../src/gcc/cgraphclones.c: In member function 'cgraph_edge* cgraph_edge::clone(cgraph_node*, gcall*, unsigned int, profile_count, profile_count, bool)':
../../src/gcc/cgraphclones.c:93:1: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
   93 | cgraph_edge::clone (cgraph_node *n, gcall *call_stmt, unsigned stmt_uid,
      | ^~~~~~~~~~~
../../src/gcc/cgraphclones.c:93:1: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
../../src/gcc/cgraphclones.c:99:57: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
   99 |   profile_count prof_count = count.apply_scale (num, den);
      |                                                         ^
../../src/gcc/cgraphclones.c:116:39: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
  116 |    new_edge = n->create_indirect_edge (call_stmt,
      |               ~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~
  117 |            indirect_info->ecf_flags,
      |            ~~~~~~~~~~~~~~~~~~~~~~~~~   
  118 |            prof_count, true);
      |            ~~~~~~~~~~~~~~~~~           
../../src/gcc/cgraphclones.c:112:30: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
  112 |    new_edge = n->create_edge (callee, call_stmt, prof_count, true);
      |               ~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
../../src/gcc/cgraphclones.c:124:33: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
  124 |       new_edge = n->create_edge (callee, call_stmt, prof_count, true);
      |                  ~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
../../src/gcc/cgraphclones.c:148:21: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
  148 |        caller->count);
      |                     ^
../../src/gcc/cgraphclones.c: In member function 'void cgraph_node::create_edge_including_clones(cgraph_node*, gimple*, gcall*, profile_count, cgraph_inline_failed_t)':
../../src/gcc/cgraphclones.c:804:1: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
  804 | cgraph_node::create_edge_including_clones (cgraph_node *callee,
      | ^~~~~~~~~~~
../../src/gcc/cgraphclones.c:813:39: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
  813 |       cgraph_edge *edge = create_edge (callee, stmt, count);
      |                           ~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~
../../src/gcc/cgraphclones.c:833:33: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
  833 |        edge = node->create_edge (callee, stmt, count);
      |               ~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~
../../src/gcc/cgraphclones.c: In member function 'cgraph_node* cgraph_node::create_version_clone(tree, vec<cgraph_edge*>, bitmap, const char*)':
../../src/gcc/cgraphclones.c:937:17: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
  937 |        e->clone (new_version, e->call_stmt,
      |        ~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~
  938 |    e->lto_stmt_uid, count, count,
      |    ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
  939 |    true);
      |    ~~~~~         
../../src/gcc/cgraphclones.c:937:17: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
../../src/gcc/cgraphclones.c:943:17: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
  943 |        e->clone (new_version, e->call_stmt,
      |        ~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~
  944 |    e->lto_stmt_uid, count, count,
      |    ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
  945 |    true);
      |    ~~~~~         
../../src/gcc/cgraphclones.c:943:17: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
../../src/gcc/cgraphclones.c: In function 'cgraph_node* duplicate_thunk_for_node(cgraph_node*, cgraph_node*)':
../../src/gcc/cgraphclones.c:244:43: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
  244 |   cgraph_edge *e = new_thunk->create_edge (node, NULL, new_thunk->count);
      |                    ~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
../../src/gcc/cgraphclones.c: In member function 'cgraph_node* cgraph_node::create_clone(tree, profile_count, bool, vec<cgraph_edge*>, bool, cgraph_node*, ipa_param_adjustments*, const char*)':
../../src/gcc/cgraphclones.c:350:1: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
  350 | cgraph_node::create_clone (tree new_decl, profile_count prof_count,
      | ^~~~~~~~~~~
../../src/gcc/cgraphclones.c:379:29: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
  379 |            inlined_to->count);
      |                             ^
../../src/gcc/cgraphclones.c:432:14: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
  432 |     e->clone (new_node, e->call_stmt, e->lto_stmt_uid, new_node->count, old_count,
      |     ~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
  433 |        update_original);
      |        ~~~~~~~~~~~~~~~~
../../src/gcc/cgraphclones.c:432:14: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
../../src/gcc/cgraphclones.c:436:14: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
  436 |     e->clone (new_node, e->call_stmt, e->lto_stmt_uid,
      |     ~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
  437 |        new_node->count, old_count, update_original);
      |        ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
../../src/gcc/cgraphclones.c:436:14: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o combine.o -MT combine.o -MMD -MP -MF ./.deps/combine.TPo ../../src/gcc/combine.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o combine-stack-adj.o -MT combine-stack-adj.o -MMD -MP -MF ./.deps/combine-stack-adj.TPo ../../src/gcc/combine-stack-adj.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o compare-elim.o -MT compare-elim.o -MMD -MP -MF ./.deps/compare-elim.TPo ../../src/gcc/compare-elim.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o context.o -MT context.o -MMD -MP -MF ./.deps/context.TPo ../../src/gcc/context.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o convert.o -MT convert.o -MMD -MP -MF ./.deps/convert.TPo ../../src/gcc/convert.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o coroutine-passes.o -MT coroutine-passes.o -MMD -MP -MF ./.deps/coroutine-passes.TPo ../../src/gcc/coroutine-passes.cc
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o coverage.o -MT coverage.o -MMD -MP -MF ./.deps/coverage.TPo ../../src/gcc/coverage.c
g++ -fno-PIE -c  -DGCC_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/armv7l-unknown-linux-gnueabihf/10.3.0/include\" -DFIXED_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/armv7l-unknown-linux-gnueabihf/10.3.0/include-fixed\" -DGPLUSPLUS_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/armv7l-unknown-linux-gnueabihf/10.3.0/../../../../include/c++/10.3.0\" -DGPLUSPLUS_INCLUDE_DIR_ADD_SYSROOT=0 -DGPLUSPLUS_TOOL_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/armv7l-unknown-linux-gnueabihf/10.3.0/../../../../include/arm-linux-gnueabi/c++/10.3.0\" -DGPLUSPLUS_BACKWARD_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/armv7l-unknown-linux-gnueabihf/10.3.0/../../../../include/c++/10.3.0/backward\" -DLOCAL_INCLUDE_DIR=\"/usr/local/include\" -DCROSS_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/armv7l-unknown-linux-gnueabihf/10.3.0/../../../../armv7l-unknown-linux-gnueabihf/sys-include\" -DTOOL_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/armv7l-unknown-linux-gnueabihf/10.3.0/../../../../armv7l-unknown-linux-gnueabihf/include\" -DNATIVE_SYSTEM_HEADER_DIR=\"/usr/include\" -DPREFIX=\"/usr/lib/ghdl/gcc/\" -DSTANDARD_EXEC_PREFIX=\"/usr/lib/ghdl/gcc/lib/gcc/\"  -DBASEVER="\"10.3.0\"" -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o cppbuiltin.o -MT cppbuiltin.o -MMD -MP -MF ./.deps/cppbuiltin.TPo ../../src/gcc/cppbuiltin.c
g++ -fno-PIE -c  -DGCC_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/armv7l-unknown-linux-gnueabihf/10.3.0/include\" -DFIXED_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/armv7l-unknown-linux-gnueabihf/10.3.0/include-fixed\" -DGPLUSPLUS_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/armv7l-unknown-linux-gnueabihf/10.3.0/../../../../include/c++/10.3.0\" -DGPLUSPLUS_INCLUDE_DIR_ADD_SYSROOT=0 -DGPLUSPLUS_TOOL_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/armv7l-unknown-linux-gnueabihf/10.3.0/../../../../include/arm-linux-gnueabi/c++/10.3.0\" -DGPLUSPLUS_BACKWARD_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/armv7l-unknown-linux-gnueabihf/10.3.0/../../../../include/c++/10.3.0/backward\" -DLOCAL_INCLUDE_DIR=\"/usr/local/include\" -DCROSS_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/armv7l-unknown-linux-gnueabihf/10.3.0/../../../../armv7l-unknown-linux-gnueabihf/sys-include\" -DTOOL_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/armv7l-unknown-linux-gnueabihf/10.3.0/../../../../armv7l-unknown-linux-gnueabihf/include\" -DNATIVE_SYSTEM_HEADER_DIR=\"/usr/include\" -DPREFIX=\"/usr/lib/ghdl/gcc/\" -DSTANDARD_EXEC_PREFIX=\"/usr/lib/ghdl/gcc/lib/gcc/\"  -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o cppdefault.o -MT cppdefault.o -MMD -MP -MF ./.deps/cppdefault.TPo ../../src/gcc/cppdefault.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o cprop.o -MT cprop.o -MMD -MP -MF ./.deps/cprop.TPo ../../src/gcc/cprop.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o cse.o -MT cse.o -MMD -MP -MF ./.deps/cse.TPo ../../src/gcc/cse.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o cselib.o -MT cselib.o -MMD -MP -MF ./.deps/cselib.TPo ../../src/gcc/cselib.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o data-streamer.o -MT data-streamer.o -MMD -MP -MF ./.deps/data-streamer.TPo ../../src/gcc/data-streamer.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o data-streamer-in.o -MT data-streamer-in.o -MMD -MP -MF ./.deps/data-streamer-in.TPo ../../src/gcc/data-streamer-in.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o data-streamer-out.o -MT data-streamer-out.o -MMD -MP -MF ./.deps/data-streamer-out.TPo ../../src/gcc/data-streamer-out.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o dbxout.o -MT dbxout.o -MMD -MP -MF ./.deps/dbxout.TPo ../../src/gcc/dbxout.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o dbgcnt.o -MT dbgcnt.o -MMD -MP -MF ./.deps/dbgcnt.TPo ../../src/gcc/dbgcnt.c
../../src/gcc/dbgcnt.c: In function 'bool dbg_cnt_set_limit_by_index(debug_counter, const char*, unsigned int, unsigned int)':
../../src/gcc/dbgcnt.c:129:13: warning: unquoted whitespace character '\x0a' in format [-Wformat-diag]
  129 |    "[%u, %u]\n", name, t2.first, t2.second, t1.first, t1.second);
      |             ^~
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o dce.o -MT dce.o -MMD -MP -MF ./.deps/dce.TPo ../../src/gcc/dce.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o ddg.o -MT ddg.o -MMD -MP -MF ./.deps/ddg.TPo ../../src/gcc/ddg.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o debug.o -MT debug.o -MMD -MP -MF ./.deps/debug.TPo ../../src/gcc/debug.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o df-core.o -MT df-core.o -MMD -MP -MF ./.deps/df-core.TPo ../../src/gcc/df-core.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o df-problems.o -MT df-problems.o -MMD -MP -MF ./.deps/df-problems.TPo ../../src/gcc/df-problems.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o df-scan.o -MT df-scan.o -MMD -MP -MF ./.deps/df-scan.TPo ../../src/gcc/df-scan.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings  -Wno-strict-aliasing -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o dfp.o -MT dfp.o -MMD -MP -MF ./.deps/dfp.TPo ../../src/gcc/dfp.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o digraph.o -MT digraph.o -MMD -MP -MF ./.deps/digraph.TPo ../../src/gcc/digraph.cc
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o dojump.o -MT dojump.o -MMD -MP -MF ./.deps/dojump.TPo ../../src/gcc/dojump.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o dominance.o -MT dominance.o -MMD -MP -MF ./.deps/dominance.TPo ../../src/gcc/dominance.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o domwalk.o -MT domwalk.o -MMD -MP -MF ./.deps/domwalk.TPo ../../src/gcc/domwalk.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o double-int.o -MT double-int.o -MMD -MP -MF ./.deps/double-int.TPo ../../src/gcc/double-int.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o dse.o -MT dse.o -MMD -MP -MF ./.deps/dse.TPo ../../src/gcc/dse.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o dumpfile.o -MT dumpfile.o -MMD -MP -MF ./.deps/dumpfile.TPo ../../src/gcc/dumpfile.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o dwarf2asm.o -MT dwarf2asm.o -MMD -MP -MF ./.deps/dwarf2asm.TPo ../../src/gcc/dwarf2asm.c
../../src/gcc/dumpfile.c: In function 'void dump_loc(dump_flags_t, pretty_printer*, location_t)':
../../src/gcc/dumpfile.c:496:27: warning: spurious trailing space in format [-Wformat-diag]
  496 |  pp_printf (pp, "%s:%d:%d: ", LOCATION_FILE (loc),
      |                           ^
../../src/gcc/dumpfile.c:499:27: warning: spurious trailing space in format [-Wformat-diag]
  499 |  pp_printf (pp, "%s:%d:%d: ",
      |                           ^
../../src/gcc/dumpfile.c:503:26: warning: spurious trailing space in format [-Wformat-diag]
  503 |       pp_printf (pp, "%s: ", kind_as_string (dump_kind));
      |                          ^
../../src/gcc/dumpfile.c: In member function 'void dump_context::begin_scope(const char*, const dump_user_location_t&, const dump_impl_location_t&)':
../../src/gcc/dumpfile.c:1120:20: warning: spurious leading punctuation sequence '===' in format [-Wformat-diag]
 1120 |   pp_printf (&pp, "=== %s ===\n", name);
      |                    ^~~
../../src/gcc/dumpfile.c:1120:27: warning: unquoted sequence of 3 consecutive punctuation characters '===' in format [-Wformat-diag]
 1120 |   pp_printf (&pp, "=== %s ===\n", name);
      |                           ^~~
../../src/gcc/dumpfile.c:1120:30: warning: unquoted whitespace character '\x0a' in format [-Wformat-diag]
 1120 |   pp_printf (&pp, "=== %s ===\n", name);
      |                              ^~
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o dwarf2cfi.o -MT dwarf2cfi.o -MMD -MP -MF ./.deps/dwarf2cfi.TPo ../../src/gcc/dwarf2cfi.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o dwarf2out.o -MT dwarf2out.o -MMD -MP -MF ./.deps/dwarf2out.TPo ../../src/gcc/dwarf2out.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o early-remat.o -MT early-remat.o -MMD -MP -MF ./.deps/early-remat.TPo ../../src/gcc/early-remat.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o emit-rtl.o -MT emit-rtl.o -MMD -MP -MF ./.deps/emit-rtl.TPo ../../src/gcc/emit-rtl.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o et-forest.o -MT et-forest.o -MMD -MP -MF ./.deps/et-forest.TPo ../../src/gcc/et-forest.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o except.o -MT except.o -MMD -MP -MF ./.deps/except.TPo ../../src/gcc/except.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o explow.o -MT explow.o -MMD -MP -MF ./.deps/explow.TPo ../../src/gcc/explow.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o expmed.o -MT expmed.o -MMD -MP -MF ./.deps/expmed.TPo ../../src/gcc/expmed.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o expr.o -MT expr.o -MMD -MP -MF ./.deps/expr.TPo ../../src/gcc/expr.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o fibonacci_heap.o -MT fibonacci_heap.o -MMD -MP -MF ./.deps/fibonacci_heap.TPo ../../src/gcc/fibonacci_heap.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o file-prefix-map.o -MT file-prefix-map.o -MMD -MP -MF ./.deps/file-prefix-map.TPo ../../src/gcc/file-prefix-map.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o final.o -MT final.o -MMD -MP -MF ./.deps/final.TPo ../../src/gcc/final.c
../../src/gcc/expmed.c: In function 'rtx_def* emit_store_flag_1(rtx, rtx_code, rtx, rtx, machine_mode, int, int, machine_mode)':
../../src/gcc/expmed.c:5625:19: warning: 'int_mode' may be used uninitialized in this function [-Wmaybe-uninitialized]
 5625 |   scalar_int_mode int_mode;
      |                   ^~~~~~~~
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o fixed-value.o -MT fixed-value.o -MMD -MP -MF ./.deps/fixed-value.TPo ../../src/gcc/fixed-value.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o fold-const.o -MT fold-const.o -MMD -MP -MF ./.deps/fold-const.TPo ../../src/gcc/fold-const.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o fold-const-call.o -MT fold-const-call.o -MMD -MP -MF ./.deps/fold-const-call.TPo ../../src/gcc/fold-const-call.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o function.o -MT function.o -MMD -MP -MF ./.deps/function.TPo ../../src/gcc/function.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o function-abi.o -MT function-abi.o -MMD -MP -MF ./.deps/function-abi.TPo ../../src/gcc/function-abi.cc
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o function-tests.o -MT function-tests.o -MMD -MP -MF ./.deps/function-tests.TPo ../../src/gcc/function-tests.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o fwprop.o -MT fwprop.o -MMD -MP -MF ./.deps/fwprop.TPo ../../src/gcc/fwprop.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o gcc-rich-location.o -MT gcc-rich-location.o -MMD -MP -MF ./.deps/gcc-rich-location.TPo ../../src/gcc/gcc-rich-location.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o gcse.o -MT gcse.o -MMD -MP -MF ./.deps/gcse.TPo ../../src/gcc/gcse.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o gcse-common.o -MT gcse-common.o -MMD -MP -MF ./.deps/gcse-common.TPo ../../src/gcc/gcse-common.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o ggc-common.o -MT ggc-common.o -MMD -MP -MF ./.deps/ggc-common.TPo ../../src/gcc/ggc-common.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o ggc-tests.o -MT ggc-tests.o -MMD -MP -MF ./.deps/ggc-tests.TPo ../../src/gcc/ggc-tests.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple.o -MT gimple.o -MMD -MP -MF ./.deps/gimple.TPo ../../src/gcc/gimple.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-builder.o -MT gimple-builder.o -MMD -MP -MF ./.deps/gimple-builder.TPo ../../src/gcc/gimple-builder.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-expr.o -MT gimple-expr.o -MMD -MP -MF ./.deps/gimple-expr.TPo ../../src/gcc/gimple-expr.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-iterator.o -MT gimple-iterator.o -MMD -MP -MF ./.deps/gimple-iterator.TPo ../../src/gcc/gimple-iterator.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-fold.o -MT gimple-fold.o -MMD -MP -MF ./.deps/gimple-fold.TPo ../../src/gcc/gimple-fold.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-laddress.o -MT gimple-laddress.o -MMD -MP -MF ./.deps/gimple-laddress.TPo ../../src/gcc/gimple-laddress.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-loop-interchange.o -MT gimple-loop-interchange.o -MMD -MP -MF ./.deps/gimple-loop-interchange.TPo ../../src/gcc/gimple-loop-interchange.cc
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-loop-jam.o -MT gimple-loop-jam.o -MMD -MP -MF ./.deps/gimple-loop-jam.TPo ../../src/gcc/gimple-loop-jam.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-loop-versioning.o -MT gimple-loop-versioning.o -MMD -MP -MF ./.deps/gimple-loop-versioning.TPo ../../src/gcc/gimple-loop-versioning.cc
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-low.o -MT gimple-low.o -MMD -MP -MF ./.deps/gimple-low.TPo ../../src/gcc/gimple-low.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-pretty-print.o -MT gimple-pretty-print.o -MMD -MP -MF ./.deps/gimple-pretty-print.TPo ../../src/gcc/gimple-pretty-print.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-ssa-backprop.o -MT gimple-ssa-backprop.o -MMD -MP -MF ./.deps/gimple-ssa-backprop.TPo ../../src/gcc/gimple-ssa-backprop.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-ssa-evrp.o -MT gimple-ssa-evrp.o -MMD -MP -MF ./.deps/gimple-ssa-evrp.TPo ../../src/gcc/gimple-ssa-evrp.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-ssa-evrp-analyze.o -MT gimple-ssa-evrp-analyze.o -MMD -MP -MF ./.deps/gimple-ssa-evrp-analyze.TPo ../../src/gcc/gimple-ssa-evrp-analyze.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-ssa-isolate-paths.o -MT gimple-ssa-isolate-paths.o -MMD -MP -MF ./.deps/gimple-ssa-isolate-paths.TPo ../../src/gcc/gimple-ssa-isolate-paths.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-ssa-nonnull-compare.o -MT gimple-ssa-nonnull-compare.o -MMD -MP -MF ./.deps/gimple-ssa-nonnull-compare.TPo ../../src/gcc/gimple-ssa-nonnull-compare.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-ssa-split-paths.o -MT gimple-ssa-split-paths.o -MMD -MP -MF ./.deps/gimple-ssa-split-paths.TPo ../../src/gcc/gimple-ssa-split-paths.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-ssa-store-merging.o -MT gimple-ssa-store-merging.o -MMD -MP -MF ./.deps/gimple-ssa-store-merging.TPo ../../src/gcc/gimple-ssa-store-merging.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-ssa-strength-reduction.o -MT gimple-ssa-strength-reduction.o -MMD -MP -MF ./.deps/gimple-ssa-strength-reduction.TPo ../../src/gcc/gimple-ssa-strength-reduction.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-ssa-sprintf.o -MT gimple-ssa-sprintf.o -MMD -MP -MF ./.deps/gimple-ssa-sprintf.TPo ../../src/gcc/gimple-ssa-sprintf.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-ssa-warn-alloca.o -MT gimple-ssa-warn-alloca.o -MMD -MP -MF ./.deps/gimple-ssa-warn-alloca.TPo ../../src/gcc/gimple-ssa-warn-alloca.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-ssa-warn-restrict.o -MT gimple-ssa-warn-restrict.o -MMD -MP -MF ./.deps/gimple-ssa-warn-restrict.TPo ../../src/gcc/gimple-ssa-warn-restrict.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-streamer-in.o -MT gimple-streamer-in.o -MMD -MP -MF ./.deps/gimple-streamer-in.TPo ../../src/gcc/gimple-streamer-in.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-streamer-out.o -MT gimple-streamer-out.o -MMD -MP -MF ./.deps/gimple-streamer-out.TPo ../../src/gcc/gimple-streamer-out.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-walk.o -MT gimple-walk.o -MMD -MP -MF ./.deps/gimple-walk.TPo ../../src/gcc/gimple-walk.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o gimplify.o -MT gimplify.o -MMD -MP -MF ./.deps/gimplify.TPo ../../src/gcc/gimplify.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o gimplify-me.o -MT gimplify-me.o -MMD -MP -MF ./.deps/gimplify-me.TPo ../../src/gcc/gimplify-me.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o godump.o -MT godump.o -MMD -MP -MF ./.deps/godump.TPo ../../src/gcc/godump.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o graph.o -MT graph.o -MMD -MP -MF ./.deps/graph.TPo ../../src/gcc/graph.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o graphds.o -MT graphds.o -MMD -MP -MF ./.deps/graphds.TPo ../../src/gcc/graphds.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o graphviz.o -MT graphviz.o -MMD -MP -MF ./.deps/graphviz.TPo ../../src/gcc/graphviz.cc
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o graphite.o -MT graphite.o -MMD -MP -MF ./.deps/graphite.TPo ../../src/gcc/graphite.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o graphite-isl-ast-to-gimple.o -MT graphite-isl-ast-to-gimple.o -MMD -MP -MF ./.deps/graphite-isl-ast-to-gimple.TPo ../../src/gcc/graphite-isl-ast-to-gimple.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o graphite-dependences.o -MT graphite-dependences.o -MMD -MP -MF ./.deps/graphite-dependences.TPo ../../src/gcc/graphite-dependences.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o graphite-optimize-isl.o -MT graphite-optimize-isl.o -MMD -MP -MF ./.deps/graphite-optimize-isl.TPo ../../src/gcc/graphite-optimize-isl.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o graphite-poly.o -MT graphite-poly.o -MMD -MP -MF ./.deps/graphite-poly.TPo ../../src/gcc/graphite-poly.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o graphite-scop-detection.o -MT graphite-scop-detection.o -MMD -MP -MF ./.deps/graphite-scop-detection.TPo ../../src/gcc/graphite-scop-detection.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o graphite-sese-to-poly.o -MT graphite-sese-to-poly.o -MMD -MP -MF ./.deps/graphite-sese-to-poly.TPo ../../src/gcc/graphite-sese-to-poly.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o gtype-desc.o -MT gtype-desc.o -MMD -MP -MF ./.deps/gtype-desc.TPo gtype-desc.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o haifa-sched.o -MT haifa-sched.o -MMD -MP -MF ./.deps/haifa-sched.TPo ../../src/gcc/haifa-sched.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o hash-map-tests.o -MT hash-map-tests.o -MMD -MP -MF ./.deps/hash-map-tests.TPo ../../src/gcc/hash-map-tests.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o hash-set-tests.o -MT hash-set-tests.o -MMD -MP -MF ./.deps/hash-set-tests.TPo ../../src/gcc/hash-set-tests.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o hsa-common.o -MT hsa-common.o -MMD -MP -MF ./.deps/hsa-common.TPo ../../src/gcc/hsa-common.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o hsa-gen.o -MT hsa-gen.o -MMD -MP -MF ./.deps/hsa-gen.TPo ../../src/gcc/hsa-gen.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o hsa-regalloc.o -MT hsa-regalloc.o -MMD -MP -MF ./.deps/hsa-regalloc.TPo ../../src/gcc/hsa-regalloc.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o hsa-brig.o -MT hsa-brig.o -MMD -MP -MF ./.deps/hsa-brig.TPo ../../src/gcc/hsa-brig.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o hsa-dump.o -MT hsa-dump.o -MMD -MP -MF ./.deps/hsa-dump.TPo ../../src/gcc/hsa-dump.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o hw-doloop.o -MT hw-doloop.o -MMD -MP -MF ./.deps/hw-doloop.TPo ../../src/gcc/hw-doloop.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o hwint.o -MT hwint.o -MMD -MP -MF ./.deps/hwint.TPo ../../src/gcc/hwint.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o ifcvt.o -MT ifcvt.o -MMD -MP -MF ./.deps/ifcvt.TPo ../../src/gcc/ifcvt.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o ree.o -MT ree.o -MMD -MP -MF ./.deps/ree.TPo ../../src/gcc/ree.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o inchash.o -MT inchash.o -MMD -MP -MF ./.deps/inchash.TPo ../../src/gcc/inchash.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o incpath.o -MT incpath.o -MMD -MP -MF ./.deps/incpath.TPo ../../src/gcc/incpath.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o init-regs.o -MT init-regs.o -MMD -MP -MF ./.deps/init-regs.TPo ../../src/gcc/init-regs.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o internal-fn.o -MT internal-fn.o -MMD -MP -MF ./.deps/internal-fn.TPo ../../src/gcc/internal-fn.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-cp.o -MT ipa-cp.o -MMD -MP -MF ./.deps/ipa-cp.TPo ../../src/gcc/ipa-cp.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-sra.o -MT ipa-sra.o -MMD -MP -MF ./.deps/ipa-sra.TPo ../../src/gcc/ipa-sra.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-devirt.o -MT ipa-devirt.o -MMD -MP -MF ./.deps/ipa-devirt.TPo ../../src/gcc/ipa-devirt.c
In file included from ../../src/gcc/dumpfile.h:24,
                 from ../../src/gcc/coretypes.h:464,
                 from ../../src/gcc/ipa-cp.c:105:
../../src/gcc/profile-count.h: In member function 'profile_count profile_count::max(profile_count) const':
../../src/gcc/profile-count.h:1014:17: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 1014 |   profile_count max (profile_count other) const
      |                 ^~~
../../src/gcc/profile-count.h: In member function 'profile_count profile_count::apply_scale(profile_count, profile_count) const':
../../src/gcc/profile-count.h:1089:17: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 1089 |   profile_count apply_scale (profile_count num, profile_count den) const
      |                 ^~~~~~~~~~~
../../src/gcc/profile-count.h:1089:17: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
../../src/gcc/ipa-cp.c: In function 'void update_specialized_profile(cgraph_node*, cgraph_node*, profile_count)':
../../src/gcc/ipa-cp.c:4406:1: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 4406 | update_specialized_profile (struct cgraph_node *new_node,
      | ^~~~~~~~~~~~~~~~~~~~~~~~~~
../../src/gcc/ipa-cp.c:4429:71: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 4429 |     cs->count += cs->count.apply_scale (redirected_sum, new_node_count);
      |                                                                       ^
../../src/gcc/ipa-cp.c:4434:23: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 4434 |        orig_node_count);
      |                       ^
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-fnsummary.o -MT ipa-fnsummary.o -MMD -MP -MF ./.deps/ipa-fnsummary.TPo ../../src/gcc/ipa-fnsummary.c
../../src/gcc/ipa-cp.c: In function 'bool good_cloning_opportunity_p(cgraph_node*, int, int, profile_count, int)':
../../src/gcc/ipa-cp.c:3224:1: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 3224 | good_cloning_opportunity_p (struct cgraph_node *node, int time_benefit,
      | ^~~~~~~~~~~~~~~~~~~~~~~~~~
../../src/gcc/ipa-cp.c: In function 'void propagate_constants_topo(ipa_topo_info*)':
../../src/gcc/ipa-cp.c:3502:43: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 3502 |       else if (good_cloning_opportunity_p (node,
      |                ~~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~
 3503 |         MIN ((base_time - time).to_int (),
      |         ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 
 3504 |       65536),
      |       ~~~~~~~                              
 3505 |         stats.freq_sum, stats.count_sum,
      |         ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~   
 3506 |         size))
      |         ~~~~~                              
../../src/gcc/ipa-cp.c: In function 'cgraph_node* create_specialized_node(cgraph_node*, vec<tree_node*>, vec<ipa_polymorphic_call_context>, ipa_agg_replacement_value*, vec<cgraph_edge*>)':
../../src/gcc/ipa-cp.c:4387:68: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 4387 |     cs->count = cs->count.apply_scale (new_sum, orig_new_node_count);
      |                                                                    ^
../../src/gcc/ipa-cp.c:4389:68: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 4389 |     cs->count = cs->count.apply_scale (new_sum, orig_new_node_count);
      |                                                                    ^
../../src/gcc/ipa-cp.c:4393:66: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 4393 |     cs->count = cs->count.apply_scale (remainder, orig_node_count);
      |                                                                  ^
../../src/gcc/ipa-cp.c:4395:66: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 4395 |     cs->count = cs->count.apply_scale (remainder, orig_node_count);
      |                                                                  ^
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-polymorphic-call.o -MT ipa-polymorphic-call.o -MMD -MP -MF ./.deps/ipa-polymorphic-call.TPo ../../src/gcc/ipa-polymorphic-call.c
../../src/gcc/ipa-cp.c: In function 'bool decide_about_value(cgraph_node*, int, long long int, ipcp_value<valtype>*, vec<tree_node*>, vec<ipa_polymorphic_call_context>) [with valtype = tree_node*]':
../../src/gcc/ipa-cp.c:5480:35: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 5480 |   if (!good_cloning_opportunity_p (node, val->local_time_benefit,
      |        ~~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
 5481 |        freq_sum, count_sum,
      |        ~~~~~~~~~~~~~~~~~~~~        
 5482 |        val->local_size_cost)
      |        ~~~~~~~~~~~~~~~~~~~~~       
../../src/gcc/ipa-cp.c:5483:38: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 5483 |       && !good_cloning_opportunity_p (node,
      |           ~~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~
 5484 |           val->local_time_benefit
      |           ~~~~~~~~~~~~~~~~~~~~~~~     
 5485 |           + val->prop_time_benefit,
      |           ~~~~~~~~~~~~~~~~~~~~~~~~~   
 5486 |           freq_sum, count_sum,
      |           ~~~~~~~~~~~~~~~~~~~~        
 5487 |           val->local_size_cost
      |           ~~~~~~~~~~~~~~~~~~~~        
 5488 |           + val->prop_size_cost))
      |           ~~~~~~~~~~~~~~~~~~~~~~      
In file included from ../../src/gcc/dumpfile.h:24,
                 from ../../src/gcc/coretypes.h:464,
                 from ../../src/gcc/ipa-fnsummary.c:56:
../../src/gcc/profile-count.h: In member function 'profile_count profile_count::max(profile_count) const':
../../src/gcc/profile-count.h:1014:17: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 1014 |   profile_count max (profile_count other) const
      |                 ^~~
../../src/gcc/ipa-cp.c: In member function 'virtual unsigned int {anonymous}::pass_ipa_cp::execute(function*)':
../../src/gcc/ipa-cp.c:5480:35: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 5480 |   if (!good_cloning_opportunity_p (node, val->local_time_benefit,
      |        ~~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
 5481 |        freq_sum, count_sum,
      |        ~~~~~~~~~~~~~~~~~~~~        
 5482 |        val->local_size_cost)
      |        ~~~~~~~~~~~~~~~~~~~~~       
../../src/gcc/ipa-cp.c:5483:38: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 5483 |       && !good_cloning_opportunity_p (node,
      |           ~~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~
 5484 |           val->local_time_benefit
      |           ~~~~~~~~~~~~~~~~~~~~~~~     
 5485 |           + val->prop_time_benefit,
      |           ~~~~~~~~~~~~~~~~~~~~~~~~~   
 5486 |           freq_sum, count_sum,
      |           ~~~~~~~~~~~~~~~~~~~~        
 5487 |           val->local_size_cost
      |           ~~~~~~~~~~~~~~~~~~~~        
 5488 |           + val->prop_size_cost))
      |           ~~~~~~~~~~~~~~~~~~~~~~      
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-split.o -MT ipa-split.o -MMD -MP -MF ./.deps/ipa-split.TPo ../../src/gcc/ipa-split.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-inline.o -MT ipa-inline.o -MMD -MP -MF ./.deps/ipa-inline.TPo ../../src/gcc/ipa-inline.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-comdats.o -MT ipa-comdats.o -MMD -MP -MF ./.deps/ipa-comdats.TPo ../../src/gcc/ipa-comdats.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-visibility.o -MT ipa-visibility.o -MMD -MP -MF ./.deps/ipa-visibility.TPo ../../src/gcc/ipa-visibility.c
In file included from ../../src/gcc/dumpfile.h:24,
                 from ../../src/gcc/coretypes.h:464,
                 from ../../src/gcc/ipa-inline.c:94:
../../src/gcc/profile-count.h: In member function 'profile_count profile_count::max(profile_count) const':
../../src/gcc/profile-count.h:1014:17: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 1014 |   profile_count max (profile_count other) const
      |                 ^~~
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-inline-analysis.o -MT ipa-inline-analysis.o -MMD -MP -MF ./.deps/ipa-inline-analysis.TPo ../../src/gcc/ipa-inline-analysis.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-inline-transform.o -MT ipa-inline-transform.o -MMD -MP -MF ./.deps/ipa-inline-transform.TPo ../../src/gcc/ipa-inline-transform.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-predicate.o -MT ipa-predicate.o -MMD -MP -MF ./.deps/ipa-predicate.TPo ../../src/gcc/ipa-predicate.c
In file included from ../../src/gcc/dumpfile.h:24,
                 from ../../src/gcc/coretypes.h:464,
                 from ../../src/gcc/ipa-inline-transform.c:33:
../../src/gcc/profile-count.h: In member function 'profile_count profile_count::max(profile_count) const':
../../src/gcc/profile-count.h:1014:17: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 1014 |   profile_count max (profile_count other) const
      |                 ^~~
../../src/gcc/profile-count.h: In member function 'profile_count profile_count::apply_scale(profile_count, profile_count) const':
../../src/gcc/profile-count.h:1089:17: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 1089 |   profile_count apply_scale (profile_count num, profile_count den) const
      |                 ^~~~~~~~~~~
../../src/gcc/profile-count.h:1089:17: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
../../src/gcc/ipa-inline-transform.c: In function 'void update_noncloned_counts(cgraph_node*, profile_count, profile_count)':
../../src/gcc/ipa-inline-transform.c:58:1: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
   58 | update_noncloned_counts (struct cgraph_node *node,
      | ^~~~~~~~~~~~~~~~~~~~~~~
../../src/gcc/ipa-inline-transform.c:58:1: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
../../src/gcc/ipa-inline-transform.c:68:33: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
   68 |         update_noncloned_counts (e->callee, num, den);
      |         ~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~
../../src/gcc/ipa-inline-transform.c:69:48: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
   69 |       e->count = e->count.apply_scale (num, den);
      |                                                ^
../../src/gcc/ipa-inline-transform.c:72:46: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
   72 |     e->count = e->count.apply_scale (num, den);
      |                                              ^
../../src/gcc/ipa-inline-transform.c:73:50: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
   73 |   node->count = node->count.apply_scale (num, den);
      |                                                  ^
../../src/gcc/ipa-inline-transform.c: In function 'void clone_inlined_nodes(cgraph_edge*, bool, bool, int*)':
../../src/gcc/ipa-inline-transform.c:209:35: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
  209 |           update_noncloned_counts (e->callee, e->count, e->callee->count);
      |           ~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-profile.o -MT ipa-profile.o -MMD -MP -MF ./.deps/ipa-profile.TPo ../../src/gcc/ipa-profile.c
../../src/gcc/ipa-inline-transform.c: In function 'unsigned int inline_transform(cgraph_node*)':
../../src/gcc/ipa-inline-transform.c:718:47: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
  718 |    bb->count = bb->count.apply_scale (num, den);
      |                                               ^
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-prop.o -MT ipa-prop.o -MMD -MP -MF ./.deps/ipa-prop.TPo ../../src/gcc/ipa-prop.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-param-manipulation.o -MT ipa-param-manipulation.o -MMD -MP -MF ./.deps/ipa-param-manipulation.TPo ../../src/gcc/ipa-param-manipulation.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-pure-const.o -MT ipa-pure-const.o -MMD -MP -MF ./.deps/ipa-pure-const.TPo ../../src/gcc/ipa-pure-const.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-icf.o -MT ipa-icf.o -MMD -MP -MF ./.deps/ipa-icf.TPo ../../src/gcc/ipa-icf.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-icf-gimple.o -MT ipa-icf-gimple.o -MMD -MP -MF ./.deps/ipa-icf-gimple.TPo ../../src/gcc/ipa-icf-gimple.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-reference.o -MT ipa-reference.o -MMD -MP -MF ./.deps/ipa-reference.TPo ../../src/gcc/ipa-reference.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-hsa.o -MT ipa-hsa.o -MMD -MP -MF ./.deps/ipa-hsa.TPo ../../src/gcc/ipa-hsa.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-ref.o -MT ipa-ref.o -MMD -MP -MF ./.deps/ipa-ref.TPo ../../src/gcc/ipa-ref.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-utils.o -MT ipa-utils.o -MMD -MP -MF ./.deps/ipa-utils.TPo ../../src/gcc/ipa-utils.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa.o -MT ipa.o -MMD -MP -MF ./.deps/ipa.TPo ../../src/gcc/ipa.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o ira.o -MT ira.o -MMD -MP -MF ./.deps/ira.TPo ../../src/gcc/ira.c
In file included from ../../src/gcc/dumpfile.h:24,
                 from ../../src/gcc/coretypes.h:464,
                 from ../../src/gcc/ipa-utils.c:23:
../../src/gcc/profile-count.h: In member function 'profile_count profile_count::apply_scale(profile_count, profile_count) const':
../../src/gcc/profile-count.h:1089:17: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 1089 |   profile_count apply_scale (profile_count num, profile_count den) const
      |                 ^~~~~~~~~~~
../../src/gcc/profile-count.h:1089:17: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
../../src/gcc/ipa-utils.c: In function 'void scale_ipa_profile_for_fn(cgraph_node*, profile_count)':
../../src/gcc/ipa-utils.c:377:1: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
  377 | scale_ipa_profile_for_fn (struct cgraph_node *node, profile_count orig_count)
      | ^~~~~~~~~~~~~~~~~~~~~~~~
../../src/gcc/ipa-utils.c:384:52: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
  384 |     e->count = e->count.apply_scale (to, orig_count);
      |                                                    ^
../../src/gcc/ipa-utils.c:386:52: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
  386 |     e->count = e->count.apply_scale (to, orig_count);
      |                                                    ^
../../src/gcc/ipa-utils.c: In function 'void ipa_merge_profiles(cgraph_node*, cgraph_node*, bool)':
../../src/gcc/ipa-utils.c:632:53: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
  632 |      srccount = srccount.apply_scale (srcnum, srcden);
      |                                                     ^
../../src/gcc/ipa-utils.c:634:61: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
  634 |      dstbb->count = dstbb->count.apply_scale (dstnum, dstden);
      |                                                             ^
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o ira-build.o -MT ira-build.o -MMD -MP -MF ./.deps/ira-build.TPo ../../src/gcc/ira-build.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o ira-costs.o -MT ira-costs.o -MMD -MP -MF ./.deps/ira-costs.TPo ../../src/gcc/ira-costs.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o ira-conflicts.o -MT ira-conflicts.o -MMD -MP -MF ./.deps/ira-conflicts.TPo ../../src/gcc/ira-conflicts.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o ira-color.o -MT ira-color.o -MMD -MP -MF ./.deps/ira-color.TPo ../../src/gcc/ira-color.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o ira-emit.o -MT ira-emit.o -MMD -MP -MF ./.deps/ira-emit.TPo ../../src/gcc/ira-emit.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o ira-lives.o -MT ira-lives.o -MMD -MP -MF ./.deps/ira-lives.TPo ../../src/gcc/ira-lives.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o jump.o -MT jump.o -MMD -MP -MF ./.deps/jump.TPo ../../src/gcc/jump.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o langhooks.o -MT langhooks.o -MMD -MP -MF ./.deps/langhooks.TPo ../../src/gcc/langhooks.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o lcm.o -MT lcm.o -MMD -MP -MF ./.deps/lcm.TPo ../../src/gcc/lcm.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o lists.o -MT lists.o -MMD -MP -MF ./.deps/lists.TPo ../../src/gcc/lists.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o loop-doloop.o -MT loop-doloop.o -MMD -MP -MF ./.deps/loop-doloop.TPo ../../src/gcc/loop-doloop.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o loop-init.o -MT loop-init.o -MMD -MP -MF ./.deps/loop-init.TPo ../../src/gcc/loop-init.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o loop-invariant.o -MT loop-invariant.o -MMD -MP -MF ./.deps/loop-invariant.TPo ../../src/gcc/loop-invariant.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o loop-iv.o -MT loop-iv.o -MMD -MP -MF ./.deps/loop-iv.TPo ../../src/gcc/loop-iv.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o loop-unroll.o -MT loop-unroll.o -MMD -MP -MF ./.deps/loop-unroll.TPo ../../src/gcc/loop-unroll.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o lower-subreg.o -MT lower-subreg.o -MMD -MP -MF ./.deps/lower-subreg.TPo ../../src/gcc/lower-subreg.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o lra.o -MT lra.o -MMD -MP -MF ./.deps/lra.TPo ../../src/gcc/lra.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o lra-assigns.o -MT lra-assigns.o -MMD -MP -MF ./.deps/lra-assigns.TPo ../../src/gcc/lra-assigns.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o lra-coalesce.o -MT lra-coalesce.o -MMD -MP -MF ./.deps/lra-coalesce.TPo ../../src/gcc/lra-coalesce.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o lra-constraints.o -MT lra-constraints.o -MMD -MP -MF ./.deps/lra-constraints.TPo ../../src/gcc/lra-constraints.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o lra-eliminations.o -MT lra-eliminations.o -MMD -MP -MF ./.deps/lra-eliminations.TPo ../../src/gcc/lra-eliminations.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o lra-lives.o -MT lra-lives.o -MMD -MP -MF ./.deps/lra-lives.TPo ../../src/gcc/lra-lives.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o lra-remat.o -MT lra-remat.o -MMD -MP -MF ./.deps/lra-remat.TPo ../../src/gcc/lra-remat.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o lra-spills.o -MT lra-spills.o -MMD -MP -MF ./.deps/lra-spills.TPo ../../src/gcc/lra-spills.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o lto-cgraph.o -MT lto-cgraph.o -MMD -MP -MF ./.deps/lto-cgraph.TPo ../../src/gcc/lto-cgraph.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o lto-streamer.o -MT lto-streamer.o -MMD -MP -MF ./.deps/lto-streamer.TPo ../../src/gcc/lto-streamer.c
g++ -fno-PIE -c  -DTARGET_MACHINE=\"armv7l-unknown-linux-gnueabihf\" -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o lto-streamer-in.o -MT lto-streamer-in.o -MMD -MP -MF ./.deps/lto-streamer-in.TPo ../../src/gcc/lto-streamer-in.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o lto-streamer-out.o -MT lto-streamer-out.o -MMD -MP -MF ./.deps/lto-streamer-out.TPo ../../src/gcc/lto-streamer-out.c
../../src/gcc/lto-cgraph.c: In function 'void input_edge(lto_input_block*, vec<symtab_node*>, bool)':
../../src/gcc/lto-cgraph.c:1483:41: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 1483 |     edge = caller->create_indirect_edge (NULL, 0, count);
      |            ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~
../../src/gcc/lto-cgraph.c:1485:32: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 1485 |     edge = caller->create_edge (callee, NULL, count);
      |            ~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o lto-section-in.o -MT lto-section-in.o -MMD -MP -MF ./.deps/lto-section-in.TPo ../../src/gcc/lto-section-in.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o lto-section-out.o -MT lto-section-out.o -MMD -MP -MF ./.deps/lto-section-out.TPo ../../src/gcc/lto-section-out.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o lto-opts.o -MT lto-opts.o -MMD -MP -MF ./.deps/lto-opts.TPo ../../src/gcc/lto-opts.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o lto-compress.o -MT lto-compress.o -MMD -MP -MF ./.deps/lto-compress.TPo ../../src/gcc/lto-compress.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o mcf.o -MT mcf.o -MMD -MP -MF ./.deps/mcf.TPo ../../src/gcc/mcf.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o mode-switching.o -MT mode-switching.o -MMD -MP -MF ./.deps/mode-switching.TPo ../../src/gcc/mode-switching.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o modulo-sched.o -MT modulo-sched.o -MMD -MP -MF ./.deps/modulo-sched.TPo ../../src/gcc/modulo-sched.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o multiple_target.o -MT multiple_target.o -MMD -MP -MF ./.deps/multiple_target.TPo ../../src/gcc/multiple_target.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o omp-offload.o -MT omp-offload.o -MMD -MP -MF ./.deps/omp-offload.TPo ../../src/gcc/omp-offload.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o omp-expand.o -MT omp-expand.o -MMD -MP -MF ./.deps/omp-expand.TPo ../../src/gcc/omp-expand.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o omp-general.o -MT omp-general.o -MMD -MP -MF ./.deps/omp-general.TPo ../../src/gcc/omp-general.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o omp-grid.o -MT omp-grid.o -MMD -MP -MF ./.deps/omp-grid.TPo ../../src/gcc/omp-grid.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o omp-low.o -MT omp-low.o -MMD -MP -MF ./.deps/omp-low.TPo ../../src/gcc/omp-low.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o omp-simd-clone.o -MT omp-simd-clone.o -MMD -MP -MF ./.deps/omp-simd-clone.TPo ../../src/gcc/omp-simd-clone.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o opt-problem.o -MT opt-problem.o -MMD -MP -MF ./.deps/opt-problem.TPo ../../src/gcc/opt-problem.cc
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o optabs.o -MT optabs.o -MMD -MP -MF ./.deps/optabs.TPo ../../src/gcc/optabs.c
../../src/gcc/omp-simd-clone.c: In function 'void expand_simd_clones(cgraph_node*)':
../../src/gcc/omp-simd-clone.c:1474:24: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 1474 |      node->create_edge (cgraph_node::get_create (fn),
      |      ~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
 1475 |           call, entry_bb->count);
      |           ~~~~~~~~~~~~~~~~~~~~~~
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o optabs-libfuncs.o -MT optabs-libfuncs.o -MMD -MP -MF ./.deps/optabs-libfuncs.TPo ../../src/gcc/optabs-libfuncs.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o optabs-query.o -MT optabs-query.o -MMD -MP -MF ./.deps/optabs-query.TPo ../../src/gcc/optabs-query.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o optabs-tree.o -MT optabs-tree.o -MMD -MP -MF ./.deps/optabs-tree.TPo ../../src/gcc/optabs-tree.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o optinfo.o -MT optinfo.o -MMD -MP -MF ./.deps/optinfo.TPo ../../src/gcc/optinfo.cc
g++ -fno-PIE -c  -DTARGET_NAME=\"armv7l-unknown-linux-gnueabihf\"  -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o optinfo-emit-json.o -MT optinfo-emit-json.o -MMD -MP -MF ./.deps/optinfo-emit-json.TPo ../../src/gcc/optinfo-emit-json.cc
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o options-save.o -MT options-save.o -MMD -MP -MF ./.deps/options-save.TPo options-save.c
../../src/gcc/optinfo-emit-json.cc: In member function 'json::object* optrecord_json_writer::profile_count_to_json(profile_count)':
../../src/gcc/optinfo-emit-json.cc:207:1: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
  207 | optrecord_json_writer::profile_count_to_json (profile_count count)
      | ^~~~~~~~~~~~~~~~~~~~~
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o opts-global.o -MT opts-global.o -MMD -MP -MF ./.deps/opts-global.TPo ../../src/gcc/opts-global.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o ordered-hash-map-tests.o -MT ordered-hash-map-tests.o -MMD -MP -MF ./.deps/ordered-hash-map-tests.TPo ../../src/gcc/ordered-hash-map-tests.cc
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o passes.o -MT passes.o -MMD -MP -MF ./.deps/passes.TPo ../../src/gcc/passes.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o plugin.o -MT plugin.o -MMD -MP -MF ./.deps/plugin.TPo ../../src/gcc/plugin.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o postreload-gcse.o -MT postreload-gcse.o -MMD -MP -MF ./.deps/postreload-gcse.TPo ../../src/gcc/postreload-gcse.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o postreload.o -MT postreload.o -MMD -MP -MF ./.deps/postreload.TPo ../../src/gcc/postreload.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o predict.o -MT predict.o -MMD -MP -MF ./.deps/predict.TPo ../../src/gcc/predict.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o print-rtl.o -MT print-rtl.o -MMD -MP -MF ./.deps/print-rtl.TPo ../../src/gcc/print-rtl.c
../../src/gcc/predict.c: In function 'bool probably_never_executed(function*, profile_count)':
../../src/gcc/predict.c:209:1: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
  209 | probably_never_executed (struct function *fun, profile_count count)
      | ^~~~~~~~~~~~~~~~~~~~~~~
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o print-rtl-function.o -MT print-rtl-function.o -MMD -MP -MF ./.deps/print-rtl-function.TPo ../../src/gcc/print-rtl-function.c
In file included from ../../src/gcc/dumpfile.h:24,
                 from ../../src/gcc/coretypes.h:464,
                 from ../../src/gcc/predict.c:32:
../../src/gcc/profile-count.h: In member function 'profile_count profile_count::max(profile_count) const':
../../src/gcc/profile-count.h:1014:17: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 1014 |   profile_count max (profile_count other) const
      |                 ^~~
../../src/gcc/predict.c: In function 'bool maybe_hot_count_p(function*, profile_count)':
../../src/gcc/predict.c:154:1: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
  154 | maybe_hot_count_p (struct function *fun, profile_count count)
      | ^~~~~~~~~~~~~~~~~
../../src/gcc/predict.c: In function 'void drop_profile(cgraph_node*, profile_count)':
../../src/gcc/predict.c:3449:1: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 3449 | drop_profile (struct cgraph_node *node, profile_count call_count)
      | ^~~~~~~~~~~~
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o print-tree.o -MT print-tree.o -MMD -MP -MF ./.deps/print-tree.TPo ../../src/gcc/print-tree.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o profile.o -MT profile.o -MMD -MP -MF ./.deps/profile.TPo ../../src/gcc/profile.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o profile-count.o -MT profile-count.o -MMD -MP -MF ./.deps/profile-count.TPo ../../src/gcc/profile-count.c
../../src/gcc/profile-count.c: In member function 'bool profile_count::differs_from_p(profile_count) const':
../../src/gcc/profile-count.c:111:1: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
  111 | profile_count::differs_from_p (profile_count other) const
      | ^~~~~~~~~~~~~
../../src/gcc/profile-count.c: In member function 'int profile_count::to_cgraph_frequency(profile_count) const':
../../src/gcc/profile-count.c:286:1: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
  286 | profile_count::to_cgraph_frequency (profile_count entry_bb_count) const
      | ^~~~~~~~~~~~~
../../src/gcc/profile-count.c: In member function 'sreal profile_count::to_sreal_scale(profile_count, bool*) const':
../../src/gcc/profile-count.c:304:1: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
  304 | profile_count::to_sreal_scale (profile_count in, bool *known) const
      | ^~~~~~~~~~~~~
../../src/gcc/profile-count.c: In member function 'profile_count profile_count::combine_with_ipa_count(profile_count)':
../../src/gcc/profile-count.c:376:1: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
  376 | profile_count::combine_with_ipa_count (profile_count ipa)
      | ^~~~~~~~~~~~~
../../src/gcc/profile-count.c: In member function 'profile_count profile_count::combine_with_ipa_count_within(profile_count, profile_count)':
../../src/gcc/profile-count.c:393:1: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
  393 | profile_count::combine_with_ipa_count_within (profile_count ipa,
      | ^~~~~~~~~~~~~
../../src/gcc/profile-count.c:393:1: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
../../src/gcc/profile-count.c: In member function 'profile_probability profile_probability::combine_with_count(profile_count, profile_probability, profile_count) const':
../../src/gcc/profile-count.c:430:1: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
  430 | profile_probability::combine_with_count (profile_count count1,
      | ^~~~~~~~~~~~~~~~~~~
../../src/gcc/profile-count.c:430:1: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o range.o -MT range.o -MMD -MP -MF ./.deps/range.TPo ../../src/gcc/range.cc
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o range-op.o -MT range-op.o -MMD -MP -MF ./.deps/range-op.TPo ../../src/gcc/range-op.cc
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o read-md.o -MT read-md.o -MMD -MP -MF ./.deps/read-md.TPo ../../src/gcc/read-md.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o read-rtl.o -MT read-rtl.o -MMD -MP -MF ./.deps/read-rtl.TPo ../../src/gcc/read-rtl.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o read-rtl-function.o -MT read-rtl-function.o -MMD -MP -MF ./.deps/read-rtl-function.TPo ../../src/gcc/read-rtl-function.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o real.o -MT real.o -MMD -MP -MF ./.deps/real.TPo ../../src/gcc/real.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o realmpfr.o -MT realmpfr.o -MMD -MP -MF ./.deps/realmpfr.TPo ../../src/gcc/realmpfr.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o recog.o -MT recog.o -MMD -MP -MF ./.deps/recog.TPo ../../src/gcc/recog.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o reg-stack.o -MT reg-stack.o -MMD -MP -MF ./.deps/reg-stack.TPo ../../src/gcc/reg-stack.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o regcprop.o -MT regcprop.o -MMD -MP -MF ./.deps/regcprop.TPo ../../src/gcc/regcprop.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o reginfo.o -MT reginfo.o -MMD -MP -MF ./.deps/reginfo.TPo ../../src/gcc/reginfo.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o regrename.o -MT regrename.o -MMD -MP -MF ./.deps/regrename.TPo ../../src/gcc/regrename.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o regstat.o -MT regstat.o -MMD -MP -MF ./.deps/regstat.TPo ../../src/gcc/regstat.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o reload.o -MT reload.o -MMD -MP -MF ./.deps/reload.TPo ../../src/gcc/reload.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o reload1.o -MT reload1.o -MMD -MP -MF ./.deps/reload1.TPo ../../src/gcc/reload1.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o reorg.o -MT reorg.o -MMD -MP -MF ./.deps/reorg.TPo ../../src/gcc/reorg.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o resource.o -MT resource.o -MMD -MP -MF ./.deps/resource.TPo ../../src/gcc/resource.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o rtl-error.o -MT rtl-error.o -MMD -MP -MF ./.deps/rtl-error.TPo ../../src/gcc/rtl-error.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o rtl-tests.o -MT rtl-tests.o -MMD -MP -MF ./.deps/rtl-tests.TPo ../../src/gcc/rtl-tests.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o rtl.o -MT rtl.o -MMD -MP -MF ./.deps/rtl.TPo ../../src/gcc/rtl.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o rtlhash.o -MT rtlhash.o -MMD -MP -MF ./.deps/rtlhash.TPo ../../src/gcc/rtlhash.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o rtlanal.o -MT rtlanal.o -MMD -MP -MF ./.deps/rtlanal.TPo ../../src/gcc/rtlanal.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o rtlhooks.o -MT rtlhooks.o -MMD -MP -MF ./.deps/rtlhooks.TPo ../../src/gcc/rtlhooks.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o rtx-vector-builder.o -MT rtx-vector-builder.o -MMD -MP -MF ./.deps/rtx-vector-builder.TPo ../../src/gcc/rtx-vector-builder.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o run-rtl-passes.o -MT run-rtl-passes.o -MMD -MP -MF ./.deps/run-rtl-passes.TPo ../../src/gcc/run-rtl-passes.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o sched-deps.o -MT sched-deps.o -MMD -MP -MF ./.deps/sched-deps.TPo ../../src/gcc/sched-deps.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o sched-ebb.o -MT sched-ebb.o -MMD -MP -MF ./.deps/sched-ebb.TPo ../../src/gcc/sched-ebb.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o sched-rgn.o -MT sched-rgn.o -MMD -MP -MF ./.deps/sched-rgn.TPo ../../src/gcc/sched-rgn.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o sel-sched-ir.o -MT sel-sched-ir.o -MMD -MP -MF ./.deps/sel-sched-ir.TPo ../../src/gcc/sel-sched-ir.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o sel-sched-dump.o -MT sel-sched-dump.o -MMD -MP -MF ./.deps/sel-sched-dump.TPo ../../src/gcc/sel-sched-dump.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o sel-sched.o -MT sel-sched.o -MMD -MP -MF ./.deps/sel-sched.TPo ../../src/gcc/sel-sched.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o selftest-rtl.o -MT selftest-rtl.o -MMD -MP -MF ./.deps/selftest-rtl.TPo ../../src/gcc/selftest-rtl.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o selftest-run-tests.o -MT selftest-run-tests.o -MMD -MP -MF ./.deps/selftest-run-tests.TPo ../../src/gcc/selftest-run-tests.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o sese.o -MT sese.o -MMD -MP -MF ./.deps/sese.TPo ../../src/gcc/sese.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o shrink-wrap.o -MT shrink-wrap.o -MMD -MP -MF ./.deps/shrink-wrap.TPo ../../src/gcc/shrink-wrap.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o simplify-rtx.o -MT simplify-rtx.o -MMD -MP -MF ./.deps/simplify-rtx.TPo ../../src/gcc/simplify-rtx.c
In file included from ../../src/gcc/dumpfile.h:24,
                 from ../../src/gcc/coretypes.h:464,
                 from ../../src/gcc/shrink-wrap.c:24:
../../src/gcc/profile-count.h: In member function 'profile_count profile_count::apply_scale(profile_count, profile_count) const':
../../src/gcc/profile-count.h:1089:17: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 1089 |   profile_count apply_scale (profile_count num, profile_count den) const
      |                 ^~~~~~~~~~~
../../src/gcc/profile-count.h:1089:17: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
../../src/gcc/shrink-wrap.c: In function 'void try_shrink_wrapping(edge_def**, rtx_insn*)':
../../src/gcc/shrink-wrap.c:930:47: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
  930 |    bb->count = bb->count.apply_scale (num, den);
      |                                               ^
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o sparseset.o -MT sparseset.o -MMD -MP -MF ./.deps/sparseset.TPo ../../src/gcc/sparseset.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o spellcheck.o -MT spellcheck.o -MMD -MP -MF ./.deps/spellcheck.TPo ../../src/gcc/spellcheck.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o spellcheck-tree.o -MT spellcheck-tree.o -MMD -MP -MF ./.deps/spellcheck-tree.TPo ../../src/gcc/spellcheck-tree.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o sreal.o -MT sreal.o -MMD -MP -MF ./.deps/sreal.TPo ../../src/gcc/sreal.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o stack-ptr-mod.o -MT stack-ptr-mod.o -MMD -MP -MF ./.deps/stack-ptr-mod.TPo ../../src/gcc/stack-ptr-mod.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o statistics.o -MT statistics.o -MMD -MP -MF ./.deps/statistics.TPo ../../src/gcc/statistics.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o stmt.o -MT stmt.o -MMD -MP -MF ./.deps/stmt.TPo ../../src/gcc/stmt.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o stor-layout.o -MT stor-layout.o -MMD -MP -MF ./.deps/stor-layout.TPo ../../src/gcc/stor-layout.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o store-motion.o -MT store-motion.o -MMD -MP -MF ./.deps/store-motion.TPo ../../src/gcc/store-motion.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o streamer-hooks.o -MT streamer-hooks.o -MMD -MP -MF ./.deps/streamer-hooks.TPo ../../src/gcc/streamer-hooks.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o stringpool.o -MT stringpool.o -MMD -MP -MF ./.deps/stringpool.TPo ../../src/gcc/stringpool.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o substring-locations.o -MT substring-locations.o -MMD -MP -MF ./.deps/substring-locations.TPo ../../src/gcc/substring-locations.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o target-globals.o -MT target-globals.o -MMD -MP -MF ./.deps/target-globals.TPo ../../src/gcc/target-globals.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o targhooks.o -MT targhooks.o -MMD -MP -MF ./.deps/targhooks.TPo ../../src/gcc/targhooks.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o timevar.o -MT timevar.o -MMD -MP -MF ./.deps/timevar.TPo ../../src/gcc/timevar.c
g++ -fno-PIE -c  -DTARGET_NAME=\"armv7l-unknown-linux-gnueabihf\" -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o toplev.o -MT toplev.o -MMD -MP -MF ./.deps/toplev.TPo ../../src/gcc/toplev.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tracer.o -MT tracer.o -MMD -MP -MF ./.deps/tracer.TPo ../../src/gcc/tracer.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o trans-mem.o -MT trans-mem.o -MMD -MP -MF ./.deps/trans-mem.TPo ../../src/gcc/trans-mem.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-affine.o -MT tree-affine.o -MMD -MP -MF ./.deps/tree-affine.TPo ../../src/gcc/tree-affine.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o asan.o -MT asan.o -MMD -MP -MF ./.deps/asan.TPo ../../src/gcc/asan.c
../../src/gcc/trans-mem.c: In function 'bool ipa_tm_insert_gettmclone_call(cgraph_node*, tm_region*, gimple_stmt_iterator*, gcall*)':
../../src/gcc/trans-mem.c:5102:21: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 5102 |   node->create_edge (cgraph_node::get_create (gettm_fn), g, gimple_bb (g)->count);
      |   ~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tsan.o -MT tsan.o -MMD -MP -MF ./.deps/tsan.TPo ../../src/gcc/tsan.c
../../src/gcc/trans-mem.c: In function 'bool ipa_tm_transform_calls(cgraph_node*, tm_region*, basic_block, bitmap)':
../../src/gcc/trans-mem.c:5051:21: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 5051 |   node->create_edge (cgraph_node::get_create
      |   ~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~
 5052 |          (builtin_decl_explicit (BUILT_IN_TM_IRREVOCABLE)),
      |          ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
 5053 |        g, gimple_bb (g)->count);
      |        ~~~~~~~~~~~~~~~~~~~~~~~~
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o ubsan.o -MT ubsan.o -MMD -MP -MF ./.deps/ubsan.TPo ../../src/gcc/ubsan.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o sanopt.o -MT sanopt.o -MMD -MP -MF ./.deps/sanopt.TPo ../../src/gcc/sanopt.c
../../src/gcc/ubsan.c: In function 'tree_node* ubsan_type_descriptor(tree, ubsan_print_style)':
../../src/gcc/ubsan.c:411:33: warning: unterminated quote character ''' in format [-Wformat-diag]
  411 |       pp_printf (&pretty_name, "'%s%s%s%s%s%s%s",
      |                                 ^
../../src/gcc/ubsan.c:430:36: warning: spurious trailing space in format [-Wformat-diag]
  430 |       pp_printf (&pretty_name, "'%s ", tname);
      |                                    ^
../../src/gcc/ubsan.c:430:33: warning: unterminated quote character ''' in format [-Wformat-diag]
  430 |       pp_printf (&pretty_name, "'%s ", tname);
      |                                 ^
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o sancov.o -MT sancov.o -MMD -MP -MF ./.deps/sancov.TPo ../../src/gcc/sancov.c
../../src/gcc/ubsan.c: In function 'void ubsan_create_edge(gimple*)':
../../src/gcc/ubsan.c:684:23: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
  684 |     node->create_edge (cgraph_node::get_create (decl), call_stmt, bb->count);
      |     ~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-call-cdce.o -MT tree-call-cdce.o -MMD -MP -MF ./.deps/tree-call-cdce.TPo ../../src/gcc/tree-call-cdce.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-cfg.o -MT tree-cfg.o -MMD -MP -MF ./.deps/tree-cfg.TPo ../../src/gcc/tree-cfg.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-cfgcleanup.o -MT tree-cfgcleanup.o -MMD -MP -MF ./.deps/tree-cfgcleanup.TPo ../../src/gcc/tree-cfgcleanup.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-chrec.o -MT tree-chrec.o -MMD -MP -MF ./.deps/tree-chrec.TPo ../../src/gcc/tree-chrec.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-complex.o -MT tree-complex.o -MMD -MP -MF ./.deps/tree-complex.TPo ../../src/gcc/tree-complex.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-data-ref.o -MT tree-data-ref.o -MMD -MP -MF ./.deps/tree-data-ref.TPo ../../src/gcc/tree-data-ref.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-dfa.o -MT tree-dfa.o -MMD -MP -MF ./.deps/tree-dfa.TPo ../../src/gcc/tree-dfa.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-diagnostic.o -MT tree-diagnostic.o -MMD -MP -MF ./.deps/tree-diagnostic.TPo ../../src/gcc/tree-diagnostic.c
In file included from ../../src/gcc/dumpfile.h:24,
                 from ../../src/gcc/coretypes.h:464,
                 from ../../src/gcc/tree-cfg.c:23:
../../src/gcc/profile-count.h: In member function 'profile_count profile_count::apply_scale(profile_count, profile_count) const':
../../src/gcc/profile-count.h:1089:17: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 1089 |   profile_count apply_scale (profile_count num, profile_count den) const
      |                 ^~~~~~~~~~~
../../src/gcc/profile-count.h:1089:17: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-diagnostic-path.o -MT tree-diagnostic-path.o -MMD -MP -MF ./.deps/tree-diagnostic-path.TPo ../../src/gcc/tree-diagnostic-path.cc
../../src/gcc/tree-cfg.c: In function 'bool gimple_duplicate_sese_region(edge, edge, basic_block_def**, unsigned int, basic_block_def**, bool)':
../../src/gcc/tree-cfg.c:6538:43: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 6538 |       scale_bbs_frequencies_profile_count (region, n_region,
      |       ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~
 6539 |                total_count - entry_count,
      |                ~~~~~~~~~~~~~~~~~~~~~~~~~~  
 6540 |                total_count);
      |                ~~~~~~~~~~~~                
../../src/gcc/tree-cfg.c:6541:43: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 6541 |       scale_bbs_frequencies_profile_count (region_copy, n_region, entry_count,
      |       ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
 6542 |                total_count);
      |                ~~~~~~~~~~~~                
../../src/gcc/tree-cfg.c: In function 'bool gimple_duplicate_sese_tail(edge, edge, basic_block_def**, unsigned int, basic_block_def**)':
../../src/gcc/tree-cfg.c:6687:43: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 6687 |       scale_bbs_frequencies_profile_count (region, n_region,
      |       ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~
 6688 |                total_count - exit_count,
      |                ~~~~~~~~~~~~~~~~~~~~~~~~~   
 6689 |                total_count);
      |                ~~~~~~~~~~~~                
../../src/gcc/tree-cfg.c:6690:43: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 6690 |       scale_bbs_frequencies_profile_count (region_copy, n_region, exit_count,
      |       ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
 6691 |                total_count);
      |                ~~~~~~~~~~~~                
../../src/gcc/tree-diagnostic-path.cc: In member function 'void {anonymous}::path_summary::print(diagnostic_context*, bool) const':
../../src/gcc/tree-diagnostic-path.cc:419:21: warning: spurious leading punctuation sequence '|' in format [-Wformat-diag]
  419 |     pp_printf (pp, "|");
      |                     ^
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-dump.o -MT tree-dump.o -MMD -MP -MF ./.deps/tree-dump.TPo ../../src/gcc/tree-dump.c
../../src/gcc/tree-cfg.c: In function 'unsigned int execute_fixup_cfg()':
../../src/gcc/tree-cfg.c:9626:68: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 9626 |         = EXIT_BLOCK_PTR_FOR_FN (cfun)->count.apply_scale (num, den);
      |                                                                    ^
../../src/gcc/tree-cfg.c:9632:52: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 9632 |         bb->count = bb->count.apply_scale (num, den);
      |                                                    ^
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-eh.o -MT tree-eh.o -MMD -MP -MF ./.deps/tree-eh.TPo ../../src/gcc/tree-eh.c
../../src/gcc/tree-cfg.c:9723:23: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 9723 |     node->create_edge (cgraph_node::get_create (fndecl),
      |     ~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
 9724 |          call_stmt, bb->count);
      |          ~~~~~~~~~~~~~~~~~~~~~
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-emutls.o -MT tree-emutls.o -MMD -MP -MF ./.deps/tree-emutls.TPo ../../src/gcc/tree-emutls.c
../../src/gcc/tree-emutls.c: In function 'tree_node* lower_emutls_1(tree_node**, int*, void*)':
../../src/gcc/tree-emutls.c:422:33: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
  422 |       d->cfun_node->create_edge (d->builtin_node, x, d->bb->count);
      |       ~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-if-conv.o -MT tree-if-conv.o -MMD -MP -MF ./.deps/tree-if-conv.TPo ../../src/gcc/tree-if-conv.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-inline.o -MT tree-inline.o -MMD -MP -MF ./.deps/tree-inline.TPo ../../src/gcc/tree-inline.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-into-ssa.o -MT tree-into-ssa.o -MMD -MP -MF ./.deps/tree-into-ssa.TPo ../../src/gcc/tree-into-ssa.c
In file included from ../../src/gcc/dumpfile.h:24,
                 from ../../src/gcc/coretypes.h:464,
                 from ../../src/gcc/tree-inline.c:23:
../../src/gcc/profile-count.h: In member function 'profile_count profile_count::apply_scale(profile_count, profile_count) const':
../../src/gcc/profile-count.h:1089:17: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 1089 |   profile_count apply_scale (profile_count num, profile_count den) const
      |                 ^~~~~~~~~~~
../../src/gcc/profile-count.h:1089:17: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-iterator.o -MT tree-iterator.o -MMD -MP -MF ./.deps/tree-iterator.TPo ../../src/gcc/tree-iterator.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-loop-distribution.o -MT tree-loop-distribution.o -MMD -MP -MF ./.deps/tree-loop-distribution.TPo ../../src/gcc/tree-loop-distribution.c
../../src/gcc/tree-inline.c: In function 'basic_block_def* copy_bb(copy_body_data*, basic_block, profile_count, profile_count)':
../../src/gcc/tree-inline.c:1999:1: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 1999 | copy_bb (copy_body_data *id, basic_block bb,
      | ^~~~~~~
../../src/gcc/tree-inline.c:1999:1: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
../../src/gcc/tree-inline.c:2017:60: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 2017 |   copy_basic_block->count = bb->count.apply_scale (num, den);
      |                                                            ^
../../src/gcc/tree-inline.c:2248:26: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 2248 |          edge = e->clone (id->dst_node, call_stmt,
      |                 ~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~
 2249 |             gimple_uid (stmt), num, den,
      |             ~~~~~~~~~~~~~~~~~~~~~~~~~~~~
 2250 |             true);
      |             ~~~~~         
../../src/gcc/tree-inline.c:2248:26: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
../../src/gcc/tree-inline.c:2265:33: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 2265 |      indirect = indirect->clone (id->dst_node, call_stmt,
      |                 ~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~
 2266 |             gimple_uid (stmt),
      |             ~~~~~~~~~~~~~~~~~~   
 2267 |             num, den,
      |             ~~~~~~~~~            
 2268 |             true);
      |             ~~~~~                
../../src/gcc/tree-inline.c:2265:33: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
../../src/gcc/tree-inline.c:2278:25: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 2278 |      edge = edge->clone (id->dst_node, call_stmt,
      |             ~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~
 2279 |            gimple_uid (stmt),
      |            ~~~~~~~~~~~~~~~~~~
 2280 |            num, den,
      |            ~~~~~~~~~     
 2281 |            true);
      |            ~~~~~         
../../src/gcc/tree-inline.c:2278:25: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
../../src/gcc/tree-inline.c:2325:9: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 2324 |       id->dst_node->create_edge_including_clones
      |       ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
 2325 |         (dest, orig_stmt, call_stmt, bb->count,
      |         ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
 2326 |          CIF_ORIGINALLY_INDIRECT_CALL);
      |          ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
../../src/gcc/tree-inline.c:2328:33: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 2328 |       id->dst_node->create_edge (dest, call_stmt,
      |       ~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~
 2329 |      bb->count)->inline_failed
      |      ~~~~~~~~~~                  
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-nested.o -MT tree-nested.o -MMD -MP -MF ./.deps/tree-nested.TPo ../../src/gcc/tree-nested.c
../../src/gcc/tree-inline.c: In function 'tree_node* copy_body(copy_body_data*, basic_block, basic_block, basic_block)':
../../src/gcc/tree-inline.c:3054:31: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 3054 |  basic_block new_bb = copy_bb (id, bb, num, den);
      |                       ~~~~~~~~^~~~~~~~~~~~~~~~~~
../../src/gcc/tree-inline.c:2536:59: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 2536 |  new_bb->count -= old_edge->count ().apply_scale (num, den);
      |                                                           ^
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-nrv.o -MT tree-nrv.o -MMD -MP -MF ./.deps/tree-nrv.TPo ../../src/gcc/tree-nrv.c
../../src/gcc/tree-inline.c: In function 'bool expand_call_inline(basic_block, gimple*, copy_body_data*, bitmap)':
../../src/gcc/tree-inline.c:4823:43: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 4823 |       edge = id->src_node->callees->clone (id->dst_node, call_stmt,
      |              ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~
 4824 |                   gimple_uid (stmt),
      |                   ~~~~~~~~~~~~~~~~~~       
 4825 |            profile_count::one (),
      |            ~~~~~~~~~~~~~~~~~~~~~~          
 4826 |         profile_count::one (),
      |         ~~~~~~~~~~~~~~~~~~~~~~             
 4827 |                true);
      |                ~~~~~                       
../../src/gcc/tree-inline.c:4823:43: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-object-size.o -MT tree-object-size.o -MMD -MP -MF ./.deps/tree-object-size.TPo ../../src/gcc/tree-object-size.c
../../src/gcc/tree-inline.c: In function 'void tree_function_versioning(tree, tree, vec<ipa_replace_map*, va_gc>*, ipa_param_adjustments*, bool, bitmap, basic_block)':
../../src/gcc/tree-inline.c:2810:45: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 2810 |     ENTRY_BLOCK_PTR_FOR_FN (src_cfun)->count);
      |                                             ^
../../src/gcc/tree-inline.c:2813:45: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 2813 |     ENTRY_BLOCK_PTR_FOR_FN (src_cfun)->count);
      |                                             ^
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-outof-ssa.o -MT tree-outof-ssa.o -MMD -MP -MF ./.deps/tree-outof-ssa.TPo ../../src/gcc/tree-outof-ssa.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-parloops.o -MT tree-parloops.o -MMD -MP -MF ./.deps/tree-parloops.TPo ../../src/gcc/tree-parloops.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-phinodes.o -MT tree-phinodes.o -MMD -MP -MF ./.deps/tree-phinodes.TPo ../../src/gcc/tree-phinodes.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-predcom.o -MT tree-predcom.o -MMD -MP -MF ./.deps/tree-predcom.TPo ../../src/gcc/tree-predcom.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-pretty-print.o -MT tree-pretty-print.o -MMD -MP -MF ./.deps/tree-pretty-print.TPo ../../src/gcc/tree-pretty-print.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-profile.o -MT tree-profile.o -MMD -MP -MF ./.deps/tree-profile.TPo ../../src/gcc/tree-profile.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-scalar-evolution.o -MT tree-scalar-evolution.o -MMD -MP -MF ./.deps/tree-scalar-evolution.TPo ../../src/gcc/tree-scalar-evolution.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-sra.o -MT tree-sra.o -MMD -MP -MF ./.deps/tree-sra.TPo ../../src/gcc/tree-sra.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-switch-conversion.o -MT tree-switch-conversion.o -MMD -MP -MF ./.deps/tree-switch-conversion.TPo ../../src/gcc/tree-switch-conversion.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-address.o -MT tree-ssa-address.o -MMD -MP -MF ./.deps/tree-ssa-address.TPo ../../src/gcc/tree-ssa-address.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-alias.o -MT tree-ssa-alias.o -MMD -MP -MF ./.deps/tree-ssa-alias.TPo ../../src/gcc/tree-ssa-alias.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-ccp.o -MT tree-ssa-ccp.o -MMD -MP -MF ./.deps/tree-ssa-ccp.TPo ../../src/gcc/tree-ssa-ccp.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-coalesce.o -MT tree-ssa-coalesce.o -MMD -MP -MF ./.deps/tree-ssa-coalesce.TPo ../../src/gcc/tree-ssa-coalesce.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-copy.o -MT tree-ssa-copy.o -MMD -MP -MF ./.deps/tree-ssa-copy.TPo ../../src/gcc/tree-ssa-copy.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-dce.o -MT tree-ssa-dce.o -MMD -MP -MF ./.deps/tree-ssa-dce.TPo ../../src/gcc/tree-ssa-dce.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-dom.o -MT tree-ssa-dom.o -MMD -MP -MF ./.deps/tree-ssa-dom.TPo ../../src/gcc/tree-ssa-dom.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-dse.o -MT tree-ssa-dse.o -MMD -MP -MF ./.deps/tree-ssa-dse.TPo ../../src/gcc/tree-ssa-dse.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-forwprop.o -MT tree-ssa-forwprop.o -MMD -MP -MF ./.deps/tree-ssa-forwprop.TPo ../../src/gcc/tree-ssa-forwprop.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-ifcombine.o -MT tree-ssa-ifcombine.o -MMD -MP -MF ./.deps/tree-ssa-ifcombine.TPo ../../src/gcc/tree-ssa-ifcombine.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-live.o -MT tree-ssa-live.o -MMD -MP -MF ./.deps/tree-ssa-live.TPo ../../src/gcc/tree-ssa-live.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-loop-ch.o -MT tree-ssa-loop-ch.o -MMD -MP -MF ./.deps/tree-ssa-loop-ch.TPo ../../src/gcc/tree-ssa-loop-ch.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-loop-im.o -MT tree-ssa-loop-im.o -MMD -MP -MF ./.deps/tree-ssa-loop-im.TPo ../../src/gcc/tree-ssa-loop-im.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-loop-ivcanon.o -MT tree-ssa-loop-ivcanon.o -MMD -MP -MF ./.deps/tree-ssa-loop-ivcanon.TPo ../../src/gcc/tree-ssa-loop-ivcanon.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-loop-ivopts.o -MT tree-ssa-loop-ivopts.o -MMD -MP -MF ./.deps/tree-ssa-loop-ivopts.TPo ../../src/gcc/tree-ssa-loop-ivopts.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-loop-manip.o -MT tree-ssa-loop-manip.o -MMD -MP -MF ./.deps/tree-ssa-loop-manip.TPo ../../src/gcc/tree-ssa-loop-manip.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-loop-niter.o -MT tree-ssa-loop-niter.o -MMD -MP -MF ./.deps/tree-ssa-loop-niter.TPo ../../src/gcc/tree-ssa-loop-niter.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-loop-prefetch.o -MT tree-ssa-loop-prefetch.o -MMD -MP -MF ./.deps/tree-ssa-loop-prefetch.TPo ../../src/gcc/tree-ssa-loop-prefetch.c
../../src/gcc/tree-ssa-loop-manip.c: In function 'void scale_dominated_blocks_in_loop(loop*, basic_block, profile_count, profile_count)':
../../src/gcc/tree-ssa-loop-manip.c:1114:1: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 1114 | scale_dominated_blocks_in_loop (class loop *loop, basic_block bb,
      | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
../../src/gcc/tree-ssa-loop-manip.c:1114:1: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
../../src/gcc/tree-ssa-loop-manip.c:1128:43: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 1128 |       scale_bbs_frequencies_profile_count (&son, 1, num, den);
      |       ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~
../../src/gcc/tree-ssa-loop-manip.c:1129:38: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 1129 |       scale_dominated_blocks_in_loop (loop, son, num, den);
      |       ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~
../../src/gcc/tree-ssa-loop-manip.c: In function 'void tree_transform_and_unroll_loop(loop*, unsigned int, edge, tree_niter_desc*, transform_callback, void*)':
../../src/gcc/tree-ssa-loop-manip.c:1302:36: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 1302 |     scale_dominated_blocks_in_loop (loop, exit->src,
      |     ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~
 1303 |         /* We are scaling up here so probability
      |         ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
 1304 |            does not fit.  */
      |            ~~~~~~~~~~~~~~~~~        
 1305 |         loop->header->count,
      |         ~~~~~~~~~~~~~~~~~~~~        
 1306 |         loop->header->count
      |         ~~~~~~~~~~~~~~~~~~~         
 1307 |         - loop->header->count.apply_probability
      |         ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
 1308 |       (exit->probability));
      |       ~~~~~~~~~~~~~~~~~~~~          
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-loop-split.o -MT tree-ssa-loop-split.o -MMD -MP -MF ./.deps/tree-ssa-loop-split.TPo ../../src/gcc/tree-ssa-loop-split.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-loop-unswitch.o -MT tree-ssa-loop-unswitch.o -MMD -MP -MF ./.deps/tree-ssa-loop-unswitch.TPo ../../src/gcc/tree-ssa-loop-unswitch.c
In file included from ../../src/gcc/dumpfile.h:24,
                 from ../../src/gcc/coretypes.h:464,
                 from ../../src/gcc/tree-ssa-loop-unswitch.c:22:
../../src/gcc/profile-count.h: In member function 'profile_count profile_count::apply_scale(profile_count, profile_count) const':
../../src/gcc/profile-count.h:1089:17: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 1089 |   profile_count apply_scale (profile_count num, profile_count den) const
      |                 ^~~~~~~~~~~
../../src/gcc/profile-count.h:1089:17: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
../../src/gcc/tree-ssa-loop-unswitch.c: In function 'unsigned int tree_ssa_unswitch_loops()':
../../src/gcc/tree-ssa-loop-unswitch.c:856:30: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
  856 |             guard->src->count)
      |                              ^
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-loop.o -MT tree-ssa-loop.o -MMD -MP -MF ./.deps/tree-ssa-loop.TPo ../../src/gcc/tree-ssa-loop.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-math-opts.o -MT tree-ssa-math-opts.o -MMD -MP -MF ./.deps/tree-ssa-math-opts.TPo ../../src/gcc/tree-ssa-math-opts.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-operands.o -MT tree-ssa-operands.o -MMD -MP -MF ./.deps/tree-ssa-operands.TPo ../../src/gcc/tree-ssa-operands.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-phiopt.o -MT tree-ssa-phiopt.o -MMD -MP -MF ./.deps/tree-ssa-phiopt.TPo ../../src/gcc/tree-ssa-phiopt.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-phiprop.o -MT tree-ssa-phiprop.o -MMD -MP -MF ./.deps/tree-ssa-phiprop.TPo ../../src/gcc/tree-ssa-phiprop.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-pre.o -MT tree-ssa-pre.o -MMD -MP -MF ./.deps/tree-ssa-pre.TPo ../../src/gcc/tree-ssa-pre.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-propagate.o -MT tree-ssa-propagate.o -MMD -MP -MF ./.deps/tree-ssa-propagate.TPo ../../src/gcc/tree-ssa-propagate.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-reassoc.o -MT tree-ssa-reassoc.o -MMD -MP -MF ./.deps/tree-ssa-reassoc.TPo ../../src/gcc/tree-ssa-reassoc.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-sccvn.o -MT tree-ssa-sccvn.o -MMD -MP -MF ./.deps/tree-ssa-sccvn.TPo ../../src/gcc/tree-ssa-sccvn.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-scopedtables.o -MT tree-ssa-scopedtables.o -MMD -MP -MF ./.deps/tree-ssa-scopedtables.TPo ../../src/gcc/tree-ssa-scopedtables.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-sink.o -MT tree-ssa-sink.o -MMD -MP -MF ./.deps/tree-ssa-sink.TPo ../../src/gcc/tree-ssa-sink.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-strlen.o -MT tree-ssa-strlen.o -MMD -MP -MF ./.deps/tree-ssa-strlen.TPo ../../src/gcc/tree-ssa-strlen.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-structalias.o -MT tree-ssa-structalias.o -MMD -MP -MF ./.deps/tree-ssa-structalias.TPo ../../src/gcc/tree-ssa-structalias.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-tail-merge.o -MT tree-ssa-tail-merge.o -MMD -MP -MF ./.deps/tree-ssa-tail-merge.TPo ../../src/gcc/tree-ssa-tail-merge.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-ter.o -MT tree-ssa-ter.o -MMD -MP -MF ./.deps/tree-ssa-ter.TPo ../../src/gcc/tree-ssa-ter.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-threadbackward.o -MT tree-ssa-threadbackward.o -MMD -MP -MF ./.deps/tree-ssa-threadbackward.TPo ../../src/gcc/tree-ssa-threadbackward.c
../../src/gcc/tree-ssa-tail-merge.c: In function 'unsigned int tail_merge_optimize(unsigned int)':
../../src/gcc/tree-ssa-tail-merge.c:1598:63: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 1598 |                       (bb1->count, e2->probability, bb2->count);
      |                                                               ^
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-threadedge.o -MT tree-ssa-threadedge.o -MMD -MP -MF ./.deps/tree-ssa-threadedge.TPo ../../src/gcc/tree-ssa-threadedge.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-threadupdate.o -MT tree-ssa-threadupdate.o -MMD -MP -MF ./.deps/tree-ssa-threadupdate.TPo ../../src/gcc/tree-ssa-threadupdate.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-uncprop.o -MT tree-ssa-uncprop.o -MMD -MP -MF ./.deps/tree-ssa-uncprop.TPo ../../src/gcc/tree-ssa-uncprop.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-uninit.o -MT tree-ssa-uninit.o -MMD -MP -MF ./.deps/tree-ssa-uninit.TPo ../../src/gcc/tree-ssa-uninit.c
../../src/gcc/tree-ssa-threadupdate.c: In function 'void update_profile(edge, edge, profile_count, profile_count)':
../../src/gcc/tree-ssa-threadupdate.c:864:1: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
  864 | update_profile (edge epath, edge edup, profile_count path_in_count,
      | ^~~~~~~~~~~~~~
../../src/gcc/tree-ssa-threadupdate.c:864:1: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa.o -MT tree-ssa.o -MMD -MP -MF ./.deps/tree-ssa.TPo ../../src/gcc/tree-ssa.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssanames.o -MT tree-ssanames.o -MMD -MP -MF ./.deps/tree-ssanames.TPo ../../src/gcc/tree-ssanames.c
../../src/gcc/tree-ssa-threadupdate.c: In function 'void ssa_fix_duplicate_block_edges(redirection_data*, ssa_local_info_t*)':
../../src/gcc/tree-ssa-threadupdate.c:1047:19: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 1047 |    update_profile (epath, e2, path_in_count, path_out_count);
      |    ~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
../../src/gcc/tree-ssa-threadupdate.c:1066:19: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 1066 |    update_profile (epath, EDGE_SUCC (rd->dup_blocks[count], 0),
      |    ~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
 1067 |      path_out_count, path_out_count);
      |      ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
../../src/gcc/tree-ssa-threadupdate.c:1084:20: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 1084 |     update_profile (epath, NULL, path_out_count, path_out_count);
      |     ~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
../../src/gcc/tree-ssa-threadupdate.c: In function 'bool thread_through_all_blocks(bool)':
../../src/gcc/tree-ssa-threadupdate.c:2378:42: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 2378 |      scale_bbs_frequencies_profile_count (region + i, 1,
      |      ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~
 2379 |               region[i]->count - curr_count,
      |               ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
 2380 |               region[i]->count);
      |               ~~~~~~~~~~~~~~~~~           
../../src/gcc/tree-ssa-threadupdate.c:2385:40: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 2385 |    scale_bbs_frequencies_profile_count (region_copy + i, 1, curr_count,
      |    ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
 2386 |             region_copy[i]->count);
      |             ~~~~~~~~~~~~~~~~~~~~~~      
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-stdarg.o -MT tree-stdarg.o -MMD -MP -MF ./.deps/tree-stdarg.TPo ../../src/gcc/tree-stdarg.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-streamer.o -MT tree-streamer.o -MMD -MP -MF ./.deps/tree-streamer.TPo ../../src/gcc/tree-streamer.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-streamer-in.o -MT tree-streamer-in.o -MMD -MP -MF ./.deps/tree-streamer-in.TPo ../../src/gcc/tree-streamer-in.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-streamer-out.o -MT tree-streamer-out.o -MMD -MP -MF ./.deps/tree-streamer-out.TPo ../../src/gcc/tree-streamer-out.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-tailcall.o -MT tree-tailcall.o -MMD -MP -MF ./.deps/tree-tailcall.TPo ../../src/gcc/tree-tailcall.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-vect-generic.o -MT tree-vect-generic.o -MMD -MP -MF ./.deps/tree-vect-generic.TPo ../../src/gcc/tree-vect-generic.c
../../src/gcc/tree-tailcall.c: In function 'void decrease_profile(basic_block, profile_count)':
../../src/gcc/tree-tailcall.c:916:1: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
  916 | decrease_profile (basic_block bb, profile_count count)
      | ^~~~~~~~~~~~~~~~
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-vect-patterns.o -MT tree-vect-patterns.o -MMD -MP -MF ./.deps/tree-vect-patterns.TPo ../../src/gcc/tree-vect-patterns.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-vect-data-refs.o -MT tree-vect-data-refs.o -MMD -MP -MF ./.deps/tree-vect-data-refs.TPo ../../src/gcc/tree-vect-data-refs.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-vect-stmts.o -MT tree-vect-stmts.o -MMD -MP -MF ./.deps/tree-vect-stmts.TPo ../../src/gcc/tree-vect-stmts.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-vect-loop.o -MT tree-vect-loop.o -MMD -MP -MF ./.deps/tree-vect-loop.TPo ../../src/gcc/tree-vect-loop.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-vect-loop-manip.o -MT tree-vect-loop-manip.o -MMD -MP -MF ./.deps/tree-vect-loop-manip.TPo ../../src/gcc/tree-vect-loop-manip.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-vect-slp.o -MT tree-vect-slp.o -MMD -MP -MF ./.deps/tree-vect-slp.TPo ../../src/gcc/tree-vect-slp.c
In file included from ../../src/gcc/dumpfile.h:24,
                 from ../../src/gcc/coretypes.h:464,
                 from ../../src/gcc/tree-vect-loop-manip.c:24:
../../src/gcc/profile-count.h: In member function 'profile_count profile_count::apply_scale(profile_count, profile_count) const':
../../src/gcc/profile-count.h:1089:17: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 1089 |   profile_count apply_scale (profile_count num, profile_count den) const
      |                 ^~~~~~~~~~~
../../src/gcc/profile-count.h:1089:17: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
../../src/gcc/tree-vect-loop-manip.c: In function 'loop* vect_do_peeling(loop_vec_info, tree, tree, tree_node**, tree_node**, tree_node**, int, bool, bool, tree_node**)':
../../src/gcc/tree-vect-loop-manip.c:2863:22: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 2863 |         (prob_vector));
      |                      ^
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-vectorizer.o -MT tree-vectorizer.o -MMD -MP -MF ./.deps/tree-vectorizer.TPo ../../src/gcc/tree-vectorizer.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-vector-builder.o -MT tree-vector-builder.o -MMD -MP -MF ./.deps/tree-vector-builder.TPo ../../src/gcc/tree-vector-builder.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-vrp.o -MT tree-vrp.o -MMD -MP -MF ./.deps/tree-vrp.TPo ../../src/gcc/tree-vrp.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tree.o -MT tree.o -MMD -MP -MF ./.deps/tree.TPo ../../src/gcc/tree.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o tristate.o -MT tristate.o -MMD -MP -MF ./.deps/tristate.TPo ../../src/gcc/tristate.cc
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o typed-splay-tree.o -MT typed-splay-tree.o -MMD -MP -MF ./.deps/typed-splay-tree.TPo ../../src/gcc/typed-splay-tree.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o unique-ptr-tests.o -MT unique-ptr-tests.o -MMD -MP -MF ./.deps/unique-ptr-tests.TPo ../../src/gcc/unique-ptr-tests.cc
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o valtrack.o -MT valtrack.o -MMD -MP -MF ./.deps/valtrack.TPo ../../src/gcc/valtrack.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o value-range.o -MT value-range.o -MMD -MP -MF ./.deps/value-range.TPo ../../src/gcc/value-range.cc
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o value-prof.o -MT value-prof.o -MMD -MP -MF ./.deps/value-prof.TPo ../../src/gcc/value-prof.c
../../src/gcc/value-prof.c: In function 'bool check_counter(gimple*, const char*, gcov_type*, gcov_type*, profile_count)':
../../src/gcc/value-prof.c:552:1: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
  552 | check_counter (gimple *stmt, const char * name,
      | ^~~~~~~~~~~~~
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o var-tracking.o -MT var-tracking.o -MMD -MP -MF ./.deps/var-tracking.TPo ../../src/gcc/var-tracking.c
../../src/gcc/value-prof.c: In function 'bool get_nth_most_common_value(gimple*, const char*, histogram_value, gcov_type*, gcov_type*, gcov_type*, unsigned int)':
../../src/gcc/value-prof.c:762:24: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
  762 |       && check_counter (stmt, counter_type, &c, &read_all,
      |          ~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
  763 |    gimple_bb (stmt)->count))
      |    ~~~~~~~~~~~~~~~~~~~~~~~~
../../src/gcc/value-prof.c: In function 'bool gimple_value_profile_transformations()':
../../src/gcc/value-prof.c:1131:21: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 1131 |   if (check_counter (stmt, "interval", &count1, &all, gimple_bb (stmt)->count))
      |       ~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
../../src/gcc/value-prof.c:968:21: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
  968 |   if (check_counter (stmt, "pow2", &count, &all, gimple_bb (stmt)->count))
      |       ~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
../../src/gcc/value-prof.c:1641:21: note: parameter passing for argument of type 'profile_count' changed in GCC 9.1
 1641 |   if (check_counter (stmt, "value", &count, &all, gimple_bb (stmt)->count))
      |       ~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o varasm.o -MT varasm.o -MMD -MP -MF ./.deps/varasm.TPo ../../src/gcc/varasm.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o varpool.o -MT varpool.o -MMD -MP -MF ./.deps/varpool.TPo ../../src/gcc/varpool.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o vec-perm-indices.o -MT vec-perm-indices.o -MMD -MP -MF ./.deps/vec-perm-indices.TPo ../../src/gcc/vec-perm-indices.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o vmsdbgout.o -MT vmsdbgout.o -MMD -MP -MF ./.deps/vmsdbgout.TPo ../../src/gcc/vmsdbgout.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o vr-values.o -MT vr-values.o -MMD -MP -MF ./.deps/vr-values.TPo ../../src/gcc/vr-values.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o vtable-verify.o -MT vtable-verify.o -MMD -MP -MF ./.deps/vtable-verify.TPo ../../src/gcc/vtable-verify.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o web.o -MT web.o -MMD -MP -MF ./.deps/web.TPo ../../src/gcc/web.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o wide-int.o -MT wide-int.o -MMD -MP -MF ./.deps/wide-int.TPo ../../src/gcc/wide-int.cc
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o wide-int-print.o -MT wide-int-print.o -MMD -MP -MF ./.deps/wide-int-print.TPo ../../src/gcc/wide-int-print.cc
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o xcoffout.o -MT xcoffout.o -MMD -MP -MF ./.deps/xcoffout.TPo ../../src/gcc/xcoffout.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o arm.o -MT arm.o -MMD -MP -MF ./.deps/arm.TPo ../../src/gcc/config/arm/arm.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag    -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/analysis-plan.o -MT analyzer/analysis-plan.o -MMD -MP -MF analyzer/.deps/analysis-plan.TPo ../../src/gcc/analyzer/analysis-plan.cc
../../src/gcc/config/arm/arm.c: In function 'void arm_options_perform_arch_sanity_checks()':
../../src/gcc/config/arm/arm.c:3856:12: warning: unquoted identifier or keyword '__fp16' in format [-Wformat-diag]
 3856 |     sorry ("__fp16 and no ldrh");
      |            ^~~~~~~~~~~~~~~~~~~~
../../src/gcc/config/arm/arm.c: In function 'bool use_vfp_abi(arm_pcs, bool)':
../../src/gcc/config/arm/arm.c:6423:11: warning: unquoted keyword 'float' in format [-Wformat-diag]
 6423 |    sorry ("Thumb-1 hard-float VFP ABI");
      |           ^~~~~~~~~~~~~~~~~~~~~~~~~~~~
../../src/gcc/config/arm/arm.c: In function 'bool aapcs_vfp_is_call_or_return_candidate(arm_pcs, machine_mode, const_tree, machine_mode*, int*)':
../../src/gcc/config/arm/arm.c:6512:12: warning: unquoted option name '-mgeneral-regs-only' in format [-Wformat-diag]
 6512 |     error ("argument of type %qT not permitted with -mgeneral-regs-only",
      |            ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
../../src/gcc/config/arm/arm.c: In function 'tree_node* arm_handle_cmse_nonsecure_entry(tree_node**, tree, tree, int, bool*)':
../../src/gcc/config/arm/arm.c:7450:33: warning: spurious trailing punctuation sequence '.' in format [-Wformat-diag]
 7450 |       warning (OPT_Wattributes, "%qE attribute ignored without %<-mcmse%> "
      |                                 ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
 7451 |         "option.", name);
      |         ~~~~~~~~~                
../../src/gcc/config/arm/arm.c: In function 'tree_node* arm_handle_cmse_nonsecure_call(tree_node**, tree, tree, int, bool*)':
../../src/gcc/config/arm/arm.c:7502:33: warning: spurious trailing punctuation sequence '.' in format [-Wformat-diag]
 7502 |       warning (OPT_Wattributes, "%qE attribute ignored without %<-mcmse%> "
      |                                 ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
 7503 |         "option.", name);
      |         ~~~~~~~~~                
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag    -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/analyzer.o -MT analyzer/analyzer.o -MMD -MP -MF analyzer/.deps/analyzer.TPo ../../src/gcc/analyzer/analyzer.cc
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag    -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/analyzer-logging.o -MT analyzer/analyzer-logging.o -MMD -MP -MF analyzer/.deps/analyzer-logging.TPo ../../src/gcc/analyzer/analyzer-logging.cc
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag    -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/analyzer-pass.o -MT analyzer/analyzer-pass.o -MMD -MP -MF analyzer/.deps/analyzer-pass.TPo ../../src/gcc/analyzer/analyzer-pass.cc
../../src/gcc/analyzer/analyzer-logging.cc: In member function 'void ana::logger::incref(const char*)':
../../src/gcc/analyzer/analyzer-logging.cc:79:41: warning: spurious trailing space in format [-Wformat-diag]
   79 |     log ("%s: reason: %s refcount now %i ",
      |                                         ^
../../src/gcc/analyzer/analyzer-logging.cc: In member function 'void ana::logger::enter_scope(const char*, const char*, va_list*)':
../../src/gcc/analyzer/analyzer-logging.cc:174:30: warning: spurious trailing space in format [-Wformat-diag]
  174 |   log_partial ("entering: %s: ", scope_name);
      |                              ^
../../src/gcc/config/arm/arm.c: In function 'rtx_insn* thumb1_md_asm_adjust(vec<rtx_def*>&, vec<rtx_def*>&, vec<const char*>&, vec<rtx_def*>&, HARD_REG_SET&)':
../../src/gcc/config/arm/arm.c:33516:9: warning: unquoted keyword 'asm' in format [-Wformat-diag]
33516 |  sorry ("asm flags not supported in thumb1 mode");
      |         ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag    -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/analyzer-selftests.o -MT analyzer/analyzer-selftests.o -MMD -MP -MF analyzer/.deps/analyzer-selftests.TPo ../../src/gcc/analyzer/analyzer-selftests.cc
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag    -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/bar-chart.o -MT analyzer/bar-chart.o -MMD -MP -MF analyzer/.deps/bar-chart.TPo ../../src/gcc/analyzer/bar-chart.cc
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag    -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/call-string.o -MT analyzer/call-string.o -MMD -MP -MF analyzer/.deps/call-string.TPo ../../src/gcc/analyzer/call-string.cc
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag    -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/checker-path.o -MT analyzer/checker-path.o -MMD -MP -MF analyzer/.deps/checker-path.TPo ../../src/gcc/analyzer/checker-path.cc
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag    -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/constraint-manager.o -MT analyzer/constraint-manager.o -MMD -MP -MF analyzer/.deps/constraint-manager.TPo ../../src/gcc/analyzer/constraint-manager.cc
../../src/gcc/analyzer/call-string.cc: In member function 'void ana::call_string::print(pretty_printer*) const':
../../src/gcc/analyzer/call-string.cc:97:31: warning: unquoted operator '->' in format [-Wformat-diag]
   97 |       pp_printf (pp, "(SN: %i -> SN: %i in %s)",
      |                               ^~
../../src/gcc/analyzer/constraint-manager.cc: In member function 'void ana::constraint_manager::print(pretty_printer*) const':
../../src/gcc/analyzer/constraint-manager.cc:575:19: warning: unbalanced punctuation character '}' in format [-Wformat-diag]
  575 |   pp_printf (pp, "}");
      |                   ^
../../src/gcc/analyzer/constraint-manager.cc: In member function 'void ana::constraint_manager::dump_to_pp(pretty_printer*) const':
../../src/gcc/analyzer/constraint-manager.cc:602:30: warning: spurious trailing space in format [-Wformat-diag]
  602 |       pp_printf (pp, "    %i: ", i);
      |                              ^
../../src/gcc/analyzer/checker-path.cc: In member function 'void ana::checker_event::dump(pretty_printer*) const':
../../src/gcc/analyzer/checker-path.cc:113:19: warning: quoted '%s' directive in format [-Wformat-diag]
  113 |   pp_printf (pp, "\"%s\" (depth %i, m_loc=%x)",
      |                   ^~~~~~
../../src/gcc/analyzer/checker-path.cc:113:18: note: if using '%qs' is not feasible, use '"%-s"' instead
  113 |   pp_printf (pp, "\"%s\" (depth %i, m_loc=%x)",
      |                  ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~
../../src/gcc/analyzer/checker-path.cc:113:37: warning: unquoted identifier or keyword 'm_loc' in format [-Wformat-diag]
  113 |   pp_printf (pp, "\"%s\" (depth %i, m_loc=%x)",
      |                                     ^~~~~
../../src/gcc/analyzer/checker-path.cc: In member function 'void ana::checker_path::dump(pretty_printer*) const':
../../src/gcc/analyzer/checker-path.cc:903:23: warning: quoted '%s' directive in format [-Wformat-diag]
  903 |       pp_printf (pp, "\"%s\"", event_desc.m_buffer);
      |                       ^~~~~~
../../src/gcc/analyzer/checker-path.cc:903:22: note: if using '%qs' is not feasible, use '"%-s"' instead
  903 |       pp_printf (pp, "\"%s\"", event_desc.m_buffer);
      |                      ^~~~~~~~
../../src/gcc/analyzer/checker-path.cc: In member function 'void ana::checker_path::maybe_log(ana::logger*, const char*) const':
../../src/gcc/analyzer/checker-path.cc:917:28: warning: spurious trailing space in format [-Wformat-diag]
  917 |   logger->log_partial ("%s: ", desc);
      |                            ^
../../src/gcc/analyzer/checker-path.cc:923:34: warning: unquoted sequence of 2 consecutive punctuation characters ']:' in format [-Wformat-diag]
  923 |       logger->log_partial ("%s[%i]: %s ", desc, i,
      |                                  ^~
../../src/gcc/analyzer/checker-path.cc:923:39: warning: spurious trailing space in format [-Wformat-diag]
  923 |       logger->log_partial ("%s[%i]: %s ", desc, i,
      |                                       ^
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag    -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/diagnostic-manager.o -MT analyzer/diagnostic-manager.o -MMD -MP -MF analyzer/.deps/diagnostic-manager.TPo ../../src/gcc/analyzer/diagnostic-manager.cc
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag    -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/engine.o -MT analyzer/engine.o -MMD -MP -MF analyzer/.deps/engine.TPo ../../src/gcc/analyzer/engine.cc
../../src/gcc/analyzer/diagnostic-manager.cc: In member function 'void ana::dedupe_winners::add(ana::logger*, const shortest_exploded_paths&, ana::saved_diagnostic*)':
../../src/gcc/analyzer/diagnostic-manager.cc:394:36: warning: unquoted identifier or keyword 'dedupe_key' in format [-Wformat-diag]
  394 |    logger->log ("already have this dedupe_key");
      |                                    ^~~~~~~~~~
../../src/gcc/analyzer/diagnostic-manager.cc:404:26: warning: unquoted identifier or keyword 'dedupe_key' in format [-Wformat-diag]
  404 |       " taking over this dedupe_key",
      |                          ^~~~~~~~~~
../../src/gcc/analyzer/diagnostic-manager.cc:415:35: warning: bare apostrophe ''' in format [-Wformat-diag]
  415 |        logger->log ("length %i isn't better than existing length %i;"
      |                                   ^
../../src/gcc/analyzer/diagnostic-manager.cc:415:21: note: if avoiding the apostrophe is not feasible, enclose it in a pair of '%<' and '%>' directives instead
  415 |        logger->log ("length %i isn't better than existing length %i;"
      |                     ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
  416 |       " dropping this candidate",
      |       ~~~~~~~~~~~~~~~~~~~~~~~~~~
../../src/gcc/analyzer/diagnostic-manager.cc:427:43: warning: unquoted identifier or keyword 'dedupe_key' in format [-Wformat-diag]
  427 |    logger->log ("first candidate for this dedupe_key");
      |                                           ^~~~~~~~~~
../../src/gcc/analyzer/diagnostic-manager.cc: In member function 'void ana::dedupe_winners::emit_best(ana::diagnostic_manager*, const ana::exploded_graph&)':
../../src/gcc/analyzer/diagnostic-manager.cc:445:15: warning: spurious leading punctuation sequence '#' in format [-Wformat-diag]
  445 |     dm->log ("# keys after de-duplication: %i", keys.length ());
      |               ^
../../src/gcc/analyzer/diagnostic-manager.cc: In member function 'void ana::diagnostic_manager::emit_saved_diagnostics(const ana::exploded_graph&)':
../../src/gcc/analyzer/diagnostic-manager.cc:481:9: warning: spurious leading punctuation sequence '#' in format [-Wformat-diag]
  481 |   log ("# saved diagnostics: %i", m_saved_diagnostics.length ());
      |         ^
../../src/gcc/analyzer/diagnostic-manager.cc:487:8: warning: spurious leading punctuation sequence '[' in format [-Wformat-diag]
  487 |  log ("[%i] sd: %qs at EN: %i, SN: %i",
      |        ^
../../src/gcc/analyzer/diagnostic-manager.cc: In member function 'void ana::diagnostic_manager::add_events_for_eedge(const ana::path_builder&, const ana::exploded_edge&, ana::checker_path*) const':
../../src/gcc/analyzer/diagnostic-manager.cc:829:29: warning: unquoted operator '->' in format [-Wformat-diag]
  829 |       pp_printf (pp, "EN %i -> EN %i: ",
      |                             ^~
../../src/gcc/analyzer/diagnostic-manager.cc:829:38: warning: spurious trailing space in format [-Wformat-diag]
  829 |       pp_printf (pp, "EN %i -> EN %i: ",
      |                                      ^
../../src/gcc/analyzer/diagnostic-manager.cc: In member function 'bool ana::diagnostic_manager::significant_edge_p(const ana::path_builder&, const ana::exploded_edge&) const':
../../src/gcc/analyzer/diagnostic-manager.cc:963:41: warning: unquoted operator '->' in format [-Wformat-diag]
  963 |      get_logger ()->log ("  edge EN: %i -> EN: %i is insignificant as"
      |                                         ^~
../../src/gcc/analyzer/diagnostic-manager.cc:965:14: warning: unquoted operator '->' in format [-Wformat-diag]
  965 |     " EN: %i -> EN: %i",
      |              ^~
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag    -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/function-set.o -MT analyzer/function-set.o -MMD -MP -MF analyzer/.deps/function-set.TPo ../../src/gcc/analyzer/function-set.cc
../../src/gcc/analyzer/engine.cc: In member function 'virtual void ana::impl_sm_context::on_transition(const ana::supernode*, const gimple*, tree, ana::state_machine::state_t, ana::state_machine::state_t, tree)':
../../src/gcc/analyzer/engine.cc:255:50: warning: unquoted operator '->' in format [-Wformat-diag]
  255 |    logger->log ("%s: state transition of %qE: %s -> %s",
      |                                                  ^~
../../src/gcc/analyzer/engine.cc: In member function 'virtual const gimple* ana::leak_stmt_finder::find_stmt(const ana::exploded_path&)':
../../src/gcc/analyzer/engine.cc:391:30: warning: unquoted sequence of 2 consecutive punctuation characters ']:' in format [-Wformat-diag]
  391 |        logger->log ("eedge[%i]: EN %i -> EN %i",
      |                              ^~
../../src/gcc/analyzer/engine.cc:391:39: warning: unquoted operator '->' in format [-Wformat-diag]
  391 |        logger->log ("eedge[%i]: EN %i -> EN %i",
      |                                       ^~
../../src/gcc/analyzer/engine.cc:418:26: warning: unquoted sequence of 2 consecutive punctuation characters ']:' in format [-Wformat-diag]
  418 |    logger->log ("eedge[%i]: EN %i -> EN %i",
      |                          ^~
../../src/gcc/analyzer/engine.cc:418:35: warning: unquoted operator '->' in format [-Wformat-diag]
  418 |    logger->log ("eedge[%i]: EN %i -> EN %i",
      |                                   ^~
../../src/gcc/analyzer/engine.cc: In member function 'void ana::impl_region_model_context::on_state_leak(const ana::state_machine&, int, ana::svalue_id, ana::svalue_id, const svalue_id_map&, ana::state_machine::state_t)':
../../src/gcc/analyzer/engine.cc:574:24: warning: unquoted identifier or keyword 'leaked_tree' in format [-Wformat-diag]
  574 |     logger->log ("best leaked_tree: %qE", leaked_tree);
      |                        ^~~~~~~~~~~
../../src/gcc/analyzer/engine.cc: In member function 'virtual void ana::exploded_node::dump_dot(graphviz_out*, const dump_args_t&) const':
../../src/gcc/analyzer/engine.cc:859:72: warning: spurious trailing punctuation sequence '="' in format [-Wformat-diag]
  859 |   pp_printf (pp, " [shape=none,margin=0,style=filled,fillcolor=%s,label=\"",
      |                                                                        ^~~
../../src/gcc/analyzer/engine.cc:859:20: warning: unbalanced punctuation character '[' in format [-Wformat-diag]
  859 |   pp_printf (pp, " [shape=none,margin=0,style=filled,fillcolor=%s,label=\"",
      |                    ^
../../src/gcc/analyzer/engine.cc:884:25: warning: spurious trailing space in format [-Wformat-diag]
  884 |      pp_printf (pp, "%s: ", ext_state.get_name (i));
      |                         ^
../../src/gcc/analyzer/engine.cc: In member function 'void ana::exploded_node::dump_dot_id(pretty_printer*) const':
../../src/gcc/analyzer/engine.cc:916:19: warning: unquoted identifier or keyword 'exploded_node_' in format [-Wformat-diag]
  916 |   pp_printf (pp, "exploded_node_%i", m_index);
      |                   ^~~~~~~~~~~~~~
../../src/gcc/analyzer/engine.cc: In member function 'virtual void ana::exploded_edge::dump_dot(graphviz_out*, const dump_args_t&) const':
../../src/gcc/analyzer/engine.cc:1510:8: warning: spurious trailing punctuation sequence '="' in format [-Wformat-diag]
 1510 |       (" [style=%s, color=%s, weight=%d, constraint=%s,"
      |       ~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
 1511 |        " headlabel=\""),
      |        ~~~~~~~~~~~~~~~~
../../src/gcc/analyzer/engine.cc:1510:8: warning: unbalanced punctuation character '[' in format [-Wformat-diag]
../../src/gcc/analyzer/engine.cc:1522:19: warning: unbalanced punctuation character ']' in format [-Wformat-diag]
 1522 |   pp_printf (pp, "\"];\n");
      |                   ^~~
../../src/gcc/analyzer/engine.cc:1522:23: warning: unquoted whitespace character '\x0a' in format [-Wformat-diag]
 1522 |   pp_printf (pp, "\"];\n");
      |                       ^~
../../src/gcc/analyzer/engine.cc: In member function 'void ana::stats::log(ana::logger*) const':
../../src/gcc/analyzer/engine.cc:1546:21: warning: unquoted identifier or keyword 'm_num_nodes' in format [-Wformat-diag]
 1546 |       logger->log ("m_num_nodes[%s]: %i",
      |                     ^~~~~~~~~~~
../../src/gcc/analyzer/engine.cc:1546:35: warning: unquoted sequence of 2 consecutive punctuation characters ']:' in format [-Wformat-diag]
 1546 |       logger->log ("m_num_nodes[%s]: %i",
      |                                   ^~
../../src/gcc/analyzer/engine.cc:1549:17: warning: unquoted identifier or keyword 'm_node_reuse_count' in format [-Wformat-diag]
 1549 |   logger->log ("m_node_reuse_count: %i", m_node_reuse_count);
      |                 ^~~~~~~~~~~~~~~~~~
../../src/gcc/analyzer/engine.cc:1550:17: warning: unquoted identifier or keyword 'm_node_reuse_after_merge_count' in format [-Wformat-diag]
 1550 |   logger->log ("m_node_reuse_after_merge_count: %i",
      |                 ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
../../src/gcc/analyzer/engine.cc: In member function 'void ana::exploded_graph::process_worklist()':
../../src/gcc/analyzer/engine.cc:2243:51: warning: spurious trailing space in format [-Wformat-diag]
 2243 |         ("got potential merge EN: %i and EN: %i at ",
      |                                                   ^
../../src/gcc/analyzer/engine.cc: In member function 'void ana::exploded_graph::process_node(ana::exploded_node*)':
../../src/gcc/analyzer/engine.cc:2571:56: warning: spurious trailing space in format [-Wformat-diag]
 2571 |         ("would create function summary for %qE; state: ",
      |                                                        ^
../../src/gcc/analyzer/engine.cc:2587:41: warning: unquoted operator '->' in format [-Wformat-diag]
 2587 |        logger->log ("considering SN: %i -> SN: %i",
      |                                         ^~
../../src/gcc/analyzer/engine.cc: In member function 'void ana::exploded_graph::log_stats() const':
../../src/gcc/analyzer/engine.cc:2742:17: warning: unquoted identifier or keyword 'm_sg' in format [-Wformat-diag]
 2742 |   logger->log ("m_sg.num_nodes (): %i", m_sg.num_nodes ());
      |                 ^~~~
../../src/gcc/analyzer/engine.cc:2742:22: warning: unquoted identifier or keyword 'num_nodes' in format [-Wformat-diag]
 2742 |   logger->log ("m_sg.num_nodes (): %i", m_sg.num_nodes ());
      |                      ^~~~~~~~~
../../src/gcc/analyzer/engine.cc:2742:32: warning: unquoted sequence of 3 consecutive punctuation characters '():' in format [-Wformat-diag]
 2742 |   logger->log ("m_sg.num_nodes (): %i", m_sg.num_nodes ());
      |                                ^~~
../../src/gcc/analyzer/engine.cc:2743:17: warning: unquoted identifier or keyword 'm_nodes' in format [-Wformat-diag]
 2743 |   logger->log ("m_nodes.length (): %i", m_nodes.length ());
      |                 ^~~~~~~
../../src/gcc/analyzer/engine.cc:2743:32: warning: unquoted sequence of 3 consecutive punctuation characters '():' in format [-Wformat-diag]
 2743 |   logger->log ("m_nodes.length (): %i", m_nodes.length ());
      |                                ^~~
../../src/gcc/analyzer/engine.cc:2744:17: warning: unquoted identifier or keyword 'm_edges' in format [-Wformat-diag]
 2744 |   logger->log ("m_edges.length (): %i", m_edges.length ());
      |                 ^~~~~~~
../../src/gcc/analyzer/engine.cc:2744:32: warning: unquoted sequence of 3 consecutive punctuation characters '():' in format [-Wformat-diag]
 2744 |   logger->log ("m_edges.length (): %i", m_edges.length ());
      |                                ^~~
../../src/gcc/analyzer/engine.cc: In member function 'bool ana::exploded_path::feasible_p(ana::logger*, ana::feasibility_problem**) const':
../../src/gcc/analyzer/engine.cc:2863:43: warning: unquoted operator '->' in format [-Wformat-diag]
 2863 |  logger->log ("considering edge %i: EN:%i -> EN:%i",
      |                                           ^~
../../src/gcc/analyzer/engine.cc:2893:35: warning: unquoted operator '->' in format [-Wformat-diag]
 2893 |      logger->log ("  sedge: SN:%i -> SN:%i %s",
      |                                   ^~
../../src/gcc/analyzer/engine.cc:2950:45: warning: unquoted operator '->' in format [-Wformat-diag]
 2950 |    logger->log ("state after edge %i: EN:%i -> EN:%i",
      |                                             ^~
../../src/gcc/analyzer/engine.cc: In member function 'void ana::exploded_path::dump_to_pp(pretty_printer*) const':
../../src/gcc/analyzer/engine.cc:2971:23: warning: unquoted identifier or keyword 'm_edges' in format [-Wformat-diag]
 2971 |       pp_printf (pp, "m_edges[%i]: EN %i -> EN %i",
      |                       ^~~~~~~
../../src/gcc/analyzer/engine.cc:2971:33: warning: unquoted sequence of 2 consecutive punctuation characters ']:' in format [-Wformat-diag]
 2971 |       pp_printf (pp, "m_edges[%i]: EN %i -> EN %i",
      |                                 ^~
../../src/gcc/analyzer/engine.cc:2971:42: warning: unquoted operator '->' in format [-Wformat-diag]
 2971 |       pp_printf (pp, "m_edges[%i]: EN %i -> EN %i",
      |                                          ^~
../../src/gcc/analyzer/engine.cc: In member function 'virtual void ana::supernode_cluster::dump_dot(graphviz_out*, const dump_args_t&) const':
../../src/gcc/analyzer/engine.cc:3031:30: warning: unquoted identifier or keyword 'cluster_supernode_' in format [-Wformat-diag]
 3031 |     gv->println ("subgraph \"cluster_supernode_%p\" {",
      |                              ^~~~~~~~~~~~~~~~~~
../../src/gcc/analyzer/engine.cc:3031:53: warning: spurious trailing punctuation sequence '{' in format [-Wformat-diag]
 3031 |     gv->println ("subgraph \"cluster_supernode_%p\" {",
      |                                                     ^
../../src/gcc/analyzer/engine.cc:3031:53: warning: unbalanced punctuation character '{' in format [-Wformat-diag]
../../src/gcc/analyzer/engine.cc:3034:24: warning: unquoted sequence of 2 consecutive punctuation characters '="' in format [-Wformat-diag]
 3034 |     gv->println ("style=\"dashed\";");
      |                        ^~~
../../src/gcc/analyzer/engine.cc:3034:33: warning: spurious trailing punctuation sequence '";' in format [-Wformat-diag]
 3034 |     gv->println ("style=\"dashed\";");
      |                                 ^~~
../../src/gcc/analyzer/engine.cc:3035:24: warning: unquoted sequence of 2 consecutive punctuation characters '="' in format [-Wformat-diag]
 3035 |     gv->println ("label=\"SN: %i (bb: %i)\";",
      |                        ^~~
../../src/gcc/analyzer/engine.cc:3035:41: warning: spurious trailing punctuation sequence ')";' in format [-Wformat-diag]
 3035 |     gv->println ("label=\"SN: %i (bb: %i)\";",
      |                                         ^~~~
../../src/gcc/analyzer/engine.cc:3045:19: warning: unbalanced punctuation character '}' in format [-Wformat-diag]
 3045 |     gv->println ("}");
      |                   ^
../../src/gcc/analyzer/engine.cc: In member function 'virtual void ana::function_call_string_cluster::dump_dot(graphviz_out*, const dump_args_t&) const':
../../src/gcc/analyzer/engine.cc:3079:30: warning: unquoted identifier or keyword 'cluster_function_' in format [-Wformat-diag]
 3079 |     gv->println ("subgraph \"cluster_function_%p\" {", (const void *)this);
      |                              ^~~~~~~~~~~~~~~~~
../../src/gcc/analyzer/engine.cc:3079:52: warning: spurious trailing punctuation sequence '{' in format [-Wformat-diag]
 3079 |     gv->println ("subgraph \"cluster_function_%p\" {", (const void *)this);
      |                                                    ^
../../src/gcc/analyzer/engine.cc:3079:52: warning: unbalanced punctuation character '{' in format [-Wformat-diag]
../../src/gcc/analyzer/engine.cc:3082:22: warning: unquoted sequence of 2 consecutive punctuation characters '="' in format [-Wformat-diag]
 3082 |     gv->print ("label=\"call string: ");
      |                      ^~~
../../src/gcc/analyzer/engine.cc:3082:37: warning: spurious trailing space in format [-Wformat-diag]
 3082 |     gv->print ("label=\"call string: ");
      |                                     ^
../../src/gcc/analyzer/engine.cc:3084:31: warning: spurious trailing punctuation sequence '";' in format [-Wformat-diag]
 3084 |     gv->print (" function: %s \";", funcname);
      |                               ^~~
../../src/gcc/analyzer/engine.cc:3085:17: warning: unquoted whitespace character '\x0a' in format [-Wformat-diag]
 3085 |     gv->print ("\n");
      |                 ^~
../../src/gcc/analyzer/engine.cc:3094:19: warning: unbalanced punctuation character '}' in format [-Wformat-diag]
 3094 |     gv->println ("}");
      |                   ^
../../src/gcc/analyzer/engine.cc: In member function 'void ana::exploded_graph::dump_exploded_nodes() const':
../../src/gcc/analyzer/engine.cc:3464:39: warning: misspelled term 'arg' in format; use 'argument' instead [-Wformat-diag]
 3464 |        "integer constant required for arg 1");
      |                                       ^~~
../../src/gcc/analyzer/engine.cc: In member function 'virtual void ana::viz_callgraph_node::dump_dot(graphviz_out*, const dump_args_t&) const':
../../src/gcc/analyzer/engine.cc:3527:74: warning: spurious trailing punctuation sequence '=<' in format [-Wformat-diag]
 3527 |     pp_printf (pp, " [shape=none,margin=0,style=filled,fillcolor=%s,label=<",
      |                                                                          ^~
../../src/gcc/analyzer/engine.cc:3527:22: warning: unbalanced punctuation character '[' in format [-Wformat-diag]
 3527 |     pp_printf (pp, " [shape=none,margin=0,style=filled,fillcolor=%s,label=<",
      |                      ^
../../src/gcc/analyzer/engine.cc:3538:35: warning: unquoted whitespace character '\x0a' in format [-Wformat-diag]
 3538 |     pp_printf (pp, "supernodes: %i\n", m_num_supernodes);
      |                                   ^~
../../src/gcc/analyzer/engine.cc:3543:35: warning: unquoted whitespace character '\x0a' in format [-Wformat-diag]
 3543 |     pp_printf (pp, "superedges: %i\n", m_num_superedges);
      |                                   ^~
../../src/gcc/analyzer/engine.cc:3558:28: warning: unquoted whitespace character '\x0a' in format [-Wformat-diag]
 3558 |  pp_printf (pp, "enodes: %i\n", num_enodes);
      |                            ^~
../../src/gcc/analyzer/engine.cc:3583:19: warning: spurious leading punctuation sequence ':' in format [-Wformat-diag]
 3583 |   pp_printf (pp, ": %i\n", num_enodes);
      |                   ^
../../src/gcc/analyzer/engine.cc:3583:23: warning: unquoted whitespace character '\x0a' in format [-Wformat-diag]
 3583 |   pp_printf (pp, ": %i\n", num_enodes);
      |                       ^~
../../src/gcc/analyzer/engine.cc:3595:35: warning: unquoted whitespace character '\x0a' in format [-Wformat-diag]
 3595 |      pp_printf (pp, "summaries: %i\n", data->m_summaries.length ());
      |                                   ^~
../../src/gcc/analyzer/engine.cc: In member function 'void ana::viz_callgraph_node::dump_dot_id(pretty_printer*) const':
../../src/gcc/analyzer/engine.cc:3607:21: warning: unquoted identifier or keyword 'vcg_' in format [-Wformat-diag]
 3607 |     pp_printf (pp, "vcg_%i", m_index);
      |                     ^~~~
../../src/gcc/analyzer/engine.cc: In member function 'virtual void ana::viz_callgraph_edge::dump_dot(graphviz_out*, const dump_args_t&) const':
../../src/gcc/analyzer/engine.cc:3640:10: warning: spurious trailing punctuation sequence '="' in format [-Wformat-diag]
 3640 |         (" [style=%s, color=%s, weight=%d, constraint=%s,"
      |         ~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
 3641 |   " headlabel=\""),
      |   ~~~~~~~~~~~~~~~~
../../src/gcc/analyzer/engine.cc:3640:10: warning: unbalanced punctuation character '[' in format [-Wformat-diag]
../../src/gcc/analyzer/engine.cc:3643:21: warning: unbalanced punctuation character ']' in format [-Wformat-diag]
 3643 |     pp_printf (pp, "\"];\n");
      |                     ^~~
../../src/gcc/analyzer/engine.cc:3643:25: warning: unquoted whitespace character '\x0a' in format [-Wformat-diag]
 3643 |     pp_printf (pp, "\"];\n");
      |                         ^~
../../src/gcc/analyzer/engine.cc: In member function 'void ana::exploded_graph_annotator::print_enode(graphviz_out*, const ana::exploded_node*) const':
../../src/gcc/analyzer/engine.cc:3870:21: warning: spurious leading punctuation sequence '<' in format [-Wformat-diag]
 3870 |     pp_printf (pp, "<TD BGCOLOR=\"%s\">",
      |                     ^
../../src/gcc/analyzer/engine.cc:3870:32: warning: unquoted sequence of 2 consecutive punctuation characters '="' in format [-Wformat-diag]
 3870 |     pp_printf (pp, "<TD BGCOLOR=\"%s\">",
      |                                ^~~
../../src/gcc/analyzer/engine.cc:3870:37: warning: spurious trailing punctuation sequence '">' in format [-Wformat-diag]
 3870 |     pp_printf (pp, "<TD BGCOLOR=\"%s\">",
      |                                     ^~~
../../src/gcc/analyzer/engine.cc:3872:21: warning: spurious leading punctuation sequence '<' in format [-Wformat-diag]
 3872 |     pp_printf (pp, "<TABLE BORDER=\"0\">");
      |                     ^
../../src/gcc/analyzer/engine.cc:3872:34: warning: unquoted sequence of 2 consecutive punctuation characters '="' in format [-Wformat-diag]
 3872 |     pp_printf (pp, "<TABLE BORDER=\"0\">");
      |                                  ^~~
../../src/gcc/analyzer/engine.cc:3872:38: warning: spurious trailing punctuation sequence '">' in format [-Wformat-diag]
 3872 |     pp_printf (pp, "<TABLE BORDER=\"0\">");
      |                                      ^~~
../../src/gcc/analyzer/engine.cc:3899:21: warning: spurious leading punctuation sequence '</' in format [-Wformat-diag]
 3899 |     pp_printf (pp, "</TABLE>");
      |                     ^~
../../src/gcc/analyzer/engine.cc:3899:28: warning: spurious trailing punctuation sequence '>' in format [-Wformat-diag]
 3899 |     pp_printf (pp, "</TABLE>");
      |                            ^
../../src/gcc/analyzer/engine.cc:3900:21: warning: spurious leading punctuation sequence '</' in format [-Wformat-diag]
 3900 |     pp_printf (pp, "</TD>");
      |                     ^~
../../src/gcc/analyzer/engine.cc:3900:25: warning: spurious trailing punctuation sequence '>' in format [-Wformat-diag]
 3900 |     pp_printf (pp, "</TD>");
      |                         ^
../../src/gcc/analyzer/engine.cc: In member function 'void ana::exploded_graph_annotator::print_saved_diagnostic(graphviz_out*, const ana::saved_diagnostic*) const':
../../src/gcc/analyzer/engine.cc:3911:21: warning: spurious leading punctuation sequence '<' in format [-Wformat-diag]
 3911 |     pp_printf (pp, "<TABLE BORDER=\"0\">");
      |                     ^
../../src/gcc/analyzer/engine.cc:3911:34: warning: unquoted sequence of 2 consecutive punctuation characters '="' in format [-Wformat-diag]
 3911 |     pp_printf (pp, "<TABLE BORDER=\"0\">");
      |                                  ^~~
../../src/gcc/analyzer/engine.cc:3911:38: warning: spurious trailing punctuation sequence '">' in format [-Wformat-diag]
 3911 |     pp_printf (pp, "<TABLE BORDER=\"0\">");
      |                                      ^~~
../../src/gcc/analyzer/engine.cc:3933:39: warning: unquoted operator '->' in format [-Wformat-diag]
 3933 |    pp_printf (pp, "at eedge %i: EN:%i -> EN:%i",
      |                                       ^~
../../src/gcc/analyzer/engine.cc:3957:21: warning: spurious leading punctuation sequence '</' in format [-Wformat-diag]
 3957 |     pp_printf (pp, "</TABLE>");
      |                     ^~
../../src/gcc/analyzer/engine.cc:3957:28: warning: spurious trailing punctuation sequence '>' in format [-Wformat-diag]
 3957 |     pp_printf (pp, "</TABLE>");
      |                            ^
../../src/gcc/analyzer/engine.cc: In function 'void ana::impl_run_checkers(ana::logger*)':
../../src/gcc/analyzer/engine.cc:4013:27: warning: unquoted sequence of 2 consecutive punctuation characters ']:' in format [-Wformat-diag]
 4013 |  logger->log ("checkers[%i]: %s", i, sm->get_name ());
      |                           ^~
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag    -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/pending-diagnostic.o -MT analyzer/pending-diagnostic.o -MMD -MP -MF analyzer/.deps/pending-diagnostic.TPo ../../src/gcc/analyzer/pending-diagnostic.cc
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag    -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/program-point.o -MT analyzer/program-point.o -MMD -MP -MF analyzer/.deps/program-point.TPo ../../src/gcc/analyzer/program-point.cc
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag    -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/program-state.o -MT analyzer/program-state.o -MMD -MP -MF analyzer/.deps/program-state.TPo ../../src/gcc/analyzer/program-state.cc
../../src/gcc/analyzer/program-point.cc: In member function 'void ana::function_point::print(pretty_printer*, const ana::format&) const':
../../src/gcc/analyzer/program-point.cc:121:38: warning: misspelled term 'stmt' in format; use 'statement' instead [-Wformat-diag]
  121 |       pp_printf (pp, "before (SN: %i stmt: %i): ", m_supernode->m_index,
      |                                      ^~~~
../../src/gcc/analyzer/program-point.cc:121:48: warning: spurious trailing space in format [-Wformat-diag]
  121 |       pp_printf (pp, "before (SN: %i stmt: %i): ", m_supernode->m_index,
      |                                                ^
../../src/gcc/analyzer/program-state.cc: In member function 'void ana::extrinsic_state::dump_to_pp(pretty_printer*) const':
../../src/gcc/analyzer/program-state.cc:71:19: warning: unquoted identifier or keyword 'extrinsic_state' in format [-Wformat-diag]
   71 |   pp_printf (pp, "extrinsic_state: %i checker(s)\n", get_num_checkers ());
      |                   ^~~~~~~~~~~~~~~
../../src/gcc/analyzer/program-state.cc:71:49: warning: unquoted whitespace character '\x0a' in format [-Wformat-diag]
   71 |   pp_printf (pp, "extrinsic_state: %i checker(s)\n", get_num_checkers ());
      |                                                 ^~
../../src/gcc/analyzer/program-state.cc:76:23: warning: unquoted identifier or keyword 'm_checkers' in format [-Wformat-diag]
   76 |       pp_printf (pp, "m_checkers[%i]: %qs\n", i, checker->get_name ());
      |                       ^~~~~~~~~~
../../src/gcc/analyzer/program-state.cc:76:36: warning: unquoted sequence of 2 consecutive punctuation characters ']:' in format [-Wformat-diag]
   76 |       pp_printf (pp, "m_checkers[%i]: %qs\n", i, checker->get_name ());
      |                                    ^~
../../src/gcc/analyzer/program-state.cc:76:42: warning: unquoted whitespace character '\x0a' in format [-Wformat-diag]
   76 |       pp_printf (pp, "m_checkers[%i]: %qs\n", i, checker->get_name ());
      |                                          ^~
../../src/gcc/analyzer/program-state.cc: In member function 'void ana::sm_state_map::print(const ana::state_machine&, const ana::region_model*, pretty_printer*) const':
../../src/gcc/analyzer/program-state.cc:178:23: warning: spurious leading punctuation sequence ':' in format [-Wformat-diag]
  178 |       pp_printf (pp, ": %s", sm.get_state_name (e.m_state));
      |                       ^
../../src/gcc/analyzer/program-state.cc: In member function 'void ana::program_state::print(const ana::extrinsic_state&, pretty_printer*) const':
../../src/gcc/analyzer/program-state.cc:710:26: warning: spurious trailing space in format [-Wformat-diag]
  710 |   pp_printf (pp, "rmodel: ");
      |                          ^
../../src/gcc/analyzer/program-state.cc:720:23: warning: spurious trailing space in format [-Wformat-diag]
  720 |    pp_printf (pp, "%s: ", ext_state.get_name (i));
      |                       ^
../../src/gcc/analyzer/program-state.cc: In member function 'void ana::program_state::dump_to_pp(const ana::extrinsic_state&, bool, pretty_printer*) const':
../../src/gcc/analyzer/program-state.cc:741:26: warning: spurious trailing space in format [-Wformat-diag]
  741 |   pp_printf (pp, "rmodel: ");
      |                          ^
../../src/gcc/analyzer/program-state.cc:752:23: warning: spurious trailing space in format [-Wformat-diag]
  752 |    pp_printf (pp, "%s: ", ext_state.get_name (i));
      |                       ^
../../src/gcc/analyzer/program-state.cc: In member function 'bool ana::program_state::on_edge(ana::exploded_graph&, const ana::exploded_node&, const ana::superedge*, ana::state_change*)':
../../src/gcc/analyzer/program-state.cc:836:17: warning: unquoted identifier or keyword 'region_model' in format [-Wformat-diag]
  836 |        " due to region_model constraints",
      |                 ^~~~~~~~~~~~
../../src/gcc/analyzer/program-state.cc: In member function 'ana::program_state ana::program_state::prune_for_point(ana::exploded_graph&, const ana::program_point&, ana::state_change*) const':
../../src/gcc/analyzer/program-state.cc:958:25: warning: unquoted identifier or keyword 'equiv_classes' in format [-Wformat-diag]
  958 |       logger->log ("num equiv_classes purged: %i", stats.m_num_equiv_classes);
      |                         ^~~~~~~~~~~~~
../../src/gcc/analyzer/program-state.cc: In member function 'void ana::state_change::sm_change::dump(pretty_printer*, const ana::extrinsic_state&) const':
../../src/gcc/analyzer/program-state.cc:1102:19: warning: spurious leading punctuation sequence ':' in format [-Wformat-diag]
 1102 |   pp_printf (pp, ": %s: %qs -> %qs)",
      |                   ^
../../src/gcc/analyzer/program-state.cc:1102:29: warning: unquoted operator '->' in format [-Wformat-diag]
 1102 |   pp_printf (pp, ": %s: %qs -> %qs)",
      |                             ^~
../../src/gcc/analyzer/program-state.cc:1102:35: warning: unbalanced punctuation character ')' in format [-Wformat-diag]
 1102 |   pp_printf (pp, ": %s: %qs -> %qs)",
      |                                   ^
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag    -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/region-model.o -MT analyzer/region-model.o -MMD -MP -MF analyzer/.deps/region-model.TPo ../../src/gcc/analyzer/region-model.cc
../../src/gcc/analyzer/region-model.cc: In member function 'void ana::svalue_id::dump_node_name_to_pp(pretty_printer*) const':
../../src/gcc/analyzer/region-model.cc:205:19: warning: unquoted identifier or keyword 'svalue_' in format [-Wformat-diag]
  205 |   pp_printf (pp, "svalue_%i", m_idx);
      |                   ^~~~~~~
../../src/gcc/analyzer/region-model.cc: In member function 'void ana::region_id::dump_node_name_to_pp(pretty_printer*) const':
../../src/gcc/analyzer/region-model.cc:235:19: warning: unquoted identifier or keyword 'region_' in format [-Wformat-diag]
  235 |   pp_printf (pp, "region_%i", m_idx);
      |                   ^~~~~~~
../../src/gcc/analyzer/region-model.cc: In member function 'virtual void ana::svalue::dump_dot_to_pp(const ana::region_model&, ana::svalue_id, pretty_printer*) const':
../../src/gcc/analyzer/region-model.cc:377:26: warning: spurious trailing punctuation sequence '="' in format [-Wformat-diag]
  377 |   pp_printf (pp, " [label=\"");
      |                          ^~~
../../src/gcc/analyzer/region-model.cc:377:20: warning: unbalanced punctuation character '[' in format [-Wformat-diag]
  377 |   pp_printf (pp, " [label=\"");
      |                    ^
../../src/gcc/analyzer/region-model.cc: In member function 'virtual void ana::region::dump_dot_to_pp(const ana::region_model&, ana::region_id, pretty_printer*) const':
../../src/gcc/analyzer/region-model.cc:1382:72: warning: spurious trailing punctuation sequence '="' in format [-Wformat-diag]
 1382 |   pp_printf (pp, " [shape=none,margin=0,style=filled,fillcolor=%s,label=\"",
      |                                                                        ^~~
../../src/gcc/analyzer/region-model.cc:1382:20: warning: unbalanced punctuation character '[' in format [-Wformat-diag]
 1382 |   pp_printf (pp, " [shape=none,margin=0,style=filled,fillcolor=%s,label=\"",
      |                    ^
../../src/gcc/analyzer/region-model.cc: In member function 'void ana::region::dump_to_pp(const ana::region_model&, ana::region_id, pretty_printer*, const char*, bool) const':
../../src/gcc/analyzer/region-model.cc:1436:31: warning: spurious trailing space in format [-Wformat-diag]
 1436 |       pp_printf (pp, "%s sval: ", field_prefix);
      |                               ^
../../src/gcc/analyzer/region-model.cc:1442:31: warning: spurious trailing space in format [-Wformat-diag]
 1442 |       pp_printf (pp, "%s type: ", field_prefix);
      |                               ^
../../src/gcc/analyzer/region-model.cc: In member function 'virtual void ana::region::print_fields(const ana::region_model&, ana::region_id, pretty_printer*) const':
../../src/gcc/analyzer/region-model.cc:1624:19: warning: spurious leading punctuation sequence ',' in format [-Wformat-diag]
 1624 |   pp_printf (pp, ", sval: ");
      |                   ^
../../src/gcc/analyzer/region-model.cc:1624:26: warning: spurious trailing space in format [-Wformat-diag]
 1624 |   pp_printf (pp, ", sval: ");
      |                          ^
../../src/gcc/analyzer/region-model.cc:1629:23: warning: spurious leading punctuation sequence ',' in format [-Wformat-diag]
 1629 |       pp_printf (pp, ", type: ");
      |                       ^
../../src/gcc/analyzer/region-model.cc:1629:30: warning: spurious trailing space in format [-Wformat-diag]
 1629 |       pp_printf (pp, ", type: ");
      |                              ^
../../src/gcc/analyzer/region-model.cc: In member function 'virtual void ana::map_region::dump_dot_to_pp(const ana::region_model&, ana::region_id, pretty_printer*) const':
../../src/gcc/analyzer/region-model.cc:1773:23: warning: unquoted identifier or keyword 'rid_label_' in format [-Wformat-diag]
 1773 |       pp_printf (pp, "rid_label_%i [label=\"", child_rid.as_int ());
      |                       ^~~~~~~~~~
../../src/gcc/analyzer/region-model.cc:1773:42: warning: spurious trailing punctuation sequence '="' in format [-Wformat-diag]
 1773 |       pp_printf (pp, "rid_label_%i [label=\"", child_rid.as_int ());
      |                                          ^~~
../../src/gcc/analyzer/region-model.cc:1773:36: warning: unbalanced punctuation character '[' in format [-Wformat-diag]
 1773 |       pp_printf (pp, "rid_label_%i [label=\"", child_rid.as_int ());
      |                                    ^
../../src/gcc/analyzer/region-model.cc:1780:23: warning: unquoted identifier or keyword 'rid_label_' in format [-Wformat-diag]
 1780 |       pp_printf (pp, "rid_label_%i", child_rid.as_int ());
      |                       ^~~~~~~~~~
../../src/gcc/analyzer/region-model.cc: In member function 'virtual void ana::frame_region::print_fields(const ana::region_model&, ana::region_id, pretty_printer*) const':
../../src/gcc/analyzer/region-model.cc:2267:19: warning: spurious leading punctuation sequence ',' in format [-Wformat-diag]
 2267 |   pp_printf (pp, ", function: %qs, depth: %i", function_name (m_fun), m_depth);
      |                   ^
../../src/gcc/analyzer/region-model.cc: In member function 'virtual void ana::array_region::print_fields(const ana::region_model&, ana::region_id, pretty_printer*) const':
../../src/gcc/analyzer/region-model.cc:2417:23: warning: spurious leading punctuation sequence '[' in format [-Wformat-diag]
 2417 |       pp_printf (pp, "[%i]: ", key);
      |                       ^
../../src/gcc/analyzer/region-model.cc:2417:26: warning: unquoted sequence of 2 consecutive punctuation characters ']:' in format [-Wformat-diag]
 2417 |       pp_printf (pp, "[%i]: ", key);
      |                          ^~
../../src/gcc/analyzer/region-model.cc:2417:28: warning: spurious trailing space in format [-Wformat-diag]
 2417 |       pp_printf (pp, "[%i]: ", key);
      |                            ^
../../src/gcc/analyzer/region-model.cc: In member function 'virtual void ana::array_region::dump_dot_to_pp(const ana::region_model&, ana::region_id, pretty_printer*) const':
../../src/gcc/analyzer/region-model.cc:2455:23: warning: unquoted identifier or keyword 'rid_label_' in format [-Wformat-diag]
 2455 |       pp_printf (pp, "rid_label_%i [label=\"", child_rid.as_int ());
      |                       ^~~~~~~~~~
../../src/gcc/analyzer/region-model.cc:2455:42: warning: spurious trailing punctuation sequence '="' in format [-Wformat-diag]
 2455 |       pp_printf (pp, "rid_label_%i [label=\"", child_rid.as_int ());
      |                                          ^~~
../../src/gcc/analyzer/region-model.cc:2455:36: warning: unbalanced punctuation character '[' in format [-Wformat-diag]
 2455 |       pp_printf (pp, "rid_label_%i [label=\"", child_rid.as_int ());
      |                                    ^
../../src/gcc/analyzer/region-model.cc:2462:23: warning: unquoted identifier or keyword 'rid_label_' in format [-Wformat-diag]
 2462 |       pp_printf (pp, "rid_label_%i", child_rid.as_int ());
      |                       ^~~~~~~~~~
../../src/gcc/analyzer/region-model.cc: In member function 'virtual void ana::array_region::dump_child_label(const ana::region_model&, ana::region_id, ana::region_id, pretty_printer*) const':
../../src/gcc/analyzer/region-model.cc:2487:20: warning: spurious leading punctuation sequence '[' in format [-Wformat-diag]
 2487 |    pp_printf (pp, "[%i]: ", key);
      |                    ^
../../src/gcc/analyzer/region-model.cc:2487:23: warning: unquoted sequence of 2 consecutive punctuation characters ']:' in format [-Wformat-diag]
 2487 |    pp_printf (pp, "[%i]: ", key);
      |                       ^~
../../src/gcc/analyzer/region-model.cc:2487:25: warning: spurious trailing space in format [-Wformat-diag]
 2487 |    pp_printf (pp, "[%i]: ", key);
      |                         ^
../../src/gcc/analyzer/region-model.cc: In member function 'virtual void ana::stack_region::dump_child_label(const ana::region_model&, ana::region_id, ana::region_id, pretty_printer*) const':
../../src/gcc/analyzer/region-model.cc:2721:33: warning: spurious trailing space in format [-Wformat-diag]
 2721 |   pp_printf (pp, "frame for %qs: ", function_name (fun));
      |                                 ^
../../src/gcc/analyzer/region-model.cc: In member function 'virtual void ana::root_region::dump_child_label(const ana::region_model&, ana::region_id, ana::region_id, pretty_printer*) const':
../../src/gcc/analyzer/region-model.cc:3069:27: warning: spurious trailing space in format [-Wformat-diag]
 3069 |     pp_printf (pp, "stack: ");
      |                           ^
../../src/gcc/analyzer/region-model.cc:3071:29: warning: spurious trailing space in format [-Wformat-diag]
 3071 |     pp_printf (pp, "globals: ");
      |                             ^
../../src/gcc/analyzer/region-model.cc:3073:26: warning: spurious trailing space in format [-Wformat-diag]
 3073 |     pp_printf (pp, "code: ");
      |                          ^
../../src/gcc/analyzer/region-model.cc:3075:26: warning: spurious trailing space in format [-Wformat-diag]
 3075 |     pp_printf (pp, "heap: ");
      |                          ^
../../src/gcc/analyzer/region-model.cc: In member function 'virtual void ana::symbolic_region::print_fields(const ana::region_model&, ana::region_id, pretty_printer*) const':
../../src/gcc/analyzer/region-model.cc:3457:19: warning: spurious leading punctuation sequence ',' in format [-Wformat-diag]
 3457 |   pp_printf (pp, ", possibly_null: %s", m_possibly_null ? "true" : "false");
      |                   ^
../../src/gcc/analyzer/region-model.cc:3457:21: warning: unquoted identifier or keyword 'possibly_null' in format [-Wformat-diag]
 3457 |   pp_printf (pp, ", possibly_null: %s", m_possibly_null ? "true" : "false");
      |                     ^~~~~~~~~~~~~
../../src/gcc/analyzer/region-model.cc: In member function 'void ana::region_model::dump_to_pp(pretty_printer*, bool) const':
../../src/gcc/analyzer/region-model.cc:3761:27: warning: spurious trailing space in format [-Wformat-diag]
 3761 |        pp_printf (pp, " %s ", constraint_op_code (c->m_op));
      |                           ^
../../src/gcc/analyzer/region-model.cc: In function 'void ana::dump_vec_of_tree(pretty_printer*, bool*, const auto_vec<tree_node*>&, const char*)':
../../src/gcc/analyzer/region-model.cc:3829:19: warning: spurious leading punctuation sequence '{' in format [-Wformat-diag]
 3829 |   pp_printf (pp, "{");
      |                   ^
../../src/gcc/analyzer/region-model.cc:3829:19: warning: unbalanced punctuation character '{' in format [-Wformat-diag]
../../src/gcc/analyzer/region-model.cc:3838:19: warning: unbalanced punctuation character '}' in format [-Wformat-diag]
 3838 |   pp_printf (pp, "}: %s", label);
      |                   ^
../../src/gcc/analyzer/region-model.cc: In member function 'void ana::region_model::dump_summary_of_rep_path_vars(pretty_printer*, auto_vec<ana::path_var>*, bool*)':
../../src/gcc/analyzer/region-model.cc:3904:22: warning: spurious leading punctuation sequence ':' in format [-Wformat-diag]
 3904 |      pp_printf (pp, ": %s", poison_kind_to_str (pkind));
      |                      ^
../../src/gcc/analyzer/region-model.cc: In member function 'void ana::region_model::purge_unused_svalues(ana::purge_stats*, ana::region_model_context*, ana::svalue_id_set*)':
../../src/gcc/analyzer/region-model.cc:6591:33: warning: spurious trailing space in format [-Wformat-diag]
 6591 |       logger->log_partial ("map: ");
      |                                 ^
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag    -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/sm.o -MT analyzer/sm.o -MMD -MP -MF analyzer/.deps/sm.TPo ../../src/gcc/analyzer/sm.cc
../../src/gcc/analyzer/sm.cc: In member function 'void ana::state_machine::dump_to_pp(pretty_printer*) const':
../../src/gcc/analyzer/sm.cc:118:36: warning: unquoted whitespace character '\x0a' in format [-Wformat-diag]
  118 |     pp_printf (pp, "  state %i: %qs\n", i, name);
      |                                    ^~
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag    -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/sm-file.o -MT analyzer/sm-file.o -MMD -MP -MF analyzer/.deps/sm-file.TPo ../../src/gcc/analyzer/sm-file.cc
../../src/gcc/analyzer/sm-file.cc: In member function 'virtual void ana::{anonymous}::fileptr_state_machine::on_condition(ana::sm_context*, const ana::supernode*, const gimple*, tree, tree_code, tree) const':
../../src/gcc/analyzer/sm-file.cc:379:18: warning: misspelled term 'ARG' in format; use 'argument' instead [-Wformat-diag]
  379 |       log ("got 'ARG != 0' match");
      |                  ^~~
../../src/gcc/analyzer/sm-file.cc:379:22: warning: unquoted operator '!=' in format [-Wformat-diag]
  379 |       log ("got 'ARG != 0' match");
      |                      ^~
../../src/gcc/analyzer/sm-file.cc:385:18: warning: misspelled term 'ARG' in format; use 'argument' instead [-Wformat-diag]
  385 |       log ("got 'ARG == 0' match");
      |                  ^~~
../../src/gcc/analyzer/sm-file.cc:385:22: warning: unquoted operator '==' in format [-Wformat-diag]
  385 |       log ("got 'ARG == 0' match");
      |                      ^~
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag    -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/sm-malloc.o -MT analyzer/sm-malloc.o -MMD -MP -MF analyzer/.deps/sm-malloc.TPo ../../src/gcc/analyzer/sm-malloc.cc
../../src/gcc/analyzer/sm-malloc.cc: In member function 'virtual void ana::{anonymous}::malloc_state_machine::on_condition(ana::sm_context*, const ana::supernode*, const gimple*, tree, tree_code, tree) const':
../../src/gcc/analyzer/sm-malloc.cc:789:18: warning: misspelled term 'ARG' in format; use 'argument' instead [-Wformat-diag]
  789 |       log ("got 'ARG != 0' match");
      |                  ^~~
../../src/gcc/analyzer/sm-malloc.cc:789:22: warning: unquoted operator '!=' in format [-Wformat-diag]
  789 |       log ("got 'ARG != 0' match");
      |                      ^~
../../src/gcc/analyzer/sm-malloc.cc:795:18: warning: misspelled term 'ARG' in format; use 'argument' instead [-Wformat-diag]
  795 |       log ("got 'ARG == 0' match");
      |                  ^~~
../../src/gcc/analyzer/sm-malloc.cc:795:22: warning: unquoted operator '==' in format [-Wformat-diag]
  795 |       log ("got 'ARG == 0' match");
      |                      ^~
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag    -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/sm-pattern-test.o -MT analyzer/sm-pattern-test.o -MMD -MP -MF analyzer/.deps/sm-pattern-test.TPo ../../src/gcc/analyzer/sm-pattern-test.cc
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag    -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/sm-sensitive.o -MT analyzer/sm-sensitive.o -MMD -MP -MF analyzer/.deps/sm-sensitive.TPo ../../src/gcc/analyzer/sm-sensitive.cc
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag    -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/sm-signal.o -MT analyzer/sm-signal.o -MMD -MP -MF analyzer/.deps/sm-signal.TPo ../../src/gcc/analyzer/sm-signal.cc
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag    -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/sm-taint.o -MT analyzer/sm-taint.o -MMD -MP -MF analyzer/.deps/sm-taint.TPo ../../src/gcc/analyzer/sm-taint.cc
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag    -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/state-purge.o -MT analyzer/state-purge.o -MMD -MP -MF analyzer/.deps/state-purge.TPo ../../src/gcc/analyzer/state-purge.cc
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag    -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/supergraph.o -MT analyzer/supergraph.o -MMD -MP -MF analyzer/.deps/supergraph.TPo ../../src/gcc/analyzer/supergraph.cc
../../src/gcc/analyzer/state-purge.cc: In constructor 'ana::state_purge_per_ssa_name::state_purge_per_ssa_name(const ana::state_purge_map&, tree, function*)':
../../src/gcc/analyzer/state-purge.cc:117:21: warning: misspelled term 'stmt' in format; use 'statement' instead [-Wformat-diag]
  117 |       map.log ("def stmt: %s", pp_formatted_text (&pp));
      |                     ^~~~
../../src/gcc/analyzer/state-purge.cc:135:26: warning: misspelled term 'stmt' in format; use 'statement' instead [-Wformat-diag]
  135 |        map.log ("used by stmt: %s", pp_formatted_text (&pp));
      |                          ^~~~
../../src/gcc/analyzer/state-purge.cc:185:22: warning: misspelled term 'stmt' in format; use 'statement' instead [-Wformat-diag]
  185 |       map.log ("last stmt in BB");
      |                      ^~~~
../../src/gcc/analyzer/state-purge.cc:193:24: warning: misspelled term 'stmt' in format; use 'statement' instead [-Wformat-diag]
  193 |     map.log ("not last stmt in BB");
      |                        ^~~~
../../src/gcc/analyzer/state-purge.cc:216:45: warning: spurious trailing space in format [-Wformat-diag]
  216 |    map.get_logger ()->log_partial ("  point: ");
      |                                             ^
../../src/gcc/analyzer/state-purge.cc: In member function 'void ana::state_purge_per_ssa_name::add_to_worklist(const ana::function_point&, auto_vec<ana::function_point>*, ana::logger*)':
../../src/gcc/analyzer/state-purge.cc:258:36: warning: unterminated quote character ''' in format [-Wformat-diag]
  258 |       logger->log_partial ("point: '");
      |                                    ^
../../src/gcc/analyzer/state-purge.cc:260:29: warning: unterminated quote character ''' in format [-Wformat-diag]
  260 |       logger->log_partial ("' for worklist for %qE", m_name);
      |                             ^
../../src/gcc/analyzer/state-purge.cc: In member function 'void ana::state_purge_per_ssa_name::process_point(const ana::function_point&, auto_vec<ana::function_point>*, const ana::state_purge_map&)':
../../src/gcc/analyzer/state-purge.cc:295:48: warning: unterminated quote character ''' in format [-Wformat-diag]
  295 |       logger->log_partial ("considering point: '");
      |                                                ^
../../src/gcc/analyzer/state-purge.cc:297:29: warning: unterminated quote character ''' in format [-Wformat-diag]
  297 |       logger->log_partial ("' for %qE", m_name);
      |                             ^
../../src/gcc/analyzer/state-purge.cc:323:23: warning: misspelled term 'stmt' in format; use 'statement' instead [-Wformat-diag]
  323 |     logger->log ("def stmt within phis; terminating");
      |                       ^~~~
../../src/gcc/analyzer/state-purge.cc:361:26: warning: misspelled term 'stmt' in format; use 'statement' instead [-Wformat-diag]
  361 |        logger->log ("def stmt; terminating");
      |                          ^~~~
../../src/gcc/analyzer/state-purge.cc: In member function 'virtual bool ana::state_purge_annotator::add_node_annotations(graphviz_out*, const ana::supernode&, bool) const':
../../src/gcc/analyzer/state-purge.cc:435:20: warning: unquoted identifier or keyword 'annotation_for_node_' in format [-Wformat-diag]
  435 |    pp_printf (pp, "annotation_for_node_%i", n.m_index);
      |                    ^~~~~~~~~~~~~~~~~~~~
../../src/gcc/analyzer/state-purge.cc:436:73: warning: spurious trailing punctuation sequence '="' in format [-Wformat-diag]
  436 |    pp_printf (pp, " [shape=none,margin=0,style=filled,fillcolor=%s,label=\"",
      |                                                                         ^~~
../../src/gcc/analyzer/state-purge.cc:436:21: warning: unbalanced punctuation character '[' in format [-Wformat-diag]
  436 |    pp_printf (pp, " [shape=none,margin=0,style=filled,fillcolor=%s,label=\"",
      |                     ^
../../src/gcc/analyzer/state-purge.cc: In function 'void print_vec_of_names(graphviz_out*, const char*, const auto_vec<tree_node*>&)':
../../src/gcc/analyzer/state-purge.cc:478:23: warning: spurious trailing punctuation sequence '{' in format [-Wformat-diag]
  478 |   pp_printf (pp, "%s: {", title);
      |                       ^
../../src/gcc/analyzer/state-purge.cc:478:23: warning: unbalanced punctuation character '{' in format [-Wformat-diag]
../../src/gcc/analyzer/state-purge.cc:485:19: warning: unbalanced punctuation character '}' in format [-Wformat-diag]
  485 |   pp_printf (pp, "}");
      |                   ^
../../src/gcc/analyzer/supergraph.cc: In constructor 'ana::supergraph::supergraph(ana::logger*)':
../../src/gcc/analyzer/supergraph.cc:154:24: warning: unquoted sequence of 3 consecutive punctuation characters '...' in format [-Wformat-diag]
  154 |    logger->log ("SN: %i...%i: function %qD",
      |                        ^~~
../../src/gcc/analyzer/supergraph.cc: In member function 'void ana::supergraph::dump_dot_to_pp(pretty_printer*, const dump_args_t&) const':
../../src/gcc/analyzer/supergraph.cc:270:29: warning: spurious trailing punctuation sequence ';' in format [-Wformat-diag]
  270 |   gv.println ("overlap=false;");
      |                             ^
../../src/gcc/analyzer/supergraph.cc:271:29: warning: spurious trailing punctuation sequence ';' in format [-Wformat-diag]
  271 |   gv.println ("compound=true;");
      |                             ^
../../src/gcc/analyzer/supergraph.cc:284:31: warning: unquoted identifier or keyword 'cluster_' in format [-Wformat-diag]
  284 |       gv.println ("subgraph \"cluster_%s\" {",
      |                               ^~~~~~~~
../../src/gcc/analyzer/supergraph.cc:284:44: warning: spurious trailing punctuation sequence '{' in format [-Wformat-diag]
  284 |       gv.println ("subgraph \"cluster_%s\" {",
      |                                            ^
../../src/gcc/analyzer/supergraph.cc:284:44: warning: unbalanced punctuation character '{' in format [-Wformat-diag]
../../src/gcc/analyzer/supergraph.cc:288:5: warning: unquoted sequence of 2 consecutive punctuation characters '="' in format [-Wformat-diag]
  288 |    ("style=\"dashed\";"
      |    ~^~~~~~~~~~~~~~~~~~~
  289 |     " color=\"black\";"
      |     ~~~~~~~~~~~~~~~~~~~
  290 |     " label=\"%s\";\n"),
      |     ~~~~~~~~~~~~~~~~~~~
../../src/gcc/analyzer/supergraph.cc:288:5: warning: unquoted sequence of 2 consecutive punctuation characters '";' in format [-Wformat-diag]
../../src/gcc/analyzer/supergraph.cc:288:5: warning: unquoted sequence of 2 consecutive punctuation characters '="' in format [-Wformat-diag]
../../src/gcc/analyzer/supergraph.cc:288:5: warning: unquoted sequence of 2 consecutive punctuation characters '";' in format [-Wformat-diag]
../../src/gcc/analyzer/supergraph.cc:288:5: warning: unquoted sequence of 2 consecutive punctuation characters '="' in format [-Wformat-diag]
../../src/gcc/analyzer/supergraph.cc:288:5: warning: unquoted sequence of 2 consecutive punctuation characters '";' in format [-Wformat-diag]
../../src/gcc/analyzer/supergraph.cc:288:5: warning: unquoted whitespace character '\x0a' in format [-Wformat-diag]
../../src/gcc/analyzer/supergraph.cc:300:27: warning: unquoted identifier or keyword 'cluster_' in format [-Wformat-diag]
  300 |   gv.println ("subgraph \"cluster_%s_bb_%i\" {",
      |                           ^~~~~~~~
../../src/gcc/analyzer/supergraph.cc:300:37: warning: unquoted identifier or keyword '_bb_' in format [-Wformat-diag]
  300 |   gv.println ("subgraph \"cluster_%s_bb_%i\" {",
      |                                     ^~~~
../../src/gcc/analyzer/supergraph.cc:300:46: warning: spurious trailing punctuation sequence '{' in format [-Wformat-diag]
  300 |   gv.println ("subgraph \"cluster_%s_bb_%i\" {",
      |                                              ^
../../src/gcc/analyzer/supergraph.cc:300:46: warning: unbalanced punctuation character '{' in format [-Wformat-diag]
../../src/gcc/analyzer/supergraph.cc:304:8: warning: unquoted sequence of 2 consecutive punctuation characters '="' in format [-Wformat-diag]
  304 |       ("style=\"dashed\";"
      |       ~^~~~~~~~~~~~~~~~~~~
  305 |        " color=\"black\";"
      |        ~~~~~~~~~~~~~~~~~~~
  306 |        " label=\"bb: %i\";\n"),
      |        ~~~~~~~~~~~~~~~~~~~~~~~
../../src/gcc/analyzer/supergraph.cc:304:8: warning: unquoted sequence of 2 consecutive punctuation characters '";' in format [-Wformat-diag]
../../src/gcc/analyzer/supergraph.cc:304:8: warning: unquoted sequence of 2 consecutive punctuation characters '="' in format [-Wformat-diag]
../../src/gcc/analyzer/supergraph.cc:304:8: warning: unquoted sequence of 2 consecutive punctuation characters '";' in format [-Wformat-diag]
../../src/gcc/analyzer/supergraph.cc:304:8: warning: unquoted sequence of 2 consecutive punctuation characters '="' in format [-Wformat-diag]
../../src/gcc/analyzer/supergraph.cc:304:8: warning: unquoted sequence of 2 consecutive punctuation characters '";' in format [-Wformat-diag]
../../src/gcc/analyzer/supergraph.cc:304:8: warning: unquoted whitespace character '\x0a' in format [-Wformat-diag]
../../src/gcc/analyzer/supergraph.cc:321:16: warning: unbalanced punctuation character '}' in format [-Wformat-diag]
  321 |   gv.println ("}");
      |                ^
../../src/gcc/analyzer/supergraph.cc:335:20: warning: unbalanced punctuation character '}' in format [-Wformat-diag]
  335 |       gv.println ("}");
      |                    ^
../../src/gcc/analyzer/supergraph.cc:347:16: warning: unbalanced punctuation character '}' in format [-Wformat-diag]
  347 |   gv.println ("}");
      |                ^
../../src/gcc/analyzer/supergraph.cc: In member function 'virtual void ana::supernode::dump_dot(graphviz_out*, const dump_args_t&) const':
../../src/gcc/analyzer/supergraph.cc:449:26: warning: unquoted identifier or keyword 'cluster_node_' in format [-Wformat-diag]
  449 |   gv->println ("subgraph cluster_node_%i {",
      |                          ^~~~~~~~~~~~~
../../src/gcc/analyzer/supergraph.cc:449:42: warning: spurious trailing punctuation sequence '{' in format [-Wformat-diag]
  449 |   gv->println ("subgraph cluster_node_%i {",
      |                                          ^
../../src/gcc/analyzer/supergraph.cc:449:42: warning: unbalanced punctuation character '{' in format [-Wformat-diag]
../../src/gcc/analyzer/supergraph.cc:453:21: warning: unquoted sequence of 2 consecutive punctuation characters '="' in format [-Wformat-diag]
  453 |   gv->println("style=\"solid\";");
      |                     ^~~
../../src/gcc/analyzer/supergraph.cc:453:29: warning: spurious trailing punctuation sequence '";' in format [-Wformat-diag]
  453 |   gv->println("style=\"solid\";");
      |                             ^~~
../../src/gcc/analyzer/supergraph.cc:454:21: warning: unquoted sequence of 2 consecutive punctuation characters '="' in format [-Wformat-diag]
  454 |   gv->println("color=\"black\";");
      |                     ^~~
../../src/gcc/analyzer/supergraph.cc:454:29: warning: spurious trailing punctuation sequence '";' in format [-Wformat-diag]
  454 |   gv->println("color=\"black\";");
      |                             ^~~
../../src/gcc/analyzer/supergraph.cc:455:25: warning: unquoted sequence of 2 consecutive punctuation characters '="' in format [-Wformat-diag]
  455 |   gv->println("fillcolor=\"lightgrey\";");
      |                         ^~~
../../src/gcc/analyzer/supergraph.cc:455:37: warning: spurious trailing punctuation sequence '";' in format [-Wformat-diag]
  455 |   gv->println("fillcolor=\"lightgrey\";");
      |                                     ^~~
../../src/gcc/analyzer/supergraph.cc:456:21: warning: unquoted sequence of 2 consecutive punctuation characters '="' in format [-Wformat-diag]
  456 |   gv->println("label=\"sn: %i (bb: %i)\";", m_index, m_bb->index);
      |                     ^~~
../../src/gcc/analyzer/supergraph.cc:456:38: warning: spurious trailing punctuation sequence ')";' in format [-Wformat-diag]
  456 |   gv->println("label=\"sn: %i (bb: %i)\";", m_index, m_bb->index);
      |                                      ^~~~
../../src/gcc/analyzer/supergraph.cc:466:61: warning: spurious trailing punctuation sequence '=<' in format [-Wformat-diag]
  466 |       " [shape=none,margin=0,style=filled,fillcolor=%s,label=<",
      |                                                             ^~
../../src/gcc/analyzer/supergraph.cc:466:9: warning: unbalanced punctuation character '[' in format [-Wformat-diag]
  466 |       " [shape=none,margin=0,style=filled,fillcolor=%s,label=<",
      |         ^
../../src/gcc/analyzer/supergraph.cc:586:17: warning: unbalanced punctuation character '}' in format [-Wformat-diag]
  586 |   gv->println ("}");
      |                 ^
../../src/gcc/analyzer/supergraph.cc: In member function 'void ana::supernode::dump_dot_id(pretty_printer*) const':
../../src/gcc/analyzer/supergraph.cc:594:19: warning: unquoted identifier or keyword 'node_' in format [-Wformat-diag]
  594 |   pp_printf (pp, "node_%i", m_index);
      |                   ^~~~~
../../src/gcc/analyzer/supergraph.cc: In member function 'void ana::superedge::dump(pretty_printer*) const':
../../src/gcc/analyzer/supergraph.cc:666:32: warning: unquoted operator '->' in format [-Wformat-diag]
  666 |   pp_printf (pp, "edge: SN: %i -> SN: %i", m_src->m_index, m_dest->m_index);
      |                                ^~
../../src/gcc/analyzer/supergraph.cc: In member function 'virtual void ana::superedge::dump_dot(graphviz_out*, const dump_args_t&) const':
../../src/gcc/analyzer/supergraph.cc:751:8: warning: unquoted sequence of 2 consecutive punctuation characters '="' in format [-Wformat-diag]
  751 |       (" [style=%s, color=%s, weight=%d, constraint=%s,"
      |       ~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
  752 |        " ltail=\"cluster_node_%i\", lhead=\"cluster_node_%i\""
      |        ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
  753 |        " headlabel=\""),
      |        ~~~~~~~~~~~~~~~~
../../src/gcc/analyzer/supergraph.cc:751:8: warning: unquoted identifier or keyword 'cluster_node_' in format [-Wformat-diag]
../../src/gcc/analyzer/supergraph.cc:751:8: warning: unquoted sequence of 2 consecutive punctuation characters '",' in format [-Wformat-diag]
../../src/gcc/analyzer/supergraph.cc:751:8: warning: unquoted sequence of 2 consecutive punctuation characters '="' in format [-Wformat-diag]
../../src/gcc/analyzer/supergraph.cc:751:8: warning: unquoted identifier or keyword 'cluster_node_' in format [-Wformat-diag]
../../src/gcc/analyzer/supergraph.cc:751:8: warning: spurious trailing punctuation sequence '="' in format [-Wformat-diag]
../../src/gcc/analyzer/supergraph.cc:751:8: warning: unbalanced punctuation character '[' in format [-Wformat-diag]
../../src/gcc/analyzer/supergraph.cc:751:8: warning: unterminated quote character '"' in format [-Wformat-diag]
../../src/gcc/analyzer/supergraph.cc:759:19: warning: unbalanced punctuation character ']' in format [-Wformat-diag]
  759 |   pp_printf (pp, "\"];\n");
      |                   ^~~
../../src/gcc/analyzer/supergraph.cc:759:23: warning: unquoted whitespace character '\x0a' in format [-Wformat-diag]
  759 |   pp_printf (pp, "\"];\n");
      |                       ^~
../../src/gcc/analyzer/supergraph.cc: In member function 'virtual void ana::switch_cfg_superedge::dump_label_to_pp(pretty_printer*, bool) const':
../../src/gcc/analyzer/supergraph.cc:868:27: warning: spurious trailing space in format [-Wformat-diag]
  868 |       pp_printf (pp, "case ");
      |                           ^
../../src/gcc/analyzer/supergraph.cc:872:21: warning: unquoted sequence of 3 consecutive punctuation characters '...' in format [-Wformat-diag]
  872 |    pp_printf (pp, " ... ");
      |                     ^~~
../../src/gcc/analyzer/supergraph.cc:872:24: warning: spurious trailing space in format [-Wformat-diag]
  872 |    pp_printf (pp, " ... ");
      |                        ^
../../src/gcc/analyzer/supergraph.cc:875:23: warning: spurious leading punctuation sequence ':' in format [-Wformat-diag]
  875 |       pp_printf (pp, ":");
      |                       ^
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  \
	../../src/gcc/config/arm/arm-builtins.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  \
	../../src/gcc/config/arm/aarch-common.c
../../src/gcc/config/arm/arm-builtins.c: In function 'rtx_def* arm_expand_builtin_args(rtx, machine_mode, int, int, int, tree, builtin_arg*)':
../../src/gcc/config/arm/arm-builtins.c:3103:43: warning: spurious trailing punctuation sequence '>' in format [-Wformat-diag]
 3103 |        "range [0-%d] enabled with +cdecp<N>", exp,
      |                                           ^
../../src/gcc/config/arm/arm-builtins.c: In function 'rtx_def* arm_expand_builtin(tree, rtx, rtx, machine_mode, int)':
../../src/gcc/config/arm/arm-builtins.c:3945:80: warning: unquoted identifier or keyword '_mm_rori_pi16' in format [-Wformat-diag]
 3945 |   error ("the range of count should be in 0 to 32.  please check the intrinsic _mm_rori_pi16 in code.");
      |                                                                                ^~~~~~~~~~~~~
../../src/gcc/config/arm/arm-builtins.c:3945:101: warning: spurious trailing punctuation sequence '.' in format [-Wformat-diag]
 3945 |   error ("the range of count should be in 0 to 32.  please check the intrinsic _mm_rori_pi16 in code.");
      |                                                                                                     ^
../../src/gcc/config/arm/arm-builtins.c:3947:80: warning: unquoted identifier or keyword '_mm_rori_pi32' in format [-Wformat-diag]
 3947 |   error ("the range of count should be in 0 to 32.  please check the intrinsic _mm_rori_pi32 in code.");
      |                                                                                ^~~~~~~~~~~~~
../../src/gcc/config/arm/arm-builtins.c:3947:101: warning: spurious trailing punctuation sequence '.' in format [-Wformat-diag]
 3947 |   error ("the range of count should be in 0 to 32.  please check the intrinsic _mm_rori_pi32 in code.");
      |                                                                                                     ^
../../src/gcc/config/arm/arm-builtins.c:3949:80: warning: unquoted identifier or keyword '_mm_ror_pi16' in format [-Wformat-diag]
 3949 |   error ("the range of count should be in 0 to 32.  please check the intrinsic _mm_ror_pi16 in code.");
      |                                                                                ^~~~~~~~~~~~
../../src/gcc/config/arm/arm-builtins.c:3949:100: warning: spurious trailing punctuation sequence '.' in format [-Wformat-diag]
 3949 |   error ("the range of count should be in 0 to 32.  please check the intrinsic _mm_ror_pi16 in code.");
      |                                                                                                    ^
../../src/gcc/config/arm/arm-builtins.c:3951:80: warning: unquoted identifier or keyword '_mm_ror_pi32' in format [-Wformat-diag]
 3951 |   error ("the range of count should be in 0 to 32.  please check the intrinsic _mm_ror_pi32 in code.");
      |                                                                                ^~~~~~~~~~~~
../../src/gcc/config/arm/arm-builtins.c:3951:100: warning: spurious trailing punctuation sequence '.' in format [-Wformat-diag]
 3951 |   error ("the range of count should be in 0 to 32.  please check the intrinsic _mm_ror_pi32 in code.");
      |                                                                                                    ^
../../src/gcc/config/arm/arm-builtins.c:3957:80: warning: unquoted identifier or keyword '_mm_rori_si64' in format [-Wformat-diag]
 3957 |   error ("the range of count should be in 0 to 64.  please check the intrinsic _mm_rori_si64 in code.");
      |                                                                                ^~~~~~~~~~~~~
../../src/gcc/config/arm/arm-builtins.c:3957:101: warning: spurious trailing punctuation sequence '.' in format [-Wformat-diag]
 3957 |   error ("the range of count should be in 0 to 64.  please check the intrinsic _mm_rori_si64 in code.");
      |                                                                                                     ^
../../src/gcc/config/arm/arm-builtins.c:3959:80: warning: unquoted identifier or keyword '_mm_ror_si64' in format [-Wformat-diag]
 3959 |   error ("the range of count should be in 0 to 64.  please check the intrinsic _mm_ror_si64 in code.");
      |                                                                                ^~~~~~~~~~~~
../../src/gcc/config/arm/arm-builtins.c:3959:100: warning: spurious trailing punctuation sequence '.' in format [-Wformat-diag]
 3959 |   error ("the range of count should be in 0 to 64.  please check the intrinsic _mm_ror_si64 in code.");
      |                                                                                                    ^
../../src/gcc/config/arm/arm-builtins.c:3964:75: warning: unquoted identifier or keyword '_mm_srli_pi16' in format [-Wformat-diag]
 3964 |   error ("the count should be no less than 0.  please check the intrinsic _mm_srli_pi16 in code.");
      |                                                                           ^~~~~~~~~~~~~
../../src/gcc/config/arm/arm-builtins.c:3964:96: warning: spurious trailing punctuation sequence '.' in format [-Wformat-diag]
 3964 |   error ("the count should be no less than 0.  please check the intrinsic _mm_srli_pi16 in code.");
      |                                                                                                ^
../../src/gcc/config/arm/arm-builtins.c:3966:75: warning: unquoted identifier or keyword '_mm_srli_pi32' in format [-Wformat-diag]
 3966 |   error ("the count should be no less than 0.  please check the intrinsic _mm_srli_pi32 in code.");
      |                                                                           ^~~~~~~~~~~~~
../../src/gcc/config/arm/arm-builtins.c:3966:96: warning: spurious trailing punctuation sequence '.' in format [-Wformat-diag]
 3966 |   error ("the count should be no less than 0.  please check the intrinsic _mm_srli_pi32 in code.");
      |                                                                                                ^
../../src/gcc/config/arm/arm-builtins.c:3968:75: warning: unquoted identifier or keyword '_mm_srli_si64' in format [-Wformat-diag]
 3968 |   error ("the count should be no less than 0.  please check the intrinsic _mm_srli_si64 in code.");
      |                                                                           ^~~~~~~~~~~~~
../../src/gcc/config/arm/arm-builtins.c:3968:96: warning: spurious trailing punctuation sequence '.' in format [-Wformat-diag]
 3968 |   error ("the count should be no less than 0.  please check the intrinsic _mm_srli_si64 in code.");
      |                                                                                                ^
../../src/gcc/config/arm/arm-builtins.c:3970:75: warning: unquoted identifier or keyword '_mm_slli_pi16' in format [-Wformat-diag]
 3970 |   error ("the count should be no less than 0.  please check the intrinsic _mm_slli_pi16 in code.");
      |                                                                           ^~~~~~~~~~~~~
../../src/gcc/config/arm/arm-builtins.c:3970:96: warning: spurious trailing punctuation sequence '.' in format [-Wformat-diag]
 3970 |   error ("the count should be no less than 0.  please check the intrinsic _mm_slli_pi16 in code.");
      |                                                                                                ^
../../src/gcc/config/arm/arm-builtins.c:3972:75: warning: unquoted identifier or keyword '_mm_slli_pi32' in format [-Wformat-diag]
 3972 |   error ("the count should be no less than 0.  please check the intrinsic _mm_slli_pi32 in code.");
      |                                                                           ^~~~~~~~~~~~~
../../src/gcc/config/arm/arm-builtins.c:3972:96: warning: spurious trailing punctuation sequence '.' in format [-Wformat-diag]
 3972 |   error ("the count should be no less than 0.  please check the intrinsic _mm_slli_pi32 in code.");
      |                                                                                                ^
../../src/gcc/config/arm/arm-builtins.c:3974:75: warning: unquoted identifier or keyword '_mm_slli_si64' in format [-Wformat-diag]
 3974 |   error ("the count should be no less than 0.  please check the intrinsic _mm_slli_si64 in code.");
      |                                                                           ^~~~~~~~~~~~~
../../src/gcc/config/arm/arm-builtins.c:3974:96: warning: spurious trailing punctuation sequence '.' in format [-Wformat-diag]
 3974 |   error ("the count should be no less than 0.  please check the intrinsic _mm_slli_si64 in code.");
      |                                                                                                ^
../../src/gcc/config/arm/arm-builtins.c:3976:75: warning: unquoted identifier or keyword '_mm_srai_pi16' in format [-Wformat-diag]
 3976 |   error ("the count should be no less than 0.  please check the intrinsic _mm_srai_pi16 in code.");
      |                                                                           ^~~~~~~~~~~~~
../../src/gcc/config/arm/arm-builtins.c:3976:96: warning: spurious trailing punctuation sequence '.' in format [-Wformat-diag]
 3976 |   error ("the count should be no less than 0.  please check the intrinsic _mm_srai_pi16 in code.");
      |                                                                                                ^
../../src/gcc/config/arm/arm-builtins.c:3978:75: warning: unquoted identifier or keyword '_mm_srai_pi32' in format [-Wformat-diag]
 3978 |   error ("the count should be no less than 0.  please check the intrinsic _mm_srai_pi32 in code.");
      |                                                                           ^~~~~~~~~~~~~
../../src/gcc/config/arm/arm-builtins.c:3978:96: warning: spurious trailing punctuation sequence '.' in format [-Wformat-diag]
 3978 |   error ("the count should be no less than 0.  please check the intrinsic _mm_srai_pi32 in code.");
      |                                                                                                ^
../../src/gcc/config/arm/arm-builtins.c:3980:75: warning: unquoted identifier or keyword '_mm_srai_si64' in format [-Wformat-diag]
 3980 |   error ("the count should be no less than 0.  please check the intrinsic _mm_srai_si64 in code.");
      |                                                                           ^~~~~~~~~~~~~
../../src/gcc/config/arm/arm-builtins.c:3980:96: warning: spurious trailing punctuation sequence '.' in format [-Wformat-diag]
 3980 |   error ("the count should be no less than 0.  please check the intrinsic _mm_srai_si64 in code.");
      |                                                                                                ^
../../src/gcc/config/arm/arm-builtins.c:3982:75: warning: unquoted identifier or keyword '_mm_srl_pi16' in format [-Wformat-diag]
 3982 |   error ("the count should be no less than 0.  please check the intrinsic _mm_srl_pi16 in code.");
      |                                                                           ^~~~~~~~~~~~
../../src/gcc/config/arm/arm-builtins.c:3982:95: warning: spurious trailing punctuation sequence '.' in format [-Wformat-diag]
 3982 |   error ("the count should be no less than 0.  please check the intrinsic _mm_srl_pi16 in code.");
      |                                                                                               ^
../../src/gcc/config/arm/arm-builtins.c:3984:75: warning: unquoted identifier or keyword '_mm_srl_pi32' in format [-Wformat-diag]
 3984 |   error ("the count should be no less than 0.  please check the intrinsic _mm_srl_pi32 in code.");
      |                                                                           ^~~~~~~~~~~~
../../src/gcc/config/arm/arm-builtins.c:3984:95: warning: spurious trailing punctuation sequence '.' in format [-Wformat-diag]
 3984 |   error ("the count should be no less than 0.  please check the intrinsic _mm_srl_pi32 in code.");
      |                                                                                               ^
../../src/gcc/config/arm/arm-builtins.c:3986:75: warning: unquoted identifier or keyword '_mm_srl_si64' in format [-Wformat-diag]
 3986 |   error ("the count should be no less than 0.  please check the intrinsic _mm_srl_si64 in code.");
      |                                                                           ^~~~~~~~~~~~
../../src/gcc/config/arm/arm-builtins.c:3986:95: warning: spurious trailing punctuation sequence '.' in format [-Wformat-diag]
 3986 |   error ("the count should be no less than 0.  please check the intrinsic _mm_srl_si64 in code.");
      |                                                                                               ^
../../src/gcc/config/arm/arm-builtins.c:3988:75: warning: unquoted identifier or keyword '_mm_sll_pi16' in format [-Wformat-diag]
 3988 |   error ("the count should be no less than 0.  please check the intrinsic _mm_sll_pi16 in code.");
      |                                                                           ^~~~~~~~~~~~
../../src/gcc/config/arm/arm-builtins.c:3988:95: warning: spurious trailing punctuation sequence '.' in format [-Wformat-diag]
 3988 |   error ("the count should be no less than 0.  please check the intrinsic _mm_sll_pi16 in code.");
      |                                                                                               ^
../../src/gcc/config/arm/arm-builtins.c:3990:75: warning: unquoted identifier or keyword '_mm_sll_pi32' in format [-Wformat-diag]
 3990 |   error ("the count should be no less than 0.  please check the intrinsic _mm_sll_pi32 in code.");
      |                                                                           ^~~~~~~~~~~~
../../src/gcc/config/arm/arm-builtins.c:3990:95: warning: spurious trailing punctuation sequence '.' in format [-Wformat-diag]
 3990 |   error ("the count should be no less than 0.  please check the intrinsic _mm_sll_pi32 in code.");
      |                                                                                               ^
../../src/gcc/config/arm/arm-builtins.c:3992:75: warning: unquoted identifier or keyword '_mm_sll_si64' in format [-Wformat-diag]
 3992 |   error ("the count should be no less than 0.  please check the intrinsic _mm_sll_si64 in code.");
      |                                                                           ^~~~~~~~~~~~
../../src/gcc/config/arm/arm-builtins.c:3992:95: warning: spurious trailing punctuation sequence '.' in format [-Wformat-diag]
 3992 |   error ("the count should be no less than 0.  please check the intrinsic _mm_sll_si64 in code.");
      |                                                                                               ^
../../src/gcc/config/arm/arm-builtins.c:3994:75: warning: unquoted identifier or keyword '_mm_sra_pi16' in format [-Wformat-diag]
 3994 |   error ("the count should be no less than 0.  please check the intrinsic _mm_sra_pi16 in code.");
      |                                                                           ^~~~~~~~~~~~
../../src/gcc/config/arm/arm-builtins.c:3994:95: warning: spurious trailing punctuation sequence '.' in format [-Wformat-diag]
 3994 |   error ("the count should be no less than 0.  please check the intrinsic _mm_sra_pi16 in code.");
      |                                                                                               ^
../../src/gcc/config/arm/arm-builtins.c:3996:75: warning: unquoted identifier or keyword '_mm_sra_pi32' in format [-Wformat-diag]
 3996 |   error ("the count should be no less than 0.  please check the intrinsic _mm_sra_pi32 in code.");
      |                                                                           ^~~~~~~~~~~~
../../src/gcc/config/arm/arm-builtins.c:3996:95: warning: spurious trailing punctuation sequence '.' in format [-Wformat-diag]
 3996 |   error ("the count should be no less than 0.  please check the intrinsic _mm_sra_pi32 in code.");
      |                                                                                               ^
../../src/gcc/config/arm/arm-builtins.c:3998:75: warning: unquoted identifier or keyword '_mm_sra_si64' in format [-Wformat-diag]
 3998 |   error ("the count should be no less than 0.  please check the intrinsic _mm_sra_si64 in code.");
      |                                                                           ^~~~~~~~~~~~
../../src/gcc/config/arm/arm-builtins.c:3998:95: warning: spurious trailing punctuation sequence '.' in format [-Wformat-diag]
 3998 |   error ("the count should be no less than 0.  please check the intrinsic _mm_sra_si64 in code.");
      |                                                                                               ^
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o linux.o -MT linux.o -MMD -MP -MF ./.deps/linux.TPo ../../src/gcc/config/linux.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o host-linux.o -MT host-linux.o -MMD -MP -MF ./.deps/host-linux.TPo ../../src/gcc/config/host-linux.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o main.o -MT main.o -MMD -MP -MF ./.deps/main.TPo ../../src/gcc/main.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o arm-common.o -MT arm-common.o -MMD -MP -MF ./.deps/arm-common.TPo ../../src/gcc/common/config/arm/arm-common.c
g++ -fno-PIE -c  -DPREFIX=\"/usr/lib/ghdl/gcc\" -DBASEVER="\"10.3.0\"" -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o prefix.o -MT prefix.o -MMD -MP -MF ./.deps/prefix.TPo ../../src/gcc/prefix.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o opts.o -MT opts.o -MMD -MP -MF ./.deps/opts.TPo ../../src/gcc/opts.c
../../src/gcc/common/config/arm/arm-common.c: In function 'const char* arm_target_thumb_only(int, const char**)':
../../src/gcc/common/config/arm/arm-common.c:259:8: warning: unquoted identifier or keyword 'target_mode_check' in format [-Wformat-diag]
  259 |    "%%:target_mode_check takes an even number of parameters");
      |        ^~~~~~~~~~~~~~~~~
../../src/gcc/common/config/arm/arm-common.c:269:42: warning: unquoted identifier or keyword 'target_mode_check' in format [-Wformat-diag]
  269 |        "unrecognized option passed to %%:target_mode_check");
      |                                          ^~~~~~~~~~~~~~~~~
../../src/gcc/common/config/arm/arm-common.c: In function 'const char* arm_canon_arch_option_1(int, const char**, bool)':
../../src/gcc/common/config/arm/arm-common.c:618:8: warning: unquoted identifier or keyword 'canon_for_mlib' in format [-Wformat-diag]
  618 |    "%%:canon_for_mlib takes 1 or more pairs of parameters");
      |        ^~~~~~~~~~~~~~
../../src/gcc/common/config/arm/arm-common.c:632:36: warning: unquoted identifier or keyword 'canon_for_mlib' in format [-Wformat-diag]
  632 |        "unrecognized operand to %%:canon_for_mlib");
      |                                    ^~~~~~~~~~~~~~
../../src/gcc/common/config/arm/arm-common.c: In function 'const char* arm_asm_auto_mfpu(int, const char**)':
../../src/gcc/common/config/arm/arm-common.c:988:36: warning: unquoted identifier or keyword 'asm_auto_mfpu' in format [-Wformat-diag]
  988 |        "unrecognized operand to %%:asm_auto_mfpu");
      |                                    ^~~~~~~~~~~~~
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o opts-common.o -MT opts-common.o -MMD -MP -MF ./.deps/opts-common.TPo ../../src/gcc/opts-common.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o options.o -MT options.o -MMD -MP -MF ./.deps/options.TPo options.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o vec.o -MT vec.o -MMD -MP -MF ./.deps/vec.TPo ../../src/gcc/vec.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o hooks.o -MT hooks.o -MMD -MP -MF ./.deps/hooks.TPo ../../src/gcc/hooks.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag    -DHAVE_CONFIG_H -I. -Icommon -I../../src/gcc -I../../src/gcc/common -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o common/common-targhooks.o -MT common/common-targhooks.o -MMD -MP -MF common/.deps/common-targhooks.TPo ../../src/gcc/common/common-targhooks.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o hash-table.o -MT hash-table.o -MMD -MP -MF ./.deps/hash-table.TPo ../../src/gcc/hash-table.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o file-find.o -MT file-find.o -MMD -MP -MF ./.deps/file-find.TPo ../../src/gcc/file-find.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o selftest.o -MT selftest.o -MMD -MP -MF ./.deps/selftest.TPo ../../src/gcc/selftest.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o opt-suggestions.o -MT opt-suggestions.o -MMD -MP -MF ./.deps/opt-suggestions.TPo ../../src/gcc/opt-suggestions.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o diagnostic.o -MT diagnostic.o -MMD -MP -MF ./.deps/diagnostic.TPo ../../src/gcc/diagnostic.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o diagnostic-color.o -MT diagnostic-color.o -MMD -MP -MF ./.deps/diagnostic-color.TPo ../../src/gcc/diagnostic-color.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o diagnostic-show-locus.o -MT diagnostic-show-locus.o -MMD -MP -MF ./.deps/diagnostic-show-locus.TPo ../../src/gcc/diagnostic-show-locus.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o diagnostic-format-json.o -MT diagnostic-format-json.o -MMD -MP -MF ./.deps/diagnostic-format-json.TPo ../../src/gcc/diagnostic-format-json.cc
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o json.o -MT json.o -MMD -MP -MF ./.deps/json.TPo ../../src/gcc/json.cc
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o edit-context.o -MT edit-context.o -MMD -MP -MF ./.deps/edit-context.TPo ../../src/gcc/edit-context.c
../../src/gcc/json.cc: In member function 'virtual void json::object::print(pretty_printer*) const':
../../src/gcc/json.cc:73:23: warning: quoted '%s' directive in format [-Wformat-diag]
   73 |       pp_printf (pp, "\"%s\": ", key); // FIXME: escaping?
      |                       ^~~~~~
../../src/gcc/json.cc:73:22: note: if using '%qs' is not feasible, use '"%-s"' instead
   73 |       pp_printf (pp, "\"%s\": ", key); // FIXME: escaping?
      |                      ^~~~~~~~~~
../../src/gcc/json.cc:73:27: warning: unquoted sequence of 2 consecutive punctuation characters '":' in format [-Wformat-diag]
   73 |       pp_printf (pp, "\"%s\": ", key); // FIXME: escaping?
      |                           ^~~
../../src/gcc/json.cc:73:30: warning: spurious trailing space in format [-Wformat-diag]
   73 |       pp_printf (pp, "\"%s\": ", key); // FIXME: escaping?
      |                              ^
../../src/gcc/json.cc:73:23: warning: unterminated quote character '"' in format [-Wformat-diag]
   73 |       pp_printf (pp, "\"%s\": ", key); // FIXME: escaping?
      |                       ^~
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o pretty-print.o -MT pretty-print.o -MMD -MP -MF ./.deps/pretty-print.TPo ../../src/gcc/pretty-print.c
../../src/gcc/edit-context.c: In member function 'void edited_file::print_diff(pretty_printer*, bool)':
../../src/gcc/edit-context.c:450:23: warning: spurious leading punctuation sequence '---' in format [-Wformat-diag]
  450 |       pp_printf (pp, "--- %s\n", m_filename);
      |                       ^~~
../../src/gcc/edit-context.c:450:29: warning: unquoted whitespace character '\x0a' in format [-Wformat-diag]
  450 |       pp_printf (pp, "--- %s\n", m_filename);
      |                             ^~
../../src/gcc/edit-context.c:451:23: warning: spurious leading punctuation sequence '+++' in format [-Wformat-diag]
  451 |       pp_printf (pp, "+++ %s\n", m_filename);
      |                       ^~~
../../src/gcc/edit-context.c:451:29: warning: unquoted whitespace character '\x0a' in format [-Wformat-diag]
  451 |       pp_printf (pp, "+++ %s\n", m_filename);
      |                             ^~
../../src/gcc/edit-context.c: In member function 'int edited_file::print_diff_hunk(pretty_printer*, int, int, int)':
../../src/gcc/edit-context.c:522:19: warning: spurious leading punctuation sequence '@@' in format [-Wformat-diag]
  522 |   pp_printf (pp, "@@ -%i,%i +%i,%i @@\n", old_start_of_hunk, old_num_lines,
      |                   ^~
../../src/gcc/edit-context.c:522:36: warning: unquoted sequence of 2 consecutive punctuation characters '@@' in format [-Wformat-diag]
  522 |   pp_printf (pp, "@@ -%i,%i +%i,%i @@\n", old_start_of_hunk, old_num_lines,
      |                                    ^~
../../src/gcc/edit-context.c:522:38: warning: unquoted whitespace character '\x0a' in format [-Wformat-diag]
  522 |   pp_printf (pp, "@@ -%i,%i +%i,%i @@\n", old_start_of_hunk, old_num_lines,
      |                                      ^~
g++ -fno-PIE -c  -DLOCALEDIR=\"/usr/lib/ghdl/gcc/share/locale\" -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o intl.o -MT intl.o -MMD -MP -MF ./.deps/intl.TPo ../../src/gcc/intl.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o sbitmap.o -MT sbitmap.o -MMD -MP -MF ./.deps/sbitmap.TPo ../../src/gcc/sbitmap.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o input.o -MT input.o -MMD -MP -MF ./.deps/input.TPo ../../src/gcc/input.c
g++ -fno-PIE -c  -DBASEVER="\"10.3.0\"" -DDATESTAMP="\"\"" -DREVISION="\"\"" -DDEVPHASE="\"\"" -DPKGVERSION="\"(GCC) \"" -DBUGURL="\"<https://gcc.gnu.org/bugs/>\"" -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o version.o -MT version.o -MMD -MP -MF ./.deps/version.TPo ../../src/gcc/version.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o ggc-none.o -MT ggc-none.o -MMD -MP -MF ./.deps/ggc-none.TPo ../../src/gcc/ggc-none.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o memory-block.o -MT memory-block.o -MMD -MP -MF ./.deps/memory-block.TPo ../../src/gcc/memory-block.cc
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o selftest-diagnostic.o -MT selftest-diagnostic.o -MMD -MP -MF ./.deps/selftest-diagnostic.TPo ../../src/gcc/selftest-diagnostic.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o sort.o -MT sort.o -MMD -MP -MF ./.deps/sort.TPo ../../src/gcc/sort.cc
g++ -fno-PIE -c  -DIN_GCC_FRONTEND -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag    -DHAVE_CONFIG_H -I. -Ivhdl -I../../src/gcc -I../../src/gcc/vhdl -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o vhdl/ortho-lang.o -MT vhdl/ortho-lang.o -MMD -MP -MF vhdl/.deps/ortho-lang.TPo ../../src/gcc/vhdl/ortho-lang.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o gcov.o -MT gcov.o -MMD -MP -MF ./.deps/gcov.TPo ../../src/gcc/gcov.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o gcov-dump.o -MT gcov-dump.o -MMD -MP -MF ./.deps/gcov-dump.TPo ../../src/gcc/gcov-dump.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o gcov-tool.o -MT gcov-tool.o -MMD -MP -MF ./.deps/gcov-tool.TPo ../../src/gcc/gcov-tool.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings  -Wno-error -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -o libgcov-util.o ../../src/gcc/../libgcc/libgcov-util.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings  -Wno-error -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  \
  -DIN_GCOV_TOOL=1 -o libgcov-driver-tool.o ../../src/gcc/../libgcc/libgcov-driver.c
../../src/gcc/../libgcc/libgcov-util.c: In function 'gcov_info* gcov_read_profile_dir(const char*, int)':
../../src/gcc/../libgcc/libgcov-util.c:463:9: warning: ignoring return value of 'int chdir(const char*)' declared with attribute 'warn_unused_result' [-Wunused-result]
  463 |   chdir (pwd);
      |   ~~~~~~^~~~~
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings  -Wno-error -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  \
  -DIN_GCOV_TOOL=1 -o libgcov-merge-tool.o ../../src/gcc/../libgcc/libgcov-merge.c
g++ -fno-PIE -c  -DTARGET_MACHINE=\"armv7l-unknown-linux-gnueabihf\"  -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o collect2.o -MT collect2.o -MMD -MP -MF ./.deps/collect2.TPo ../../src/gcc/collect2.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o collect2-aix.o -MT collect2-aix.o -MMD -MP -MF ./.deps/collect2-aix.TPo ../../src/gcc/collect2-aix.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o collect-utils.o -MT collect-utils.o -MMD -MP -MF ./.deps/collect-utils.TPo ../../src/gcc/collect-utils.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o lto-wrapper.o -MT lto-wrapper.o -MMD -MP -MF ./.deps/lto-wrapper.TPo ../../src/gcc/lto-wrapper.c
g++ -fno-PIE -c  -DSTANDARD_STARTFILE_PREFIX=\"../../../\" -DSTANDARD_EXEC_PREFIX=\"/usr/lib/ghdl/gcc/lib/gcc/\" -DSTANDARD_LIBEXEC_PREFIX=\"/usr/lib/ghdl/gcc/libexec/gcc/\" -DDEFAULT_TARGET_VERSION=\"10.3.0\" -DDEFAULT_REAL_TARGET_MACHINE=\"armv7l-unknown-linux-gnueabihf\" -DDEFAULT_TARGET_MACHINE=\"armv7l-unknown-linux-gnueabihf\" -DSTANDARD_BINDIR_PREFIX=\"/usr/lib/ghdl/gcc/bin/\" -DTOOLDIR_BASE_PREFIX=\"../../../../\" -DACCEL_DIR_SUFFIX=\"\"  -DENABLE_SHARED_LIBGCC -DCONFIGURE_SPECS="\"\"" -DTOOL_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/armv7l-unknown-linux-gnueabihf/10.3.0/../../../../armv7l-unknown-linux-gnueabihf/include\" -DNATIVE_SYSTEM_HEADER_DIR=\"/usr/include\" -DTARGET_MACHINE=\"armv7l-unknown-linux-gnueabihf\" -DPERSONALITY=\"ar\" -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o gcc-ar.o -MT gcc-ar.o -MMD -MP -MF ./.deps/gcc-ar.TPo ../../src/gcc/gcc-ar.c
../../src/gcc/lto-wrapper.c: In function 'void merge_and_complain(cl_decoded_option**, unsigned int*, cl_decoded_option*, unsigned int, cl_decoded_option*, unsigned int)':
../../src/gcc/lto-wrapper.c:320:14: warning: unquoted option name '-fcf-protection' in format [-Wformat-diag]
  320 |      "option -fcf-protection with mismatching values"
      |              ^~~~~~~~~~~~~~~
../../src/gcc/lto-wrapper.c:561:35: warning: unquoted option name '-Xassembler' in format [-Wformat-diag]
  561 |      warning (0, "Extra option to -Xassembler: %s,"
      |                                   ^~~~~~~~~~~
../../src/gcc/lto-wrapper.c:562:23: warning: unquoted option name '-Xassembler' in format [-Wformat-diag]
  562 |        " dropping all -Xassembler and -Wa options.",
      |                       ^~~~~~~~~~~
../../src/gcc/lto-wrapper.c:562:39: warning: unquoted option name '-Wa' in format [-Wformat-diag]
  562 |        " dropping all -Xassembler and -Wa options.",
      |                                       ^~~
../../src/gcc/lto-wrapper.c:569:35: warning: unquoted option name '-Xassembler' in format [-Wformat-diag]
  569 |      warning (0, "Extra option to -Xassembler: %s,"
      |                                   ^~~~~~~~~~~
../../src/gcc/lto-wrapper.c:570:23: warning: unquoted option name '-Xassembler' in format [-Wformat-diag]
  570 |        " dropping all -Xassembler and -Wa options.",
      |                       ^~~~~~~~~~~
../../src/gcc/lto-wrapper.c:570:39: warning: unquoted option name '-Wa' in format [-Wformat-diag]
  570 |        " dropping all -Xassembler and -Wa options.",
      |                                       ^~~
../../src/gcc/lto-wrapper.c:578:23: warning: unquoted option name '-Xassembler' in format [-Wformat-diag]
  578 |        " dropping all -Xassembler and -Wa options.",
      |                       ^~~~~~~~~~~
../../src/gcc/lto-wrapper.c:578:39: warning: unquoted option name '-Wa' in format [-Wformat-diag]
  578 |        " dropping all -Xassembler and -Wa options.",
      |                                       ^~~
../../src/gcc/lto-wrapper.c: In function 'void compile_images_for_offload_targets(unsigned int, char**, cl_decoded_option*, unsigned int, cl_decoded_option*, unsigned int)':
../../src/gcc/lto-wrapper.c:993:52: warning: unquoted whitespace character '\x0a' in format [-Wformat-diag]
  993 |          "problem with building target image for %s\n",
      |                                                    ^~
In file included from /usr/include/c++/10/vector:72,
                 from ../../src/gcc/system.h:233,
                 from ../../src/gcc/gcov.c:39:
/usr/include/c++/10/bits/vector.tcc: In member function 'void std::vector<_Tp, _Alloc>::_M_realloc_insert(std::vector<_Tp, _Alloc>::iterator, _Args&& ...) [with _Args = {long long int}; _Tp = long long int; _Alloc = std::allocator<long long int>]':
/usr/include/c++/10/bits/vector.tcc:426:7: note: parameter passing for argument of type 'std::vector<long long int>::iterator' changed in GCC 7.1
  426 |       vector<_Tp, _Alloc>::
      |       ^~~~~~~~~~~~~~~~~~~
../../src/gcc/lto-wrapper.c: In function 'bool find_and_merge_options(int, off_t, const char*, cl_decoded_option*, unsigned int, cl_decoded_option**, unsigned int*, const char*)':
../../src/gcc/lto-wrapper.c:1102:8: warning: ignoring return value of 'ssize_t read(int, void*, size_t)' declared with attribute 'warn_unused_result' [-Wunused-result]
 1102 |   read (fd, data, length);
      |   ~~~~~^~~~~~~~~~~~~~~~~~
g++ -fno-PIE -c  -DSTANDARD_STARTFILE_PREFIX=\"../../../\" -DSTANDARD_EXEC_PREFIX=\"/usr/lib/ghdl/gcc/lib/gcc/\" -DSTANDARD_LIBEXEC_PREFIX=\"/usr/lib/ghdl/gcc/libexec/gcc/\" -DDEFAULT_TARGET_VERSION=\"10.3.0\" -DDEFAULT_REAL_TARGET_MACHINE=\"armv7l-unknown-linux-gnueabihf\" -DDEFAULT_TARGET_MACHINE=\"armv7l-unknown-linux-gnueabihf\" -DSTANDARD_BINDIR_PREFIX=\"/usr/lib/ghdl/gcc/bin/\" -DTOOLDIR_BASE_PREFIX=\"../../../../\" -DACCEL_DIR_SUFFIX=\"\"  -DENABLE_SHARED_LIBGCC -DCONFIGURE_SPECS="\"\"" -DTOOL_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/armv7l-unknown-linux-gnueabihf/10.3.0/../../../../armv7l-unknown-linux-gnueabihf/include\" -DNATIVE_SYSTEM_HEADER_DIR=\"/usr/include\" -DTARGET_MACHINE=\"armv7l-unknown-linux-gnueabihf\" -DPERSONALITY=\"nm\" -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o gcc-nm.o -MT gcc-nm.o -MMD -MP -MF ./.deps/gcc-nm.TPo gcc-nm.c
g++ -fno-PIE -c  -DSTANDARD_STARTFILE_PREFIX=\"../../../\" -DSTANDARD_EXEC_PREFIX=\"/usr/lib/ghdl/gcc/lib/gcc/\" -DSTANDARD_LIBEXEC_PREFIX=\"/usr/lib/ghdl/gcc/libexec/gcc/\" -DDEFAULT_TARGET_VERSION=\"10.3.0\" -DDEFAULT_REAL_TARGET_MACHINE=\"armv7l-unknown-linux-gnueabihf\" -DDEFAULT_TARGET_MACHINE=\"armv7l-unknown-linux-gnueabihf\" -DSTANDARD_BINDIR_PREFIX=\"/usr/lib/ghdl/gcc/bin/\" -DTOOLDIR_BASE_PREFIX=\"../../../../\" -DACCEL_DIR_SUFFIX=\"\"  -DENABLE_SHARED_LIBGCC -DCONFIGURE_SPECS="\"\"" -DTOOL_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/armv7l-unknown-linux-gnueabihf/10.3.0/../../../../armv7l-unknown-linux-gnueabihf/include\" -DNATIVE_SYSTEM_HEADER_DIR=\"/usr/include\" -DTARGET_MACHINE=\"armv7l-unknown-linux-gnueabihf\" -DPERSONALITY=\"ranlib\" -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o gcc-ranlib.o -MT gcc-ranlib.o -MMD -MP -MF ./.deps/gcc-ranlib.TPo gcc-ranlib.c
g++ -fno-PIE -c  -DHOST_GENERATOR_FILE -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o gengtype.o -MT gengtype.o -MMD -MP -MF ./.deps/gengtype.TPo ../../src/gcc/gengtype.c
g++ -fno-PIE -c  -DHOST_GENERATOR_FILE -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings  -Wno-error -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o gengtype-lex.o -MT gengtype-lex.o -MMD -MP -MF ./.deps/gengtype-lex.TPo ../../src/gcc/gengtype-lex.c
/usr/include/c++/10/bits/vector.tcc: In function 'void process_file(const char*)':
/usr/include/c++/10/bits/vector.tcc:121:21: note: parameter passing for argument of type '__gnu_cxx::__normal_iterator<long long int*, std::vector<long long int> >' changed in GCC 7.1
  121 |    _M_realloc_insert(end(), std::forward<_Args>(__args)...);
      |    ~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
/home/rguenther/src/releases/gcc-10.3.0/gcc-10.3.0/gcc/gengtype-lex.l: In function 'int yylex(const char**)':
gengtype-lex.c:356:15: warning: this statement may fall through [-Wimplicit-fallthrough=]
/home/rguenther/src/releases/gcc-10.3.0/gcc-10.3.0/gcc/gengtype-lex.l:119:1: note: in expansion of macro 'YY_DO_BEFORE_ACTION'
/home/rguenther/src/releases/gcc-10.3.0/gcc-10.3.0/gcc/gengtype-lex.l:114:1: note: here
gengtype-lex.c:356:15: warning: this statement may fall through [-Wimplicit-fallthrough=]
/home/rguenther/src/releases/gcc-10.3.0/gcc-10.3.0/gcc/gengtype-lex.l:136:1: note: in expansion of macro 'YY_DO_BEFORE_ACTION'
/home/rguenther/src/releases/gcc-10.3.0/gcc-10.3.0/gcc/gengtype-lex.l:132:1: note: here
g++ -fno-PIE -c  -DHOST_GENERATOR_FILE -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o gengtype-parse.o -MT gengtype-parse.o -MMD -MP -MF ./.deps/gengtype-parse.TPo ../../src/gcc/gengtype-parse.c
g++ -fno-PIE -c  -DHOST_GENERATOR_FILE -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o gengtype-state.o -MT gengtype-state.o -MMD -MP -MF ./.deps/gengtype-state.TPo ../../src/gcc/gengtype-state.c
g++ -fno-PIE -c  -DHOST_GENERATOR_FILE -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o errors.o -MT errors.o -MMD -MP -MF ./.deps/errors.TPo ../../src/gcc/errors.c
g++ -fno-PIE -c  -DSTANDARD_STARTFILE_PREFIX=\"../../../\" -DSTANDARD_EXEC_PREFIX=\"/usr/lib/ghdl/gcc/lib/gcc/\" -DSTANDARD_LIBEXEC_PREFIX=\"/usr/lib/ghdl/gcc/libexec/gcc/\" -DDEFAULT_TARGET_VERSION=\"10.3.0\" -DDEFAULT_REAL_TARGET_MACHINE=\"armv7l-unknown-linux-gnueabihf\" -DDEFAULT_TARGET_MACHINE=\"armv7l-unknown-linux-gnueabihf\" -DSTANDARD_BINDIR_PREFIX=\"/usr/lib/ghdl/gcc/bin/\" -DTOOLDIR_BASE_PREFIX=\"../../../../\" -DACCEL_DIR_SUFFIX=\"\"  -DENABLE_SHARED_LIBGCC -DCONFIGURE_SPECS="\"\"" -DTOOL_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/armv7l-unknown-linux-gnueabihf/10.3.0/../../../../armv7l-unknown-linux-gnueabihf/include\" -DNATIVE_SYSTEM_HEADER_DIR=\"/usr/include\" -DBASEVER="\"10.3.0\"" -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o gcc.o -MT gcc.o -MMD -MP -MF ./.deps/gcc.TPo ../../src/gcc/gcc.c
../../src/gcc/gcc.c:32:21: warning: "/*" within comment [-Wcomment]
   32 |    gcc/config/<arch>/*.h instead?  */
      |                      
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o gcc-main.o -MT gcc-main.o -MMD -MP -MF ./.deps/gcc-main.TPo ../../src/gcc/gcc-main.c
../../src/gcc/gcc.c: In function 'void print_option(const char*, unsigned int, cl_decoded_option*)':
../../src/gcc/gcc.c:4544:22: warning: format '%ld' expects argument of type 'long int', but argument 2 has type 'long long int' [-Wformat=]
 4544 |   printf (" value [%ld]", in_decoded_options[i].value);
      |                    ~~^    ~~~~~~~~~~~~~~~~~~~~~~~~~~~
      |                      |                          |
      |                      long int                   long long int
      |                    %lld
../../src/gcc/gcc.c: In function 'long long unsigned int get_random_number()':
../../src/gcc/gcc.c:10029:12: warning: ignoring return value of 'ssize_t read(int, void*, size_t)' declared with attribute 'warn_unused_result' [-Wunused-result]
10029 |       read (fd, &ret, sizeof (HOST_WIDE_INT));
      |       ~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
../../src/gcc/gcc.c: In function 'void do_report_bug(const char**, int, char**, char**)':
../../src/gcc/gcc.c:7317:9: warning: ignoring return value of 'ssize_t write(int, const void*, size_t)' declared with attribute 'warn_unused_result' [-Wunused-result]
 7317 |   write (fd, "\n//", 3);
      |   ~~~~~~^~~~~~~~~~~~~~~
../../src/gcc/gcc.c:7320:13: warning: ignoring return value of 'ssize_t write(int, const void*, size_t)' declared with attribute 'warn_unused_result' [-Wunused-result]
 7320 |       write (fd, " ", 1);
      |       ~~~~~~^~~~~~~~~~~~
../../src/gcc/gcc.c:7321:13: warning: ignoring return value of 'ssize_t write(int, const void*, size_t)' declared with attribute 'warn_unused_result' [-Wunused-result]
 7321 |       write (fd, new_argv[i], strlen (new_argv[i]));
      |       ~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
../../src/gcc/gcc.c:7323:9: warning: ignoring return value of 'ssize_t write(int, const void*, size_t)' declared with attribute 'warn_unused_result' [-Wunused-result]
 7323 |   write (fd, "\n\n", 2);
      |   ~~~~~~^~~~~~~~~~~~~~~
../../src/gcc/gcc.c:7343:10: warning: ignoring return value of 'int system(const char*)' declared with attribute 'warn_unused_result' [-Wunused-result]
 7343 |    system(cmd);
      |    ~~~~~~^~~~~
../../src/gcc/gcc.c:7356:11: warning: ignoring return value of 'int system(const char*)' declared with attribute 'warn_unused_result' [-Wunused-result]
 7356 |    system (cmd);
      |    ~~~~~~~^~~~~
g++ -fno-PIE -c  -DSTANDARD_STARTFILE_PREFIX=\"../../../\" -DSTANDARD_EXEC_PREFIX=\"/usr/lib/ghdl/gcc/lib/gcc/\" -DSTANDARD_LIBEXEC_PREFIX=\"/usr/lib/ghdl/gcc/libexec/gcc/\" -DDEFAULT_TARGET_VERSION=\"10.3.0\" -DDEFAULT_REAL_TARGET_MACHINE=\"armv7l-unknown-linux-gnueabihf\" -DDEFAULT_TARGET_MACHINE=\"armv7l-unknown-linux-gnueabihf\" -DSTANDARD_BINDIR_PREFIX=\"/usr/lib/ghdl/gcc/bin/\" -DTOOLDIR_BASE_PREFIX=\"../../../../\" -DACCEL_DIR_SUFFIX=\"\"  -DENABLE_SHARED_LIBGCC -DCONFIGURE_SPECS="\"\"" -DTOOL_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/armv7l-unknown-linux-gnueabihf/10.3.0/../../../../armv7l-unknown-linux-gnueabihf/include\" -DNATIVE_SYSTEM_HEADER_DIR=\"/usr/include\" -DIN_GCC_FRONTEND -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -Ic -I../../src/gcc -I../../src/gcc/c -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o c/gccspec.o -MT c/gccspec.o -MMD -MP -MF c/.deps/gccspec.TPo ../../src/gcc/c/gccspec.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  ../../src/gcc/config/arm/driver-arm.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/cppspec.o -MT c-family/cppspec.o -MMD -MP -MF c-family/.deps/cppspec.TPo ../../src/gcc/c-family/cppspec.c
build/genmatch --gimple ../../src/gcc/match.pd \
    > tmp-gimple-match.c
GIMPLE decision tree has 3307 leafs, maximum depth 28 and a total number of 13844 nodes
removed 2180 duplicate tails
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o insn-attrtab.o -MT insn-attrtab.o -MMD -MP -MF ./.deps/insn-attrtab.TPo insn-attrtab.c
build/genmatch --generic ../../src/gcc/match.pd \
    > tmp-generic-match.c
GENERIC decision tree has 3170 leafs, maximum depth 13 and a total number of 13106 nodes
removed 2120 duplicate tails
/bin/bash ../../src/gcc/../move-if-change tmp-gimple-match.c \
    					gimple-match.c
/bin/bash ../../src/gcc/../move-if-change tmp-generic-match.c \
    					generic-match.c
echo timestamp > s-match
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o insn-automata.o -MT insn-automata.o -MMD -MP -MF ./.deps/insn-automata.TPo insn-automata.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o insn-dfatab.o -MT insn-dfatab.o -MMD -MP -MF ./.deps/insn-dfatab.TPo insn-dfatab.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o insn-latencytab.o -MT insn-latencytab.o -MMD -MP -MF ./.deps/insn-latencytab.TPo insn-latencytab.c
rm -rf libcommon-target.a
ar rc libcommon-target.a arm-common.o prefix.o opts.o opts-common.o options.o vec.o hooks.o common/common-targhooks.o hash-table.o file-find.o spellcheck.o selftest.o opt-suggestions.o
ranlib  libcommon-target.a
rm -rf libcommon.a
ar rc libcommon.a diagnostic.o diagnostic-color.o diagnostic-show-locus.o diagnostic-format-json.o json.o edit-context.o pretty-print.o intl.o sbitmap.o vec.o input.o version.o hash-table.o ggc-none.o memory-block.o selftest.o selftest-diagnostic.o sort.o
ranlib  libcommon.a
g++ -no-pie   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc -Wl,-z,relro -Wl,-z,now gcov.o json.o \
	hash-table.o ggc-none.o libcommon.a ../libcpp/libcpp.a   ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a  -lz -o gcov
g++ -no-pie   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc -Wl,-z,relro -Wl,-z,now gcov-dump.o \
	hash-table.o ggc-none.o\
	libcommon.a ../libcpp/libcpp.a   ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a  -o gcov-dump
g++ -no-pie   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc -Wl,-z,relro -Wl,-z,now gcov-tool.o libgcov-util.o libgcov-driver-tool.o libgcov-merge-tool.o libcommon.a ../libcpp/libcpp.a   ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a  -o gcov-tool
g++ -no-pie   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc -Wl,-z,relro -Wl,-z,now -o Tcollect2 \
	collect2.o collect2-aix.o vec.o ggc-none.o collect-utils.o file-find.o hash-table.o selftest.o libcommon.a ../libcpp/libcpp.a   ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a  
mv -f Tcollect2 collect2
g++ -no-pie   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc -Wl,-z,relro -Wl,-z,now -o Tlto-wrapper \
   lto-wrapper.o collect-utils.o ggc-none.o libcommon-target.a libcommon.a ../libcpp/libcpp.a   ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a 
mv -f Tlto-wrapper lto-wrapper
g++ -no-pie   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc -Wl,-z,relro -Wl,-z,now gcc-ar.o -o gcc-ar \
	file-find.o libcommon.a ../libcpp/libcpp.a   ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a  
g++ -no-pie   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc -Wl,-z,relro -Wl,-z,now gcc-nm.o -o gcc-nm \
	file-find.o libcommon.a ../libcpp/libcpp.a   ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a  
g++ -no-pie   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc -Wl,-z,relro -Wl,-z,now gcc-ranlib.o -o gcc-ranlib \
	file-find.o libcommon.a ../libcpp/libcpp.a   ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a  
g++ -no-pie   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc -Wl,-z,relro -Wl,-z,now -o gengtype \
    gengtype.o gengtype-lex.o gengtype-parse.o gengtype-state.o version.o errors.o libcommon.a ../libcpp/libcpp.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a ../libbacktrace/.libs/libbacktrace.a libcommon.a ../libcpp/libcpp.a   ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a 
g++ -no-pie   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc -Wl,-z,relro -Wl,-z,now -o xgcc gcc.o gcc-main.o ggc-none.o \
  c/gccspec.o driver-arm.o  libcommon-target.a \
   libcommon.a ../libcpp/libcpp.a   ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a 
g++ -no-pie   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc -Wl,-z,relro -Wl,-z,now -o cpp gcc.o gcc-main.o ggc-none.o \
  c-family/cppspec.o driver-arm.o  libcommon-target.a \
   libcommon.a ../libcpp/libcpp.a   ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a 
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings  -Wno-unused -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-match.o -MT gimple-match.o -MMD -MP -MF ./.deps/gimple-match.TPo gimple-match.c
g++ -fno-PIE -c   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings  -Wno-unused -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o generic-match.o -MT generic-match.o -MMD -MP -MF ./.deps/generic-match.TPo generic-match.c
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/ -dumpspecs > tmp-specs
mv tmp-specs specs
: > tmp-libgcc.mvars
echo GCC_CFLAGS = '-g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wstrict-prototypes -Wmissing-prototypes -Wno-error=format-diag -Wold-style-definition  -isystem ./include ' >> tmp-libgcc.mvars
echo INHIBIT_LIBC_CFLAGS = '' >> tmp-libgcc.mvars
echo TARGET_SYSTEM_ROOT = '' >> tmp-libgcc.mvars
if test yes = yes; then \
  NO_PIE_CFLAGS="-fno-PIE"; \
else \
  NO_PIE_CFLAGS=; \
fi; \
echo NO_PIE_CFLAGS = "$NO_PIE_CFLAGS" >> tmp-libgcc.mvars
mv tmp-libgcc.mvars libgcc.mvars
if /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/ -print-sysroot-headers-suffix > /dev/null 2>&1; then \
  set -e; for ml in `/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/ -print-multi-lib`; do \
    multi_dir=`echo ${ml} | sed -e 's/;.*$//'`; \
    flags=`echo ${ml} | sed -e 's/^[^;]*;//' -e 's/@/ -/g'`; \
    sfx=`/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/ ${flags} -print-sysroot-headers-suffix`; \
    if [ "${multi_dir}" = "." ]; \
      then multi_dir=""; \
    else \
      multi_dir=/${multi_dir}; \
    fi; \
    echo "${sfx};${multi_dir}"; \
  done; \
else \
  echo ";"; \
fi > tmp-fixinc_list
/bin/bash ../../src/gcc/../move-if-change tmp-fixinc_list fixinc_list
echo timestamp > s-fixinc_list
rm -rf libbackend.a
ar rcT libbackend.a gimple-match.o generic-match.o insn-attrtab.o insn-automata.o insn-dfatab.o insn-emit.o insn-extract.o insn-latencytab.o insn-modes.o insn-opinit.o insn-output.o insn-peep.o insn-preds.o insn-recog.o insn-enums.o ggc-page.o alias.o alloc-pool.o auto-inc-dec.o auto-profile.o bb-reorder.o bitmap.o builtins.o caller-save.o calls.o ccmp.o cfg.o cfganal.o cfgbuild.o cfgcleanup.o cfgexpand.o cfghooks.o cfgloop.o cfgloopanal.o cfgloopmanip.o cfgrtl.o symtab.o cgraph.o cgraphbuild.o cgraphunit.o cgraphclones.o combine.o combine-stack-adj.o compare-elim.o context.o convert.o coroutine-passes.o coverage.o cppbuiltin.o cppdefault.o cprop.o cse.o cselib.o data-streamer.o data-streamer-in.o data-streamer-out.o dbxout.o dbgcnt.o dce.o ddg.o debug.o df-core.o df-problems.o df-scan.o dfp.o digraph.o dojump.o dominance.o domwalk.o double-int.o dse.o dumpfile.o dwarf2asm.o dwarf2cfi.o dwarf2out.o early-remat.o emit-rtl.o et-forest.o except.o explow.o expmed.o expr.o fibonacci_heap.o file-prefix-map.o final.o fixed-value.o fold-const.o fold-const-call.o function.o function-abi.o function-tests.o fwprop.o gcc-rich-location.o gcse.o gcse-common.o ggc-common.o ggc-tests.o gimple.o gimple-builder.o gimple-expr.o gimple-iterator.o gimple-fold.o gimple-laddress.o gimple-loop-interchange.o gimple-loop-jam.o gimple-loop-versioning.o gimple-low.o gimple-pretty-print.o gimple-ssa-backprop.o gimple-ssa-evrp.o gimple-ssa-evrp-analyze.o gimple-ssa-isolate-paths.o gimple-ssa-nonnull-compare.o gimple-ssa-split-paths.o gimple-ssa-store-merging.o gimple-ssa-strength-reduction.o gimple-ssa-sprintf.o gimple-ssa-warn-alloca.o gimple-ssa-warn-restrict.o gimple-streamer-in.o gimple-streamer-out.o gimple-walk.o gimplify.o gimplify-me.o godump.o graph.o graphds.o graphviz.o graphite.o graphite-isl-ast-to-gimple.o graphite-dependences.o graphite-optimize-isl.o graphite-poly.o graphite-scop-detection.o graphite-sese-to-poly.o gtype-desc.o haifa-sched.o hash-map-tests.o hash-set-tests.o hsa-common.o hsa-gen.o hsa-regalloc.o hsa-brig.o hsa-dump.o hw-doloop.o hwint.o ifcvt.o ree.o inchash.o incpath.o init-regs.o internal-fn.o ipa-cp.o ipa-sra.o ipa-devirt.o ipa-fnsummary.o ipa-polymorphic-call.o ipa-split.o ipa-inline.o ipa-comdats.o ipa-visibility.o ipa-inline-analysis.o ipa-inline-transform.o ipa-predicate.o ipa-profile.o ipa-prop.o ipa-param-manipulation.o ipa-pure-const.o ipa-icf.o ipa-icf-gimple.o ipa-reference.o ipa-hsa.o ipa-ref.o ipa-utils.o ipa.o ira.o ira-build.o ira-costs.o ira-conflicts.o ira-color.o ira-emit.o ira-lives.o jump.o langhooks.o lcm.o lists.o loop-doloop.o loop-init.o loop-invariant.o loop-iv.o loop-unroll.o lower-subreg.o lra.o lra-assigns.o lra-coalesce.o lra-constraints.o lra-eliminations.o lra-lives.o lra-remat.o lra-spills.o lto-cgraph.o lto-streamer.o lto-streamer-in.o lto-streamer-out.o lto-section-in.o lto-section-out.o lto-opts.o lto-compress.o mcf.o mode-switching.o modulo-sched.o multiple_target.o omp-offload.o omp-expand.o omp-general.o omp-grid.o omp-low.o omp-simd-clone.o opt-problem.o optabs.o optabs-libfuncs.o optabs-query.o optabs-tree.o optinfo.o optinfo-emit-json.o options-save.o opts-global.o ordered-hash-map-tests.o passes.o plugin.o postreload-gcse.o postreload.o predict.o print-rtl.o print-rtl-function.o print-tree.o profile.o profile-count.o range.o range-op.o read-md.o read-rtl.o read-rtl-function.o real.o realmpfr.o recog.o reg-stack.o regcprop.o reginfo.o regrename.o regstat.o reload.o reload1.o reorg.o resource.o rtl-error.o rtl-tests.o rtl.o rtlhash.o rtlanal.o rtlhooks.o rtx-vector-builder.o run-rtl-passes.o sched-deps.o sched-ebb.o sched-rgn.o sel-sched-ir.o sel-sched-dump.o sel-sched.o selftest-rtl.o selftest-run-tests.o sese.o shrink-wrap.o simplify-rtx.o sparseset.o spellcheck.o spellcheck-tree.o sreal.o stack-ptr-mod.o statistics.o stmt.o stor-layout.o store-motion.o streamer-hooks.o stringpool.o substring-locations.o target-globals.o targhooks.o timevar.o toplev.o tracer.o trans-mem.o tree-affine.o asan.o tsan.o ubsan.o sanopt.o sancov.o tree-call-cdce.o tree-cfg.o tree-cfgcleanup.o tree-chrec.o tree-complex.o tree-data-ref.o tree-dfa.o tree-diagnostic.o tree-diagnostic-path.o tree-dump.o tree-eh.o tree-emutls.o tree-if-conv.o tree-inline.o tree-into-ssa.o tree-iterator.o tree-loop-distribution.o tree-nested.o tree-nrv.o tree-object-size.o tree-outof-ssa.o tree-parloops.o tree-phinodes.o tree-predcom.o tree-pretty-print.o tree-profile.o tree-scalar-evolution.o tree-sra.o tree-switch-conversion.o tree-ssa-address.o tree-ssa-alias.o tree-ssa-ccp.o tree-ssa-coalesce.o tree-ssa-copy.o tree-ssa-dce.o tree-ssa-dom.o tree-ssa-dse.o tree-ssa-forwprop.o tree-ssa-ifcombine.o tree-ssa-live.o tree-ssa-loop-ch.o tree-ssa-loop-im.o tree-ssa-loop-ivcanon.o tree-ssa-loop-ivopts.o tree-ssa-loop-manip.o tree-ssa-loop-niter.o tree-ssa-loop-prefetch.o tree-ssa-loop-split.o tree-ssa-loop-unswitch.o tree-ssa-loop.o tree-ssa-math-opts.o tree-ssa-operands.o tree-ssa-phiopt.o tree-ssa-phiprop.o tree-ssa-pre.o tree-ssa-propagate.o tree-ssa-reassoc.o tree-ssa-sccvn.o tree-ssa-scopedtables.o tree-ssa-sink.o tree-ssa-strlen.o tree-ssa-structalias.o tree-ssa-tail-merge.o tree-ssa-ter.o tree-ssa-threadbackward.o tree-ssa-threadedge.o tree-ssa-threadupdate.o tree-ssa-uncprop.o tree-ssa-uninit.o tree-ssa.o tree-ssanames.o tree-stdarg.o tree-streamer.o tree-streamer-in.o tree-streamer-out.o tree-tailcall.o tree-vect-generic.o tree-vect-patterns.o tree-vect-data-refs.o tree-vect-stmts.o tree-vect-loop.o tree-vect-loop-manip.o tree-vect-slp.o tree-vectorizer.o tree-vector-builder.o tree-vrp.o tree.o tristate.o typed-splay-tree.o unique-ptr-tests.o valtrack.o value-range.o value-prof.o var-tracking.o varasm.o varpool.o vec-perm-indices.o vmsdbgout.o vr-values.o vtable-verify.o web.o wide-int.o wide-int-print.o xcoffout.o arm.o analyzer/analysis-plan.o analyzer/analyzer.o analyzer/analyzer-logging.o analyzer/analyzer-pass.o analyzer/analyzer-selftests.o analyzer/bar-chart.o analyzer/call-string.o analyzer/checker-path.o analyzer/constraint-manager.o analyzer/diagnostic-manager.o analyzer/engine.o analyzer/function-set.o analyzer/pending-diagnostic.o analyzer/program-point.o analyzer/program-state.o analyzer/region-model.o analyzer/sm.o analyzer/sm-file.o analyzer/sm-malloc.o analyzer/sm-pattern-test.o analyzer/sm-sensitive.o analyzer/sm-signal.o analyzer/sm-taint.o analyzer/state-purge.o analyzer/supergraph.o arm-builtins.o aarch-common.o linux.o host-linux.o
if [ -f ../stage_final ] \
   && cmp -s ../stage_current ../stage_final; then \
  cp ../prev-gcc/cc1-checksum.c cc1-checksum.c; \
else \
  build/genchecksum c/c-lang.o c-family/stub-objc.o attribs.o c/c-errors.o c/c-decl.o c/c-typeck.o c/c-convert.o c/c-aux-info.o c/c-objc-common.o c/c-parser.o c/c-fold.o c/gimple-parser.o c-family/c-common.o c-family/c-cppbuiltin.o c-family/c-dump.o c-family/c-format.o c-family/c-gimplify.o c-family/c-indentation.o c-family/c-lex.o c-family/c-omp.o c-family/c-opts.o c-family/c-pch.o c-family/c-ppoutput.o c-family/c-pragma.o c-family/c-pretty-print.o c-family/c-semantics.o c-family/c-ada-spec.o c-family/c-ubsan.o c-family/known-headers.o c-family/c-attribs.o c-family/c-warn.o c-family/c-spellcheck.o arm-c.o glibc-c.o libbackend.a main.o libcommon-target.a libcommon.a ../libcpp/libcpp.a ../libdecnumber/libdecnumber.a libcommon.a ../libcpp/libcpp.a ../libiberty/libiberty.a   ../libdecnumber/libdecnumber.a ../libbacktrace/.libs/libbacktrace.a \
                     checksum-options > cc1-checksum.c.tmp && 		 \
  ../../src/gcc/../move-if-change cc1-checksum.c.tmp cc1-checksum.c; \
fi
gnatmake -c -aI../../src/gcc/vhdl --subdirs=vhdl ortho_gcc-main \
 -cargs -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/ortho_gcc-main.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/ortho_gcc-main.adb
g++ -fno-PIE -c  -DIN_GCC_FRONTEND -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include  -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace  -Wdate-time -D_FORTIFY_SOURCE=2 -o cc1-checksum.o -MT cc1-checksum.o -MMD -MP -MF ./.deps/cc1-checksum.TPo cc1-checksum.c
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/ortho_gcc.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/ortho_gcc.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/ortho_gcc_front.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/ortho_gcc_front.ads
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/ortho_ident.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/ortho_ident.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/ortho_front.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/ortho_front.adb
g++ -no-pie   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc -Wl,-z,relro -Wl,-z,now -o cc1 c/c-lang.o c-family/stub-objc.o attribs.o c/c-errors.o c/c-decl.o c/c-typeck.o c/c-convert.o c/c-aux-info.o c/c-objc-common.o c/c-parser.o c/c-fold.o c/gimple-parser.o c-family/c-common.o c-family/c-cppbuiltin.o c-family/c-dump.o c-family/c-format.o c-family/c-gimplify.o c-family/c-indentation.o c-family/c-lex.o c-family/c-omp.o c-family/c-opts.o c-family/c-pch.o c-family/c-ppoutput.o c-family/c-pragma.o c-family/c-pretty-print.o c-family/c-semantics.o c-family/c-ada-spec.o c-family/c-ubsan.o c-family/known-headers.o c-family/c-attribs.o c-family/c-warn.o c-family/c-spellcheck.o arm-c.o glibc-c.o \
  cc1-checksum.o libbackend.a main.o libcommon-target.a libcommon.a ../libcpp/libcpp.a ../libdecnumber/libdecnumber.a libcommon.a ../libcpp/libcpp.a   ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a   -lmpc -lmpfr -lgmp -rdynamic -ldl  -lz 
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/bug.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/bug.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/dyn_interning.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/dyn_interning.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/dyn_maps.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/dyn_maps.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/dyn_tables.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/dyn_tables.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/errorout.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/errorout.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/errorout-console.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/errorout-console.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/flags.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/flags.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/hash.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/hash.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/interning.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/interning.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/libraries.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/libraries.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/name_table.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/name_table.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/options.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/options.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/trans_be.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/trans_be.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/translation.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/translation.adb
echo | /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/ -E -dM - | \
  sed -n -e 's/^#define \([^_][a-zA-Z0-9_]*\).*/\1/p' \
	 -e 's/^#define \(_[^_A-Z][a-zA-Z0-9_]*\).*/\1/p' | \
  sort -u > tmp-macro_list
/bin/bash ../../src/gcc/../move-if-change tmp-macro_list macro_list
echo timestamp > s-macro_list
rm -rf include-fixed; mkdir include-fixed
chmod a+rx include-fixed
if [ -d ../prev-gcc ]; then \
  cd ../prev-gcc && \
  /usr/bin/make real-install-headers-tar DESTDIR=`pwd`/../gcc/ \
    libsubdir=. ; \
else \
  set -e; for ml in `cat fixinc_list`; do \
    sysroot_headers_suffix=`echo ${ml} | sed -e 's/;.*$//'`; \
    multi_dir=`echo ${ml} | sed -e 's/^[^;]*;//'`; \
    fix_dir=include-fixed${multi_dir}; \
    if ! false && test ! -d `echo /usr/include | sed -e :a -e 's,[^/]*/\.\.\/,,' -e ta`; then \
      echo The directory that should contain system headers does not exist: >&2 ; \
      echo "  `echo /usr/include | sed -e :a -e 's,[^/]*/\.\.\/,,' -e ta`" >&2 ; \
      tooldir_sysinc=`echo "/usr/lib/ghdl/gcc/lib/gcc/armv7l-unknown-linux-gnueabihf/10.3.0/../../../../armv7l-unknown-linux-gnueabihf/sys-include" | sed -e :a -e "s,[^/]*/\.\.\/,," -e ta`; \
      if test "x`echo /usr/include | sed -e :a -e 's,[^/]*/\.\.\/,,' -e ta`" = "x${tooldir_sysinc}"; \
      then sleep 1; else exit 1; fi; \
    fi; \
    /bin/bash ../../src/gcc/../mkinstalldirs ${fix_dir}; \
    chmod a+rx ${fix_dir} || true; \
    (TARGET_MACHINE='armv7l-unknown-linux-gnueabihf'; srcdir=`cd ../../src/gcc; ${PWDCMD-pwd}`; \
      SHELL='/bin/bash'; MACRO_LIST=`${PWDCMD-pwd}`/macro_list ; \
      gcc_dir=`${PWDCMD-pwd}` ; \
      export TARGET_MACHINE srcdir SHELL MACRO_LIST && \
      cd ../build-armv7l-unknown-linux-gnueabihf/fixincludes && \
      /bin/bash ./fixinc.sh "${gcc_dir}/${fix_dir}" \
        `echo /usr/include | sed -e :a -e 's,[^/]*/\.\.\/,,' -e ta`  ); \
    rm -f ${fix_dir}/syslimits.h; \
    if [ -f ${fix_dir}/limits.h ]; then \
      mv ${fix_dir}/limits.h ${fix_dir}/syslimits.h; \
    else \
      cp ../../src/gcc/gsyslimits.h ${fix_dir}/syslimits.h; \
    fi; \
    chmod a+r ${fix_dir}/syslimits.h; \
  done; \
fi
Fixing headers into /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/include-fixed for armv7l-unknown-linux-gnueabihf target
Forbidden identifiers: linux unix 
Finding directories and links to directories
 Searching /usr/include/.
 Searching /usr/include/./clang/11/include
 Searching /usr/include/./clang/11.1.0/include
 Searching /usr/include/./llvm
 Searching /usr/include/./llvm-c
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/types.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/types.ads
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl.ads
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-configuration.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-configuration.adb
Making symbolic directory links
Fixing directory /usr/include into /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/include-fixed
Applying machine_name             to arm-linux-gnueabihf/bits/statx.h
Fixed:  arm-linux-gnueabihf/bits/statx.h
Quoted includes in arm-linux-gnueabihf/bits/statx.h
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-errors.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-errors.adb
Applying io_quotes_use            to arm-linux-gnueabihf/sys/mount.h
Applying io_quotes_use            to arm-linux-gnueabihf/sys/raw.h
Applying io_quotes_use            to linux/genwqe/genwqe_card.h
Applying io_quotes_use            to linux/hsi/cs-protocol.h
Applying io_quotes_use            to linux/hsi/hsi_char.h
Applying io_quotes_use            to linux/mmc/ioctl.h
Applying io_quotes_use            to linux/raid/md_u.h
Applying io_quotes_use            to linux/spi/spidev.h
Applying io_quotes_use            to linux/usb/tmc.h
Applying io_quotes_use            to linux/misc/bcm_vk.h
Applying io_quotes_use            to linux/surface_aggregator/cdev.h
Applying io_quotes_use            to linux/surface_aggregator/dtx.h
Applying io_quotes_use            to linux/agpgart.h
Applying io_quotes_use            to linux/aspeed-lpc-ctrl.h
Applying io_quotes_use            to linux/aspeed-p2a-ctrl.h
Applying io_quotes_use            to linux/atmbr2684.h
Applying io_quotes_use            to linux/auto_fs.h
Applying io_quotes_use            to linux/blkpg.h
Applying io_quotes_use            to linux/blkzoned.h
Applying io_quotes_use            to linux/bt-bmc.h
Applying io_quotes_use            to linux/btrfs.h
Applying io_quotes_use            to linux/cciss_ioctl.h
Applying io_quotes_use            to linux/cm4000_cs.h
Applying io_quotes_use            to linux/cxl_mem.h
Applying io_quotes_use            to linux/dm-ioctl.h
Applying io_quotes_use            to linux/dma-buf.h
Applying io_quotes_use            to linux/dma-heap.h
Applying io_quotes_use            to linux/dn.h
Applying io_quotes_use            to linux/f2fs.h
Applying io_quotes_use            to linux/fd.h
Applying io_quotes_use            to linux/fpga-dfl.h
Applying io_quotes_use            to linux/fs.h
Applying io_quotes_use            to linux/fuse.h
Applying io_quotes_use            to linux/gpio.h
Applying io_quotes_use            to linux/i2o-dev.h
Applying io_quotes_use            to linux/if_pppox.h
Applying io_quotes_use            to linux/ipmi.h
Applying io_quotes_use            to linux/ipmi_bmc.h
Applying io_quotes_use            to linux/isst_if.h
Applying io_quotes_use            to linux/kfd_ioctl.h
Applying io_quotes_use            to linux/lightnvm.h
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-nodes.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-nodes.adb
Applying io_quotes_use            to linux/mmtimer.h
Applying io_quotes_use            to linux/nbd.h
Applying io_quotes_use            to linux/ndctl.h
Applying io_quotes_use            to linux/nitro_enclaves.h
Applying io_quotes_use            to linux/nsfs.h
Applying io_quotes_use            to linux/omapfb.h
Applying io_quotes_def            to linux/pci_regs.h
Applying io_quotes_use            to linux/phantom.h
Applying io_quotes_use            to linux/pktcdvd.h
Applying io_quotes_use            to linux/ppdev.h
Applying io_quotes_def            to linux/ppp-comp.h
Applying io_quotes_use            to linux/psp-sev.h
Applying io_quotes_use            to linux/ptp_clock.h
Applying io_quotes_use            to linux/random.h
Applying io_quotes_use            to linux/reiserfs_fs.h
Applying io_quotes_use            to linux/remoteproc_cdev.h
Applying io_quotes_use            to linux/rfkill.h
Applying io_quotes_use            to linux/rio_cm_cdev.h
Applying io_quotes_use            to linux/rpmsg.h
Applying io_quotes_use            to linux/seccomp.h
Applying io_quotes_use            to linux/sockios.h
Applying io_quotes_def            to linux/soundcard.h
Applying io_quotes_use            to linux/suspend_ioctls.h
Applying io_quotes_use            to linux/sync_file.h
Applying io_quotes_use            to linux/synclink.h
Applying io_quotes_use            to linux/tee.h
Applying io_quotes_use            to linux/uinput.h
Applying io_quotes_use            to linux/userfaultfd.h
Applying io_quotes_def            to linux/version.h
Applying io_quotes_use            to linux/vfio.h
Applying io_quotes_use            to linux/vhost.h
Applying io_quotes_use            to linux/vm_sockets.h
Applying io_quotes_use            to linux/vtpm_proxy.h
Applying io_quotes_use            to linux/watchdog.h
Applying io_quotes_use            to linux/wmi.h
Applying io_quotes_use            to misc/cxl.h
Applying io_quotes_use            to misc/ocxl.h
Applying io_quotes_use            to misc/xilinx_sdfec.h
Applying io_quotes_use            to mtd/ubi-user.h
Applying io_quotes_use            to rdma/rdma_user_ioctl.h
Applying io_quotes_use            to sound/asequencer.h
Applying io_quotes_use            to sound/asound.h
Applying io_quotes_use            to sound/compress_offload.h
Applying io_quotes_use            to sound/emu10k1.h
Applying io_quotes_use            to video/sisfb.h
Applying sun_malloc               to malloc.h
Applying hpux8_bogus_inlines      to math.h
Applying pthread_incomplete_struct_argument to pthread.h
Applying glibc_stdint             to stdint.h
Applying sysv68_string            to string.h
Applying io_quotes_def            to unicode/platform.h
Applying io_quotes_def            to llvm-11/llvm/Demangle/ItaniumDemangle.h
Fixing directory /usr/include/clang/11/include into /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/include-fixed/root/usr/lib/llvm-11/lib/clang/11.1.0/include
Fixing directory /usr/include/llvm into /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/include-fixed/root/usr/lib/llvm-11/include/llvm
Applying io_quotes_def            to llvm/Demangle/ItaniumDemangle.h
Fixing directory /usr/include/llvm-c into /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/include-fixed/root/usr/lib/llvm-11/include/llvm-c
Cleaning up unneeded directories:
fixincludes is done
echo timestamp > stmp-fixinc
if [ -d include ] ; then true; else mkdir include; chmod a+rx include; fi
if [ -d include-fixed ] ; then true; else mkdir include-fixed; chmod a+rx include-fixed; fi
for file in .. ../../src/gcc/ginclude/float.h ../../src/gcc/ginclude/iso646.h ../../src/gcc/ginclude/stdarg.h ../../src/gcc/ginclude/stdbool.h ../../src/gcc/ginclude/stddef.h ../../src/gcc/ginclude/varargs.h ../../src/gcc/ginclude/stdfix.h ../../src/gcc/ginclude/stdnoreturn.h ../../src/gcc/ginclude/stdalign.h ../../src/gcc/ginclude/stdatomic.h ../../src/gcc/config/arm/mmintrin.h ../../src/gcc/config/arm/arm_neon.h ../../src/gcc/config/arm/arm_acle.h ../../src/gcc/config/arm/arm_fp16.h ../../src/gcc/config/arm/arm_cmse.h ../../src/gcc/config/arm/arm_bf16.h ../../src/gcc/config/arm/arm_mve_types.h ../../src/gcc/config/arm/arm_mve.h ../../src/gcc/config/arm/arm_cde.h ../../src/gcc/ginclude/unwind-arm-common.h; do \
  if [ X$file != X.. ]; then \
    realfile=`echo $file | sed -e 's|.*/\([^/]*\)$|\1|'`; \
    echo timestamp > include/$realfile; \
    rm -f include/$realfile; \
    cp $file include; \
    chmod a+r include/$realfile; \
  fi; \
done
for file in .. ; do \
  if [ X$file != X.. ]; then \
            mv include/$file include/x_$file; \
            echo "#include_next <$file>" >include/$file; \
            cat include/x_$file >>include/$file; \
            rm -f include/x_$file; \
    chmod a+r include/$file; \
  fi; \
done
for file in .. ; do \
  if [ X$file != X.. ]; then \
    echo "#include_next <$file>" >>include/$file; \
    chmod a+r include/$file; \
  fi; \
done
rm -f include/stdint.h
if [ wrap = wrap ]; then \
  rm -f include/stdint-gcc.h; \
  cp ../../src/gcc/ginclude/stdint-gcc.h include/stdint-gcc.h; \
  chmod a+r include/stdint-gcc.h; \
  cp ../../src/gcc/ginclude/stdint-wrap.h include/stdint.h; \
  chmod a+r include/stdint.h; \
elif [ wrap = provide ]; then \
  cp ../../src/gcc/ginclude/stdint-gcc.h include/stdint.h; \
  chmod a+r include/stdint.h; \
fi
set -e; for ml in `cat fixinc_list`; do \
  sysroot_headers_suffix=`echo ${ml} | sed -e 's/;.*$//'`; \
  multi_dir=`echo ${ml} | sed -e 's/^[^;]*;//'`; \
  fix_dir=include-fixed${multi_dir}; \
  if [ -f `echo /usr/include | sed -e :a -e 's,[^/]*/\.\.\/,,' -e ta`/limits.h -o -f `echo /usr/include | sed -e :a -e 's,[^/]*/\.\.\/,,' -e ta`/arm-linux-gnueabi/limits.h ] ; then \
    cat ../../src/gcc/limitx.h ../../src/gcc/glimits.h ../../src/gcc/limity.h > tmp-xlimits.h; \
  else \
    cat ../../src/gcc/glimits.h > tmp-xlimits.h; \
  fi; \
  /bin/bash ../../src/gcc/../mkinstalldirs ${fix_dir}; \
  chmod a+rx ${fix_dir} || true; \
  /bin/bash ../../src/gcc/../move-if-change \
    tmp-xlimits.h  tmp-limits.h; \
  rm -f ${fix_dir}/limits.h; \
  cp -p tmp-limits.h ${fix_dir}/limits.h; \
  chmod a+r ${fix_dir}/limits.h; \
done
rm -f include-fixed/README
cp ../../src/gcc/../fixincludes/README-fixinc include-fixed/README
chmod a+r include-fixed/README
echo timestamp > stmp-int-hdrs
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/ -xc -nostdinc /dev/null -S -o /dev/null -fself-test=../../src/gcc/testsuite/selftests
cc1: note: self-tests are not enabled in this build
echo timestamp > s-selftest-c
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-sem.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-sem.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-sem_lib.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-sem_lib.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-std_package.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-std_package.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-utils.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-utils.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/simple_io.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/simple_io.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/version.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/version.ads
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/files_map.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/files_map.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/str_table.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/str_table.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/logging.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/logging.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/std_names.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/std_names.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/tables.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/tables.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-scanner.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-scanner.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-tokens.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-tokens.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/psl.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/psl.ads
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/psl-dump_tree.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/psl-dump_tree.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/psl-nodes.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/psl-nodes.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-back_end.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-back_end.ads
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-disp_tree.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-disp_tree.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-lists.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-lists.ads
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-parse.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-parse.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/ortho_nodes.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/ortho_nodes.ads
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/trans.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/trans.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/trans-chap1.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/trans-chap1.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/trans-chap12.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/trans-chap12.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/trans-chap2.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/trans-chap2.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/trans-chap3.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/trans-chap3.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/trans-chap4.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/trans-chap4.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/trans-chap7.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/trans-chap7.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/trans-helpers2.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/trans-helpers2.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/trans-rtis.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/trans-rtis.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/trans_decls.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/trans_decls.ads
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-canon.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-canon.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-sem_specs.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-sem_specs.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-evaluation.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-evaluation.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-nodes_walk.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-nodes_walk.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-sem_scopes.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-sem_scopes.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-nodes_meta.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-nodes_meta.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-nodes_priv.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-nodes_priv.ads
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/psl-types.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/psl-types.ads
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-flists.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-flists.ads
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-ieee.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-ieee.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-ieee-std_logic_1164.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-ieee-std_logic_1164.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-nodes_utils.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-nodes_utils.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-sem_assocs.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-sem_assocs.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-sem_decls.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-sem_decls.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-sem_expr.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-sem_expr.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-sem_inst.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-sem_inst.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-sem_names.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-sem_names.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-sem_psl.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-sem_psl.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-sem_stmts.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-sem_stmts.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-sem_utils.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-sem_utils.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-xrefs.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-xrefs.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-nodes_gc.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-nodes_gc.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-post_sems.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-post_sems.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-prints.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-prints.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-types.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-types.ads
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/grt.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/grt.ads
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/grt-fcvt.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/grt-fcvt.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/psl-errors.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/psl-errors.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/psl-nodes_meta.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/psl-nodes_meta.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/psl-hash.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/psl-hash.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/lists.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/lists.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-elocations.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-elocations.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-parse_psl.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-parse_psl.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/trans-chap5.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/trans-chap5.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/trans-chap6.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/trans-chap6.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/trans-chap9.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/trans-chap9.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/trans-chap8.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/trans-chap8.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/trans-chap14.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/trans-chap14.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/trans-foreach_non_composite.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/trans-foreach_non_composite.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/psl-build.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/psl-build.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/psl-nfas.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/psl-nfas.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/psl-nfas-utils.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/psl-nfas-utils.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/psl-rewrites.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/psl-rewrites.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-canon_psl.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-canon_psl.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/psl-nodes_priv.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/psl-nodes_priv.ads
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/flists.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/flists.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-sem_types.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-sem_types.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/grt-algos.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/grt-algos.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/psl-subsets.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/psl-subsets.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-ieee-math_real.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-ieee-math_real.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-ieee-numeric.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-ieee-numeric.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-ieee-numeric_std_unsigned.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-ieee-numeric_std_unsigned.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-ieee-std_logic_arith.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-ieee-std_logic_arith.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-ieee-std_logic_misc.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-ieee-std_logic_misc.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-ieee-std_logic_unsigned.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-ieee-std_logic_unsigned.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-ieee-vital_timing.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-ieee-vital_timing.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/psl-prints.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/psl-prints.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/psl-priorities.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/psl-priorities.ads
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-elocations_meta.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-elocations_meta.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/trans_analyzes.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/trans_analyzes.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/psl-cse.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/psl-cse.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/psl-disp_nfas.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/psl-disp_nfas.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/psl-optimize.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/psl-optimize.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/psl-qm.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/psl-qm.adb
gnatmake -o ghdl1 -aI../../src/gcc/vhdl -aOvhdl ortho_gcc-main \
         -cargs -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC \
 -bargs -E \
 -largs --LINK=g++ -no-pie   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc -Wl,-z,relro -Wl,-z,now attribs.o vhdl/ortho-lang.o \
 libbackend.a libcommon-target.a libcommon.a ../libcpp/libcpp.a ../libdecnumber/libdecnumber.a libcommon.a ../libcpp/libcpp.a   ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a   -lmpc -lmpfr -lgmp -rdynamic -ldl  -lz 
arm-linux-gnueabihf-gnatbind-10 -aI../../src/gcc/vhdl -aOvhdl -E -x /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/ortho_gcc-main.ali
arm-linux-gnueabihf-gnatlink-10 /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/ortho_gcc-main.ali -o ghdl1 --LINK=g++ -no-pie -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc -Wl,-z,relro -Wl,-z,now attribs.o vhdl/ortho-lang.o libbackend.a libcommon-target.a libcommon.a ../libcpp/libcpp.a ../libdecnumber/libdecnumber.a libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a -lmpc -lmpfr -lgmp -rdynamic -ldl -lz
gnatmake -o ghdl -aI../../src/gcc/vhdl -aI../../src/gcc/vhdl/ghdldrv \
 -aIvhdl -aOvhdl ghdl_gcc \
 -cargs -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC \
 -bargs -E \
         -largs --LINK=g++ -no-pie   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc -Wl,-z,relro -Wl,-z,now \
           vhdl/grt-cstdio.o libcommon.a ../libcpp/libcpp.a   ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a 
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/ghdldrv/ghdl_gcc.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/ghdldrv/ghdldrv.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/ghdldrv/ghdllocal.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/ghdldrv/ghdlmain.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/ghdldrv/ghdlprint.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/ghdlsynth_maybe.ads
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/ghdldrv/ghdlvpi.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/ghdldrv/ghdlxml.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/default_paths.ads
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-formatters.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/ghdldrv/ghdlsynth.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/utils_io.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/grt-types.ads
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/grt-vstrings.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/ghdldrv/ghdlcomp.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/netlists.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/netlists-disp_dot.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/netlists-disp_vhdl.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/netlists-dump.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/netlists-errors.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth.ads
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-context.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-disp_vhdl.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-flags.ads
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synthesis.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-annotations.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/grt-c.ads
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/netlists-gates.ads
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/netlists-utils.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/netlists-iterators.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/netlists-locations.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/types_utils.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/netlists-folds.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-expr.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/netlists-builders.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-environment.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-objtypes.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-values.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/grt-severity.ads
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-environment-debug.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-insts.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-values-debug.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/mutils.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/grt-to_strings.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-aggr.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-debugger.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-decls.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-errors.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-heap.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-oper.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-stmts.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-source.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/netlists-concats.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/netlists-gates_ports.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/netlists-inference.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/areapools.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/grt-files_operations.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/netlists-cleanup.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/netlists-expands.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/netlists-memories.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-files_operations.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-static_oper.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-static_proc.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/netlists-internings.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/grt-stdio.ads
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/grt-table.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/netlists-butils.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-ieee.ads
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-ieee-numeric_std.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-ieee-std_logic_1164.adb
arm-linux-gnueabihf-gnatbind-10 -aI../../src/gcc/vhdl -aI../../src/gcc/vhdl/ghdldrv -aIvhdl -aOvhdl -E -x ghdl_gcc.ali
arm-linux-gnueabihf-gnatlink-10 ghdl_gcc.ali -o ghdl --LINK=g++ -no-pie -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc -Wl,-z,relro -Wl,-z,now vhdl/grt-cstdio.o libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a
rm gcc.pod
make[4]: Leaving directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc'
Checking multilib configuration for libgcc...
mkdir -p -- armv7l-unknown-linux-gnueabihf/libgcc
Configuring in armv7l-unknown-linux-gnueabihf/libgcc
make[4]: Entering directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/libcc1'
/usr/bin/make  all-am
make[5]: Entering directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/libcc1'
/bin/bash ./libtool  --tag=CXX   --mode=compile g++ -DHAVE_CONFIG_H -I. -I../../src/libcc1  -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc   -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall  -fvisibility=hidden  -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -MT findcomp.lo -MD -MP -MF .deps/findcomp.Tpo -c -o findcomp.lo ../../src/libcc1/findcomp.cc
/bin/bash ./libtool  --tag=CXX   --mode=compile g++ -DHAVE_CONFIG_H -I. -I../../src/libcc1  -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc   -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall  -fvisibility=hidden  -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -MT libcc1.lo -MD -MP -MF .deps/libcc1.Tpo -c -o libcc1.lo ../../src/libcc1/libcc1.cc
/bin/bash ./libtool  --tag=CXX   --mode=compile g++ -DHAVE_CONFIG_H -I. -I../../src/libcc1  -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc   -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall  -fvisibility=hidden  -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -MT libcp1.lo -MD -MP -MF .deps/libcp1.Tpo -c -o libcp1.lo ../../src/libcc1/libcp1.cc
libtool: compile:  g++ -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -MT libcp1.lo -MD -MP -MF .deps/libcp1.Tpo -c ../../src/libcc1/libcp1.cc  -fPIC -DPIC -o .libs/libcp1.o
libtool: compile:  g++ -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -MT findcomp.lo -MD -MP -MF .deps/findcomp.Tpo -c ../../src/libcc1/findcomp.cc  -fPIC -DPIC -o .libs/findcomp.o
libtool: compile:  g++ -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -MT libcc1.lo -MD -MP -MF .deps/libcc1.Tpo -c ../../src/libcc1/libcc1.cc  -fPIC -DPIC -o .libs/libcc1.o
configure: creating cache ./config.cache
checking build system type... armv7l-unknown-linux-gnueabihf
checking host system type... armv7l-unknown-linux-gnueabihf
checking for --enable-version-specific-runtime-libs... no
checking for a BSD-compatible install... /usr/bin/install -c
checking for gawk... gawk
checking for armv7l-unknown-linux-gnueabihf-ar... ar
checking for armv7l-unknown-linux-gnueabihf-lipo... lipo
checking for armv7l-unknown-linux-gnueabihf-nm... /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/nm
checking for armv7l-unknown-linux-gnueabihf-ranlib... ranlib
checking for armv7l-unknown-linux-gnueabihf-strip... strip
checking whether ln -s works... yes
checking for armv7l-unknown-linux-gnueabihf-gcc... /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/sys-include   
checking for suffix of object files... o
checking whether we are using the GNU C compiler... yes
checking whether /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/sys-include    accepts -g... yes
checking for /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/sys-include    option to accept ISO C89... unsupported
checking how to run the C preprocessor... /lib/cpp
mv -f .deps/findcomp.Tpo .deps/findcomp.Plo
/bin/bash ./libtool  --tag=CXX   --mode=compile g++ -DHAVE_CONFIG_H -I. -I../../src/libcc1  -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc   -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall  -fvisibility=hidden  -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -MT names.lo -MD -MP -MF .deps/names.Tpo -c -o names.lo ../../src/libcc1/names.cc
libtool: compile:  g++ -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -MT names.lo -MD -MP -MF .deps/names.Tpo -c ../../src/libcc1/names.cc  -fPIC -DPIC -o .libs/names.o
checking for special C compiler options needed for large files... no
checking for _FILE_OFFSET_BITS value needed for large files... mv -f .deps/names.Tpo .deps/names.Plo
/bin/bash ./libtool  --tag=CXX   --mode=compile g++ -DHAVE_CONFIG_H -I. -I../../src/libcc1  -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc   -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall  -fvisibility=hidden  -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -MT callbacks.lo -MD -MP -MF .deps/callbacks.Tpo -c -o callbacks.lo ../../src/libcc1/callbacks.cc
unknown
checking for _LARGE_FILES value needed for large files... libtool: compile:  g++ -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -MT callbacks.lo -MD -MP -MF .deps/callbacks.Tpo -c ../../src/libcc1/callbacks.cc  -fPIC -DPIC -o .libs/callbacks.o
unknown
checking size of double... mv -f .deps/callbacks.Tpo .deps/callbacks.Plo
/bin/bash ./libtool  --tag=CXX   --mode=compile g++ -DHAVE_CONFIG_H -I. -I../../src/libcc1  -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc   -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall  -fvisibility=hidden  -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -MT connection.lo -MD -MP -MF .deps/connection.Tpo -c -o connection.lo ../../src/libcc1/connection.cc
libtool: compile:  g++ -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -MT connection.lo -MD -MP -MF .deps/connection.Tpo -c ../../src/libcc1/connection.cc  -fPIC -DPIC -o .libs/connection.o
8
checking size of long double... 8
checking for inttypes.h... yes
checking for stdint.h... yes
checking for stdlib.h... yes
checking for ftw.h... yes
checking for unistd.h... yes
checking for sys/stat.h... mv -f .deps/connection.Tpo .deps/connection.Plo
/bin/bash ./libtool  --tag=CXX   --mode=compile g++ -DHAVE_CONFIG_H -I. -I../../src/libcc1  -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc   -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall  -fvisibility=hidden  -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -MT marshall.lo -MD -MP -MF .deps/marshall.Tpo -c -o marshall.lo ../../src/libcc1/marshall.cc
yes
checking for sys/types.h... yes
libtool: compile:  g++ -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -MT marshall.lo -MD -MP -MF .deps/marshall.Tpo -c ../../src/libcc1/marshall.cc  -fPIC -DPIC -o .libs/marshall.o
checking for string.h... yes
checking for strings.h... yes
checking for memory.h... yes
checking for sys/auxv.h... yes
checking for grep that handles long lines and -e... /bin/grep
checking for egrep... /bin/grep -E
checking for ANSI C header files... no
checking whether decimal floating point is supported... no
configure: WARNING: decimal float is not supported for this target, ignored
checking whether fixed-point is supported... mv -f .deps/marshall.Tpo .deps/marshall.Plo
/bin/bash ./libtool  --tag=CXX   --mode=compile g++ -DHAVE_CONFIG_H -I. -I../../src/libcc1  -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -I ../../src/libcc1/../gcc/c  -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall  -fvisibility=hidden  -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -MT libcc1plugin.lo -MD -MP -MF .deps/libcc1plugin.Tpo -c -o libcc1plugin.lo ../../src/libcc1/libcc1plugin.cc
yes
checking whether the compiler is configured for setjmp/longjmp exceptions... libtool: compile:  g++ -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -I ../../src/libcc1/../gcc/c -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -MT libcc1plugin.lo -MD -MP -MF .deps/libcc1plugin.Tpo -c ../../src/libcc1/libcc1plugin.cc  -fPIC -DPIC -o .libs/libcc1plugin.o
no
checking for CET support... no
checking if the linker (/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/collect-ld) is GNU ld... yes
checking for thread model used by GCC... posix
checking whether assembler supports CFI directives... yes
checking for target glibc version... 0.0
checking for __attribute__((visibility("hidden")))... yes
checking for .cfi_sections .debug_frame... yes
checking whether the target assembler supports thread-local storage... yes
checking whether the thread-local storage support is from emutls... mv -f .deps/libcc1.Tpo .deps/libcc1.Plo
/bin/bash ./libtool  --tag=CXX   --mode=compile g++ -DHAVE_CONFIG_H -I. -I../../src/libcc1  -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -I ../../src/libcc1/../gcc/cp  -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall  -fvisibility=hidden  -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -MT libcp1plugin.lo -MD -MP -MF .deps/libcp1plugin.Tpo -c -o libcp1plugin.lo ../../src/libcc1/libcp1plugin.cc
no
checking for init priority support... libtool: compile:  g++ -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -I ../../src/libcc1/../gcc/cp -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -MT libcp1plugin.lo -MD -MP -MF .deps/libcp1plugin.Tpo -c ../../src/libcc1/libcp1plugin.cc  -fPIC -DPIC -o .libs/libcp1plugin.o
yes
configure: updating cache ./config.cache
configure: creating ./config.status
config.status: creating Makefile
config.status: creating auto-target.h
config.status: executing default commands
make[4]: Entering directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/armv7l-unknown-linux-gnueabihf/libgcc'
mv -f .deps/libcp1.Tpo .deps/libcp1.Plo
/bin/bash ./libtool --tag=CXX   --mode=link g++ -W -Wall  -fvisibility=hidden  -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -module -export-symbols ../../src/libcc1/libcc1.sym  -Xcompiler '-static-libstdc++' -Xcompiler '-static-libgcc' '-Wl,-z,relro' '-Wl,-z,now' -o libcc1.la -rpath /usr/lib/ghdl/gcc/lib/. findcomp.lo libcc1.lo libcp1.lo names.lo callbacks.lo connection.lo marshall.lo    -Wc,../libiberty/pic/libiberty.a 
libtool: link: g++  -fPIC -DPIC -shared -nostdlib /usr/lib/gcc/arm-linux-gnueabihf/10/../../../arm-linux-gnueabihf/crti.o /usr/lib/gcc/arm-linux-gnueabihf/10/crtbeginS.o  .libs/findcomp.o .libs/libcc1.o .libs/libcp1.o .libs/names.o .libs/callbacks.o .libs/connection.o .libs/marshall.o   -L/usr/lib/gcc/arm-linux-gnueabihf/10 -L/usr/lib/gcc/arm-linux-gnueabihf/10/../../../arm-linux-gnueabihf -L/usr/lib/gcc/arm-linux-gnueabihf/10/../../.. -L/lib/arm-linux-gnueabihf -L/usr/lib/arm-linux-gnueabihf -lstdc++ -lm -lc -lgcc_s /usr/lib/gcc/arm-linux-gnueabihf/10/crtendS.o /usr/lib/gcc/arm-linux-gnueabihf/10/../../../arm-linux-gnueabihf/crtn.o  -static-libstdc++ -static-libgcc -Wl,-z -Wl,relro -Wl,-z -Wl,now ../libiberty/pic/libiberty.a   -Wl,-soname -Wl,libcc1.so.0 -Wl,-retain-symbols-file -Wl,../../src/libcc1/libcc1.sym -o .libs/libcc1.so.0.0.0
# If this is the top-level multilib, build all the other
# multilibs.
ln -s ../../../src/libgcc/enable-execute-stack-empty.c enable-execute-stack.c
ln -s ../../../src/libgcc/config/arm/unwind-arm.h unwind.h
ln -s ../../../src/libgcc/config/no-unwind.h md-unwind-support.h
ln -s ../../../src/libgcc/config/arm/sfp-machine.h sfp-machine.h
libtool: link: (cd ".libs" && rm -f "libcc1.so.0" && ln -s "libcc1.so.0.0.0" "libcc1.so.0")
ln -s ../../../src/libgcc/gthr-posix.h gthr-default.h
DEFINES='' HEADERS='../../../src/libgcc/config/arm/bpabi-lib.h' \
	../../../src/libgcc/mkheader.sh > tmp-libgcc_tm.h
libtool: link: (cd ".libs" && rm -f "libcc1.so" && ln -s "libcc1.so.0.0.0" "libcc1.so")
/bin/bash ../../../src/libgcc/../move-if-change tmp-libgcc_tm.h libgcc_tm.h
libtool: link: ( cd ".libs" && rm -f "libcc1.la" && ln -s "../libcc1.la" "libcc1.la" )
echo timestamp > libgcc_tm.stamp
echo '#if !__ARM_ARCH_ISA_ARM && __ARM_ARCH_ISA_THUMB == 1' > addsf3.c
echo '#if !__ARM_ARCH_ISA_ARM && __ARM_ARCH_ISA_THUMB == 1' > divsf3.c
echo '#include "soft-fp/addsf3.c"' >> addsf3.c
echo '#include "soft-fp/divsf3.c"' >> divsf3.c
echo '#endif' >> addsf3.c
echo '#endif' >> divsf3.c
echo '#if !__ARM_ARCH_ISA_ARM && __ARM_ARCH_ISA_THUMB == 1' > eqsf2.c
echo '#if !__ARM_ARCH_ISA_ARM && __ARM_ARCH_ISA_THUMB == 1' > gesf2.c
echo '#include "soft-fp/eqsf2.c"' >> eqsf2.c
echo '#include "soft-fp/gesf2.c"' >> gesf2.c
echo '#endif' >> eqsf2.c
echo '#endif' >> gesf2.c
echo '#if !__ARM_ARCH_ISA_ARM && __ARM_ARCH_ISA_THUMB == 1' > lesf2.c
echo '#if !__ARM_ARCH_ISA_ARM && __ARM_ARCH_ISA_THUMB == 1' > mulsf3.c
echo '#include "soft-fp/lesf2.c"' >> lesf2.c
echo '#include "soft-fp/mulsf3.c"' >> mulsf3.c
echo '#endif' >> lesf2.c
echo '#endif' >> mulsf3.c
echo '#if !__ARM_ARCH_ISA_ARM && __ARM_ARCH_ISA_THUMB == 1' > negsf2.c
echo '#if !__ARM_ARCH_ISA_ARM && __ARM_ARCH_ISA_THUMB == 1' > subsf3.c
echo '#include "soft-fp/negsf2.c"' >> negsf2.c
echo '#include "soft-fp/subsf3.c"' >> subsf3.c
echo '#endif' >> negsf2.c
echo '#endif' >> subsf3.c
echo '#if !__ARM_ARCH_ISA_ARM && __ARM_ARCH_ISA_THUMB == 1' > unordsf2.c
echo '#if !__ARM_ARCH_ISA_ARM && __ARM_ARCH_ISA_THUMB == 1' > fixsfsi.c
echo '#include "soft-fp/unordsf2.c"' >> unordsf2.c
echo '#include "soft-fp/fixsfsi.c"' >> fixsfsi.c
echo '#endif' >> unordsf2.c
echo '#endif' >> fixsfsi.c
echo '#if !__ARM_ARCH_ISA_ARM && __ARM_ARCH_ISA_THUMB == 1' > floatsisf.c
echo '#if !__ARM_ARCH_ISA_ARM && __ARM_ARCH_ISA_THUMB == 1' > floatunsisf.c
echo '#include "soft-fp/floatsisf.c"' >> floatsisf.c
echo '#include "soft-fp/floatunsisf.c"' >> floatunsisf.c
echo '#endif' >> floatsisf.c
echo '#endif' >> floatunsisf.c
echo '#if !__ARM_ARCH_ISA_ARM && __ARM_ARCH_ISA_THUMB == 1' > adddf3.c
echo '#if !__ARM_ARCH_ISA_ARM && __ARM_ARCH_ISA_THUMB == 1' > divdf3.c
echo '#include "soft-fp/adddf3.c"' >> adddf3.c
echo '#include "soft-fp/divdf3.c"' >> divdf3.c
echo '#endif' >> adddf3.c
echo '#endif' >> divdf3.c
echo '#if !__ARM_ARCH_ISA_ARM && __ARM_ARCH_ISA_THUMB == 1' > eqdf2.c
echo '#if !__ARM_ARCH_ISA_ARM && __ARM_ARCH_ISA_THUMB == 1' > gedf2.c
echo '#include "soft-fp/eqdf2.c"' >> eqdf2.c
echo '#include "soft-fp/gedf2.c"' >> gedf2.c
echo '#endif' >> eqdf2.c
echo '#endif' >> gedf2.c
echo '#if !__ARM_ARCH_ISA_ARM && __ARM_ARCH_ISA_THUMB == 1' > ledf2.c
echo '#if !__ARM_ARCH_ISA_ARM && __ARM_ARCH_ISA_THUMB == 1' > muldf3.c
echo '#include "soft-fp/ledf2.c"' >> ledf2.c
echo '#include "soft-fp/muldf3.c"' >> muldf3.c
echo '#endif' >> ledf2.c
echo '#endif' >> muldf3.c
echo '#if !__ARM_ARCH_ISA_ARM && __ARM_ARCH_ISA_THUMB == 1' > negdf2.c
echo '#if !__ARM_ARCH_ISA_ARM && __ARM_ARCH_ISA_THUMB == 1' > subdf3.c
echo '#include "soft-fp/negdf2.c"' >> negdf2.c
echo '#include "soft-fp/subdf3.c"' >> subdf3.c
echo '#endif' >> negdf2.c
echo '#endif' >> subdf3.c
echo '#if !__ARM_ARCH_ISA_ARM && __ARM_ARCH_ISA_THUMB == 1' > unorddf2.c
echo '#if !__ARM_ARCH_ISA_ARM && __ARM_ARCH_ISA_THUMB == 1' > fixdfsi.c
echo '#include "soft-fp/unorddf2.c"' >> unorddf2.c
echo '#include "soft-fp/fixdfsi.c"' >> fixdfsi.c
echo '#endif' >> unorddf2.c
echo '#endif' >> fixdfsi.c
echo '#if !__ARM_ARCH_ISA_ARM && __ARM_ARCH_ISA_THUMB == 1' > floatsidf.c
echo '#if !__ARM_ARCH_ISA_ARM && __ARM_ARCH_ISA_THUMB == 1' > floatunsidf.c
echo '#include "soft-fp/floatsidf.c"' >> floatsidf.c
echo '#include "soft-fp/floatunsidf.c"' >> floatunsidf.c
echo '#endif' >> floatsidf.c
echo '#endif' >> floatunsidf.c
echo '#if !__ARM_ARCH_ISA_ARM && __ARM_ARCH_ISA_THUMB == 1' > extendsfdf2.c
echo '#if !__ARM_ARCH_ISA_ARM && __ARM_ARCH_ISA_THUMB == 1' > truncdfsf2.c
echo '#include "soft-fp/extendsfdf2.c"' >> extendsfdf2.c
echo '#include "soft-fp/truncdfsf2.c"' >> truncdfsf2.c
echo '#endif' >> extendsfdf2.c
echo '#endif' >> truncdfsf2.c
sed -e 's/__PFX__/__/g' \
    -e 's/__FIXPTPFX__/__gnu_/g' < ../../../src/libgcc/libgcc-std.ver.in > libgcc-std.ver
dest=../.././gcc/include/tmp$$-unwind.h; \
cp unwind.h $dest; \
chmod a+r $dest; \
sh ../../../src/libgcc/../move-if-change $dest ../.././gcc/include/unwind.h
{ cat libgcc-std.ver ../../../src/libgcc/config/libgcc-glibc.ver ../../../src/libgcc/config/arm/libgcc-bpabi.ver \
    | sed -e '/^[ 	]*#/d' \
	  -e 's/^%\(if\|else\|elif\|endif\|define\)/#\1/' \
    | /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/sys-include    -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -O2  -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wstrict-prototypes -Wmissing-prototypes -Wno-error=format-diag -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS  -E -xassembler-with-cpp -; \
} > tmp-libgcc.map.in
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/sys-include    -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -O2  -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wstrict-prototypes -Wmissing-prototypes -Wno-error=format-diag -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS  -o _thumb1_case_sqi_s.o -MT _thumb1_case_sqi_s.o -MD -MP -MF _thumb1_case_sqi_s.dep -DSHARED -DL_thumb1_case_sqi -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S
mv tmp-libgcc.map.in libgcc.map.in
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/sys-include    -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -O2  -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wstrict-prototypes -Wmissing-prototypes -Wno-error=format-diag -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS  -o _thumb1_case_uqi_s.o -MT _thumb1_case_uqi_s.o -MD -MP -MF _thumb1_case_uqi_s.dep -DSHARED -DL_thumb1_case_uqi -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/sys-include    -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -O2  -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wstrict-prototypes -Wmissing-prototypes -Wno-error=format-diag -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS  -o _thumb1_case_shi_s.o -MT _thumb1_case_shi_s.o -MD -MP -MF _thumb1_case_shi_s.dep -DSHARED -DL_thumb1_case_shi -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/sys-include    -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -O2  -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wstrict-prototypes -Wmissing-prototypes -Wno-error=format-diag -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS  -o _thumb1_case_uhi_s.o -MT _thumb1_case_uhi_s.o -MD -MP -MF _thumb1_case_uhi_s.dep -DSHARED -DL_thumb1_case_uhi -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/sys-include    -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -O2  -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wstrict-prototypes -Wmissing-prototypes -Wno-error=format-diag -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS  -o _thumb1_case_si_s.o -MT _thumb1_case_si_s.o -MD -MP -MF _thumb1_case_si_s.dep -DSHARED -DL_thumb1_case_si -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/sys-include    -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -O2  -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wstrict-prototypes -Wmissing-prototypes -Wno-error=format-diag -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS  -o _speculation_barrier_s.o -MT _speculation_barrier_s.o -MD -MP -MF _speculation_barrier_s.dep -DSHARED -DL_speculation_barrier -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/sys-include    -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -O2  -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wstrict-prototypes -Wmissing-prototypes -Wno-error=format-diag -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS  -o _arm_muldf3_s.o -MT _arm_muldf3_s.o -MD -MP -MF _arm_muldf3_s.dep -DSHARED -DL_arm_muldf3 -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/sys-include    -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -O2  -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wstrict-prototypes -Wmissing-prototypes -Wno-error=format-diag -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS  -o _arm_mulsf3_s.o -MT _arm_mulsf3_s.o -MD -MP -MF _arm_mulsf3_s.dep -DSHARED -DL_arm_mulsf3 -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S
../../../src/libgcc/config/arm/ieee754-df.S: Assembler messages:
../../../src/libgcc/config/arm/ieee754-df.S:727: conditional infixes are deprecated in unified syntax
../../../src/libgcc/config/arm/ieee754-df.S:742: conditional infixes are deprecated in unified syntax
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/sys-include    -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -O2  -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wstrict-prototypes -Wmissing-prototypes -Wno-error=format-diag -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS  -o _udivsi3_s.o -MT _udivsi3_s.o -MD -MP -MF _udivsi3_s.dep -DSHARED -DL_udivsi3 -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/sys-include    -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -O2  -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wstrict-prototypes -Wmissing-prototypes -Wno-error=format-diag -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS  -o _divsi3_s.o -MT _divsi3_s.o -MD -MP -MF _divsi3_s.dep -DSHARED -DL_divsi3 -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/sys-include    -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -O2  -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wstrict-prototypes -Wmissing-prototypes -Wno-error=format-diag -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS  -o _umodsi3_s.o -MT _umodsi3_s.o -MD -MP -MF _umodsi3_s.dep -DSHARED -DL_umodsi3 -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/sys-include    -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -O2  -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wstrict-prototypes -Wmissing-prototypes -Wno-error=format-diag -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS  -o _modsi3_s.o -MT _modsi3_s.o -MD -MP -MF _modsi3_s.dep -DSHARED -DL_modsi3 -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/sys-include    -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -O2  -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wstrict-prototypes -Wmissing-prototypes -Wno-error=format-diag -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS  -o _bb_init_func_s.o -MT _bb_init_func_s.o -MD -MP -MF _bb_init_func_s.dep -DSHARED -DL_bb_init_func -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/sys-include    -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -O2  -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wstrict-prototypes -Wmissing-prototypes -Wno-error=format-diag -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS  -o _call_via_rX_s.o -MT _call_via_rX_s.o -MD -MP -MF _call_via_rX_s.dep -DSHARED -DL_call_via_rX -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/sys-include    -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -O2  -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wstrict-prototypes -Wmissing-prototypes -Wno-error=format-diag -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS  -o _interwork_call_via_rX_s.o -MT _interwork_call_via_rX_s.o -MD -MP -MF _interwork_call_via_rX_s.dep -DSHARED -DL_interwork_call_via_rX -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/sys-include    -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -O2  -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wstrict-prototypes -Wmissing-prototypes -Wno-error=format-diag -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS  -o _lshrdi3_s.o -MT _lshrdi3_s.o -MD -MP -MF _lshrdi3_s.dep -DSHARED -DL_lshrdi3 -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S
../../../src/libgcc/config/arm/lib1funcs.S: Assembler messages:
../../../src/libgcc/config/arm/lib1funcs.S:2057: conditional infixes are deprecated in unified syntax
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/sys-include    -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -O2  -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wstrict-prototypes -Wmissing-prototypes -Wno-error=format-diag -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS  -o _ashrdi3_s.o -MT _ashrdi3_s.o -MD -MP -MF _ashrdi3_s.dep -DSHARED -DL_ashrdi3 -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/sys-include    -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -O2  -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wstrict-prototypes -Wmissing-prototypes -Wno-error=format-diag -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS  -o _ashldi3_s.o -MT _ashldi3_s.o -MD -MP -MF _ashldi3_s.dep -DSHARED -DL_ashldi3 -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/sys-include    -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -O2  -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wstrict-prototypes -Wmissing-prototypes -Wno-error=format-diag -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS  -o _arm_negdf2_s.o -MT _arm_negdf2_s.o -MD -MP -MF _arm_negdf2_s.dep -DSHARED -DL_arm_negdf2 -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/sys-include    -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -O2  -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wstrict-prototypes -Wmissing-prototypes -Wno-error=format-diag -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS  -o _arm_addsubdf3_s.o -MT _arm_addsubdf3_s.o -MD -MP -MF _arm_addsubdf3_s.dep -DSHARED -DL_arm_addsubdf3 -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/sys-include    -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -O2  -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wstrict-prototypes -Wmissing-prototypes -Wno-error=format-diag -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS  -o _arm_muldivdf3_s.o -MT _arm_muldivdf3_s.o -MD -MP -MF _arm_muldivdf3_s.dep -DSHARED -DL_arm_muldivdf3 -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S
../../../src/libgcc/config/arm/ieee754-df.S: Assembler messages:
../../../src/libgcc/config/arm/ieee754-df.S:130: conditional infixes are deprecated in unified syntax
../../../src/libgcc/config/arm/ieee754-df.S:319: conditional infixes are deprecated in unified syntax
../../../src/libgcc/config/arm/ieee754-df.S:388: conditional infixes are deprecated in unified syntax
../../../src/libgcc/config/arm/ieee754-df.S:401: conditional infixes are deprecated in unified syntax
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/sys-include    -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -O2  -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wstrict-prototypes -Wmissing-prototypes -Wno-error=format-diag -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS  -o _arm_cmpdf2_s.o -MT _arm_cmpdf2_s.o -MD -MP -MF _arm_cmpdf2_s.dep -DSHARED -DL_arm_cmpdf2 -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/sys-include    -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -O2  -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wstrict-prototypes -Wmissing-prototypes -Wno-error=format-diag -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS  -o _arm_unorddf2_s.o -MT _arm_unorddf2_s.o -MD -MP -MF _arm_unorddf2_s.dep -DSHARED -DL_arm_unorddf2 -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S
../../../src/libgcc/config/arm/ieee754-df.S: Assembler messages:
../../../src/libgcc/config/arm/ieee754-df.S:727: conditional infixes are deprecated in unified syntax
../../../src/libgcc/config/arm/ieee754-df.S:742: conditional infixes are deprecated in unified syntax
../../../src/libgcc/config/arm/ieee754-df.S:1030: conditional infixes are deprecated in unified syntax
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/sys-include    -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -O2  -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wstrict-prototypes -Wmissing-prototypes -Wno-error=format-diag -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS  -o _arm_fixdfsi_s.o -MT _arm_fixdfsi_s.o -MD -MP -MF _arm_fixdfsi_s.dep -DSHARED -DL_arm_fixdfsi -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/sys-include    -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -O2  -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wstrict-prototypes -Wmissing-prototypes -Wno-error=format-diag -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS  -o _arm_fixunsdfsi_s.o -MT _arm_fixunsdfsi_s.o -MD -MP -MF _arm_fixunsdfsi_s.dep -DSHARED -DL_arm_fixunsdfsi -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/sys-include    -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -O2  -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wstrict-prototypes -Wmissing-prototypes -Wno-error=format-diag -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS  -o _arm_truncdfsf2_s.o -MT _arm_truncdfsf2_s.o -MD -MP -MF _arm_truncdfsf2_s.dep -DSHARED -DL_arm_truncdfsf2 -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/sys-include    -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -O2  -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wstrict-prototypes -Wmissing-prototypes -Wno-error=format-diag -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS  -o _arm_negsf2_s.o -MT _arm_negsf2_s.o -MD -MP -MF _arm_negsf2_s.dep -DSHARED -DL_arm_negsf2 -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/sys-include    -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -O2  -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wstrict-prototypes -Wmissing-prototypes -Wno-error=format-diag -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS  -o _arm_addsubsf3_s.o -MT _arm_addsubsf3_s.o -MD -MP -MF _arm_addsubsf3_s.dep -DSHARED -DL_arm_addsubsf3 -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/sys-include    -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -O2  -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wstrict-prototypes -Wmissing-prototypes -Wno-error=format-diag -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS  -o _arm_muldivsf3_s.o -MT _arm_muldivsf3_s.o -MD -MP -MF _arm_muldivsf3_s.dep -DSHARED -DL_arm_muldivsf3 -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/sys-include    -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -O2  -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wstrict-prototypes -Wmissing-prototypes -Wno-error=format-diag -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS  -o _arm_cmpsf2_s.o -MT _arm_cmpsf2_s.o -MD -MP -MF _arm_cmpsf2_s.dep -DSHARED -DL_arm_cmpsf2 -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/sys-include    -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -O2  -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wstrict-prototypes -Wmissing-prototypes -Wno-error=format-diag -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS  -o _arm_unordsf2_s.o -MT _arm_unordsf2_s.o -MD -MP -MF _arm_unordsf2_s.dep -DSHARED -DL_arm_unordsf2 -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/sys-include    -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -O2  -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wstrict-prototypes -Wmissing-prototypes -Wno-error=format-diag -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS  -o _arm_fixsfsi_s.o -MT _arm_fixsfsi_s.o -MD -MP -MF _arm_fixsfsi_s.dep -DSHARED -DL_arm_fixsfsi -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/sys-include    -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -O2  -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wstrict-prototypes -Wmissing-prototypes -Wno-error=format-diag -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS  -o _arm_fixunssfsi_s.o -MT _arm_fixunssfsi_s.o -MD -MP -MF _arm_fixunssfsi_s.dep -DSHARED -DL_arm_fixunssfsi -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/sys-include    -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -O2  -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wstrict-prototypes -Wmissing-prototypes -Wno-error=format-diag -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS  -o _arm_floatdidf_s.o -MT _arm_floatdidf_s.o -MD -MP -MF _arm_floatdidf_s.dep -DSHARED -DL_arm_floatdidf -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/sys-include    -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -O2  -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wstrict-prototypes -Wmissing-prototypes -Wno-error=format-diag -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS  -o _arm_floatdisf_s.o -MT _arm_floatdisf_s.o -MD -MP -MF _arm_floatdisf_s.dep -DSHARED -DL_arm_floatdisf -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/sys-include    -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -O2  -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wstrict-prototypes -Wmissing-prototypes -Wno-error=format-diag -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS  -o _arm_floatundidf_s.o -MT _arm_floatundidf_s.o -MD -MP -MF _arm_floatundidf_s.dep -DSHARED -DL_arm_floatundidf -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/sys-include    -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -O2  -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wstrict-prototypes -Wmissing-prototypes -Wno-error=format-diag -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS  -o _arm_floatundisf_s.o -MT _arm_floatundisf_s.o -MD -MP -MF _arm_floatundisf_s.dep -DSHARED -DL_arm_floatundisf -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/sys-include    -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -O2  -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wstrict-prototypes -Wmissing-prototypes -Wno-error=format-diag -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS  -o _clzsi2_s.o -MT _clzsi2_s.o -MD -MP -MF _clzsi2_s.dep -DSHARED -DL_clzsi2 -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/sys-include    -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -O2  -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wstrict-prototypes -Wmissing-prototypes -Wno-error=format-diag -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS  -o _clzdi2_s.o -MT _clzdi2_s.o -MD -MP -MF _clzdi2_s.dep -DSHARED -DL_clzdi2 -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/sys-include    -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -O2  -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wstrict-prototypes -Wmissing-prototypes -Wno-error=format-diag -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS  -o _ctzsi2_s.o -MT _ctzsi2_s.o -MD -MP -MF _ctzsi2_s.dep -DSHARED -DL_ctzsi2 -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/sys-include    -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -O2  -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wstrict-prototypes -Wmissing-prototypes -Wno-error=format-diag -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS  -o _aeabi_lcmp_s.o -MT _aeabi_lcmp_s.o -MD -MP -MF _aeabi_lcmp_s.dep -DSHARED -DL_aeabi_lcmp -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/sys-include    -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -O2  -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wstrict-prototypes -Wmissing-prototypes -Wno-error=format-diag -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS  -o _aeabi_ulcmp_s.o -MT _aeabi_ulcmp_s.o -MD -MP -MF _aeabi_ulcmp_s.dep -DSHARED -DL_aeabi_ulcmp -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/sys-include    -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -O2  -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wstrict-prototypes -Wmissing-prototypes -Wno-error=format-diag -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS  -o _aeabi_ldivmod_s.o -MT _aeabi_ldivmod_s.o -MD -MP -MF _aeabi_ldivmod_s.dep -DSHARED -DL_aeabi_ldivmod -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/sys-include    -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -O2  -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wstrict-prototypes -Wmissing-prototypes -Wno-error=format-diag -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS  -o _aeabi_uldivmod_s.o -MT _aeabi_uldivmod_s.o -MD -MP -MF _aeabi_uldivmod_s.dep -DSHARED -DL_aeabi_uldivmod -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/sys-include    -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -O2  -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wstrict-prototypes -Wmissing-prototypes -Wno-error=format-diag -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS  -o _dvmd_lnx_s.o -MT _dvmd_lnx_s.o -MD -MP -MF _dvmd_lnx_s.dep -DSHARED -DL_dvmd_lnx -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/sys-include    -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -O2  -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wstrict-prototypes -Wmissing-prototypes -Wno-error=format-diag -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS  -o _clear_cache_s.o -MT _clear_cache_s.o -MD -MP -MF _clear_cache_s.dep -DSHARED -DL_clear_cache -xassembler-with-cpp -c ../../../src/libgcc/config/arm/lib1funcs.S
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/sys-include    -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -O2  -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wstrict-prototypes -Wmissing-prototypes -Wno-error=format-diag -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS  -o _muldi3.o -MT _muldi3.o -MD -MP -MF _muldi3.dep -DL_muldi3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/bin/ -B/usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/lib/ -isystem /usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/include -isystem /usr/lib/ghdl/gcc/armv7l-unknown-linux-gnueabihf/sys-include -isystem /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/sys-include    -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -O2  -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC    -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wstrict-prototypes -Wmissing-prototypes -Wno-error=format-diag -Wold-style-definition  -isystem ./include  -fPIC -fno-inline -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector  -fPIC -fno-inline -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include  -DHAVE_CC_TLS  -o _negdi2.o -MT _negdi2.o -MD -MP -MF _negdi2.dep -DL_negdi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS
In file included from ../../../src/libgcc/../gcc/tsystem.h:87,
                 from ../../../src/libgcc/libgcc2.c:27:
/usr/include/stdio.h:27:10: fatal error: bits/libc-header-start.h: No such file or directory
   27 | #include <bits/libc-header-start.h>
      |          ^~~~~~~~~~~~~~~~~~~~~~~~~~
In file included from ../../../src/libgcc/../gcc/tsystem.h:87,
                 from ../../../src/libgcc/libgcc2.c:27:
/usr/include/stdio.h:27:10: fatal error: bits/libc-header-start.h: No such file or directory
   27 | #include <bits/libc-header-start.h>
      |          ^~~~~~~~~~~~~~~~~~~~~~~~~~
compilation terminated.
compilation terminated.
make[4]: *** [Makefile:501: _negdi2.o] Error 1
make[4]: *** Waiting for unfinished jobs....
make[4]: *** [Makefile:501: _muldi3.o] Error 1
make[4]: Leaving directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/armv7l-unknown-linux-gnueabihf/libgcc'
make[3]: *** [Makefile:11419: all-target-libgcc] Error 2
make[3]: *** Waiting for unfinished jobs....
mv -f .deps/libcc1plugin.Tpo .deps/libcc1plugin.Plo
/bin/bash ./libtool --tag=CXX   --mode=link g++ -W -Wall  -fvisibility=hidden  -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -module -export-symbols ../../src/libcc1/libcc1plugin.sym  -Xcompiler '-static-libstdc++' -Xcompiler '-static-libgcc' '-Wl,-z,relro' '-Wl,-z,now' -o libcc1plugin.la -rpath /usr/lib/ghdl/gcc/lib/gcc/armv7l-unknown-linux-gnueabihf/10.3.0/plugin libcc1plugin.lo callbacks.lo connection.lo marshall.lo   -Wc,../libiberty/pic/libiberty.a 
libtool: link: g++  -fPIC -DPIC -shared -nostdlib /usr/lib/gcc/arm-linux-gnueabihf/10/../../../arm-linux-gnueabihf/crti.o /usr/lib/gcc/arm-linux-gnueabihf/10/crtbeginS.o  .libs/libcc1plugin.o .libs/callbacks.o .libs/connection.o .libs/marshall.o   -L/usr/lib/gcc/arm-linux-gnueabihf/10 -L/usr/lib/gcc/arm-linux-gnueabihf/10/../../../arm-linux-gnueabihf -L/usr/lib/gcc/arm-linux-gnueabihf/10/../../.. -L/lib/arm-linux-gnueabihf -L/usr/lib/arm-linux-gnueabihf -lstdc++ -lm -lc -lgcc_s /usr/lib/gcc/arm-linux-gnueabihf/10/crtendS.o /usr/lib/gcc/arm-linux-gnueabihf/10/../../../arm-linux-gnueabihf/crtn.o  -static-libstdc++ -static-libgcc -Wl,-z -Wl,relro -Wl,-z -Wl,now ../libiberty/pic/libiberty.a   -Wl,-soname -Wl,libcc1plugin.so.0 -Wl,-retain-symbols-file -Wl,../../src/libcc1/libcc1plugin.sym -o .libs/libcc1plugin.so.0.0.0
libtool: link: (cd ".libs" && rm -f "libcc1plugin.so.0" && ln -s "libcc1plugin.so.0.0.0" "libcc1plugin.so.0")
libtool: link: (cd ".libs" && rm -f "libcc1plugin.so" && ln -s "libcc1plugin.so.0.0.0" "libcc1plugin.so")
libtool: link: ( cd ".libs" && rm -f "libcc1plugin.la" && ln -s "../libcc1plugin.la" "libcc1plugin.la" )
mv -f .deps/libcp1plugin.Tpo .deps/libcp1plugin.Plo
/bin/bash ./libtool --tag=CXX   --mode=link g++ -W -Wall  -fvisibility=hidden  -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -module -export-symbols ../../src/libcc1/libcp1plugin.sym  -Xcompiler '-static-libstdc++' -Xcompiler '-static-libgcc' '-Wl,-z,relro' '-Wl,-z,now' -o libcp1plugin.la -rpath /usr/lib/ghdl/gcc/lib/gcc/armv7l-unknown-linux-gnueabihf/10.3.0/plugin libcp1plugin.lo callbacks.lo connection.lo marshall.lo   -Wc,../libiberty/pic/libiberty.a 
libtool: link: g++  -fPIC -DPIC -shared -nostdlib /usr/lib/gcc/arm-linux-gnueabihf/10/../../../arm-linux-gnueabihf/crti.o /usr/lib/gcc/arm-linux-gnueabihf/10/crtbeginS.o  .libs/libcp1plugin.o .libs/callbacks.o .libs/connection.o .libs/marshall.o   -L/usr/lib/gcc/arm-linux-gnueabihf/10 -L/usr/lib/gcc/arm-linux-gnueabihf/10/../../../arm-linux-gnueabihf -L/usr/lib/gcc/arm-linux-gnueabihf/10/../../.. -L/lib/arm-linux-gnueabihf -L/usr/lib/arm-linux-gnueabihf -lstdc++ -lm -lc -lgcc_s /usr/lib/gcc/arm-linux-gnueabihf/10/crtendS.o /usr/lib/gcc/arm-linux-gnueabihf/10/../../../arm-linux-gnueabihf/crtn.o  -static-libstdc++ -static-libgcc -Wl,-z -Wl,relro -Wl,-z -Wl,now ../libiberty/pic/libiberty.a   -Wl,-soname -Wl,libcp1plugin.so.0 -Wl,-retain-symbols-file -Wl,../../src/libcc1/libcp1plugin.sym -o .libs/libcp1plugin.so.0.0.0
libtool: link: (cd ".libs" && rm -f "libcp1plugin.so.0" && ln -s "libcp1plugin.so.0.0.0" "libcp1plugin.so.0")
libtool: link: (cd ".libs" && rm -f "libcp1plugin.so" && ln -s "libcp1plugin.so.0.0.0" "libcp1plugin.so")
libtool: link: ( cd ".libs" && rm -f "libcp1plugin.la" && ln -s "../libcp1plugin.la" "libcp1plugin.la" )
make[5]: Leaving directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/libcc1'
make[4]: Leaving directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/libcc1'
make[3]: Leaving directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild'
make[2]: *** [Makefile:956: all] Error 2
make[2]: Leaving directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild'
make[2]: Entering directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc'
gnatgcc -c -g -o jumps.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/config/jumps.c
gnatgcc -c -g -o times.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/config/times.c
gnatgcc -c -g -o grt-cstdio.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt-cstdio.c
gnatgcc -c -g -o grt-cgnatrts.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt-cgnatrts.c
gnatgcc -c -g -o grt-cvpi.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt-cvpi.c
gnatgcc -c -g -o grt-cdynload.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt-cdynload.c
gnatgcc -c -g -o fstapi.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/fst/fstapi.c -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/fst
gnatgcc -c -g -o lz4.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/fst/lz4.c
gnatgcc -c -g -o fastlz.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/fst/fastlz.c
mkdir grt
echo "with Grt.Backtraces.Gcc;" > grt-backtraces-impl.ads
echo "package Grt.Backtraces.Impl renames Grt.Backtraces.Gcc;" >> grt-backtraces-impl.ads
cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -c -aI/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -aI.. \
  -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY ghdl_main  -cargs -g
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/ghdl_main.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt.ads
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-errors.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-main.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-options.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-rtis_binding.ads
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-std_logic_1164.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-types.ads
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-astdio.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-astdio-vhdl.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-hooks.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-stdio.ads
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-change_generics.adb
grt-change_generics.adb:56:37: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Enum" (4)
grt-change_generics.adb:77:45: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Array" (4)
grt-change_generics.adb:98:56: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Scalar" (4)
grt-change_generics.adb:114:56: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Scalar" (4)
grt-change_generics.adb:154:49: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Scalar" (4)
grt-change_generics.adb:210:58: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Scalar" (4)
grt-change_generics.adb:248:34: warning: source alignment (1) < alignment of "Ghdl_Rtin_Object" (4)
grt-change_generics.adb:262:51: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Scalar" (4)
grt-change_generics.adb:353:40: warning: source alignment (1) < alignment of "Ghdl_Rtin_Object" (4)
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-files.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-images.adb
grt-images.adb:63:37: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Enum" (4)
grt-images.adb:115:44: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Physical" (4)
grt-images.adb:139:44: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Physical" (4)
grt-images.adb:255:47: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Enum" (4)
grt-images.adb:335:44: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Physical" (4)
grt-images.adb:368:37: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Enum" (4)
grt-images.adb:385:37: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Enum" (4)
grt-images.adb:402:37: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Enum" (4)
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-lib.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-modules.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-names.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-processes.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-shadow_ieee.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-signals.adb
grt-signals.adb:133:75: warning: source alignment (1) < alignment of "Ghdl_Rtin_Object" (4)
grt-signals.adb:285:73: warning: source alignment (1) < alignment of "Ghdl_Rtin_Object" (4)
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-stats.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-values.adb
grt-values.adb:90:37: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Enum" (4)
grt-values.adb:590:41: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Physical" (4)
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-severity.ads
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-strings.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-wave_opt.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-wave_opt-file.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-rtis.adb
grt-rtis.ads:164:04: warning: alignment of "Ghdl_Rtin_Block" (4) is stricter than alignment of "Ghdl_Rti_Common" (1)
grt-rtis.ads:164:04: warning: resulting access value may have invalid alignment
grt-rtis.ads:187:04: warning: alignment of "Ghdl_Rtin_Generate" (4) is stricter than alignment of "Ghdl_Rti_Common" (1)
grt-rtis.ads:187:04: warning: resulting access value may have invalid alignment
grt-rtis.ads:198:04: warning: alignment of "Ghdl_Rtin_Block_Filename" (4) is stricter than alignment of "Ghdl_Rti_Common" (1)
grt-rtis.ads:198:04: warning: resulting access value may have invalid alignment
grt-rtis.ads:216:04: warning: alignment of "Ghdl_Rtin_Object" (4) is stricter than alignment of "Ghdl_Rti_Common" (1)
grt-rtis.ads:216:04: warning: resulting access value may have invalid alignment
grt-rtis.ads:231:04: warning: alignment of "Ghdl_Rtin_Instance" (4) is stricter than alignment of "Ghdl_Rti_Common" (1)
grt-rtis.ads:231:04: warning: resulting access value may have invalid alignment
grt-rtis.ads:259:04: warning: alignment of "Ghdl_Rtin_Component" (4) is stricter than alignment of "Ghdl_Rti_Common" (1)
grt-rtis.ads:259:04: warning: resulting access value may have invalid alignment
grt-rtis.ads:272:04: warning: alignment of "Ghdl_Rtin_Type_Enum" (4) is stricter than alignment of "Ghdl_Rti_Common" (1)
grt-rtis.ads:272:04: warning: resulting access value may have invalid alignment
grt-rtis.ads:281:04: warning: alignment of "Ghdl_Rtin_Type_Scalar" (4) is stricter than alignment of "Ghdl_Rti_Common" (1)
grt-rtis.ads:281:04: warning: resulting access value may have invalid alignment
grt-rtis.ads:295:04: warning: alignment of "Ghdl_Rtin_Subtype_Scalar" (4) is stricter than alignment of "Ghdl_Rti_Common" (1)
grt-rtis.ads:295:04: warning: resulting access value may have invalid alignment
grt-rtis.ads:324:04: warning: alignment of "Ghdl_Rtin_Type_Array" (4) is stricter than alignment of "Ghdl_Rti_Common" (1)
grt-rtis.ads:324:04: warning: resulting access value may have invalid alignment
grt-rtis.ads:342:04: warning: alignment of "Ghdl_Rtin_Subtype_Composite" (4) is stricter than alignment of "Ghdl_Rti_Common" (1)
grt-rtis.ads:342:04: warning: resulting access value may have invalid alignment
grt-rtis.ads:354:04: warning: alignment of "Ghdl_Rtin_Type_Fileacc" (4) is stricter than alignment of "Ghdl_Rti_Common" (1)
grt-rtis.ads:354:04: warning: resulting access value may have invalid alignment
grt-rtis.ads:399:04: warning: alignment of "Ghdl_Rtin_Element" (4) is stricter than alignment of "Ghdl_Rti_Common" (1)
grt-rtis.ads:399:04: warning: resulting access value may have invalid alignment
grt-rtis.ads:415:04: warning: alignment of "Ghdl_Rtin_Type_Record" (4) is stricter than alignment of "Ghdl_Rti_Common" (1)
grt-rtis.ads:415:04: warning: resulting access value may have invalid alignment
grt-rtis.ads:425:04: warning: alignment of "Ghdl_Rtin_Unit64" (8) is stricter than alignment of "Ghdl_Rti_Common" (1)
grt-rtis.ads:425:04: warning: resulting access value may have invalid alignment
grt-rtis.ads:435:04: warning: alignment of "Ghdl_Rtin_Unitptr" (4) is stricter than alignment of "Ghdl_Rti_Common" (1)
grt-rtis.ads:435:04: warning: resulting access value may have invalid alignment
grt-rtis.ads:448:04: warning: alignment of "Ghdl_Rtin_Type_Physical" (4) is stricter than alignment of "Ghdl_Rti_Common" (1)
grt-rtis.ads:448:04: warning: resulting access value may have invalid alignment
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-c.ads
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-callbacks.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-avhpi.adb
grt-avhpi.adb:150:63: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4)
grt-avhpi.adb:152:55: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Array" (4)
grt-avhpi.adb:213:58: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4)
grt-avhpi.adb:239:10: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Array" (4)
grt-avhpi.adb:264:51: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4)
grt-avhpi.adb:278:42: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4)
grt-avhpi.adb:292:48: warning: source alignment (1) < alignment of "Ghdl_Rtin_Generate" (4)
grt-avhpi.adb:334:48: warning: source alignment (1) < alignment of "Ghdl_Rtin_Generate" (4)
grt-avhpi.adb:353:59: warning: source alignment (1) < alignment of "Ghdl_Rtin_Instance" (4)
grt-avhpi.adb:385:53: warning: source alignment (1) < alignment of "Ghdl_Rtin_Object" (4)
grt-avhpi.adb:389:53: warning: source alignment (1) < alignment of "Ghdl_Rtin_Object" (4)
grt-avhpi.adb:393:53: warning: source alignment (1) < alignment of "Ghdl_Rtin_Object" (4)
grt-avhpi.adb:397:53: warning: source alignment (1) < alignment of "Ghdl_Rtin_Object" (4)
grt-avhpi.adb:401:54: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4)
grt-avhpi.adb:403:52: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Array" (4)
grt-avhpi.adb:452:51: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4)
grt-avhpi.adb:465:50: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4)
grt-avhpi.adb:468:50: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4)
grt-avhpi.adb:510:60: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4)
grt-avhpi.adb:525:51: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4)
grt-avhpi.adb:576:51: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Enum" (4)
grt-avhpi.adb:582:52: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4)
grt-avhpi.adb:588:50: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4)
grt-avhpi.adb:591:53: warning: source alignment (1) < alignment of "Ghdl_Rtin_Generate" (4)
grt-avhpi.adb:598:55: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4)
grt-avhpi.adb:600:50: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4)
grt-avhpi.adb:611:56: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Scalar" (4)
grt-avhpi.adb:636:60: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block_Filename" (4)
grt-avhpi.adb:641:51: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4)
grt-avhpi.adb:699:55: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Enum" (4)
grt-avhpi.adb:701:57: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Scalar" (4)
grt-avhpi.adb:703:60: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Scalar" (4)
grt-avhpi.adb:705:56: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Array" (4)
grt-avhpi.adb:711:56: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4)
grt-avhpi.adb:716:61: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4)
grt-avhpi.adb:717:56: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4)
grt-avhpi.adb:730:38: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4)
grt-avhpi.adb:730:45: warning: source alignment (1) < alignment of "Ghdl_Rtin_Generate" (4)
grt-avhpi.adb:734:56: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4)
grt-avhpi.adb:736:52: warning: source alignment (1) < alignment of "Ghdl_Rtin_Object" (4)
grt-avhpi.adb:745:57: warning: source alignment (1) < alignment of "Ghdl_Rtin_Generate" (4)
grt-avhpi.adb:750:28: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Scalar" (4)
grt-avhpi.adb:781:66: warning: source alignment (1) < alignment of "Ghdl_Rtin_Component" (4)
grt-avhpi.adb:798:61: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4)
grt-avhpi.adb:800:59: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4)
grt-avhpi.adb:802:62: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Scalar" (4)
grt-avhpi.adb:886:61: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4)
grt-avhpi.adb:887:53: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4)
grt-avhpi.adb:901:56: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4)
grt-avhpi.adb:904:58: warning: source alignment (1) < alignment of "Ghdl_Rtin_Object" (4)
grt-avhpi.adb:958:61: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4)
grt-avhpi.adb:965:58: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Scalar" (4)
grt-avhpi.adb:984:63: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4)
grt-avhpi.adb:990:60: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Array" (4)
grt-avhpi.adb:1018:69: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4)
grt-avhpi.adb:1020:67: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Array" (4)
grt-avhpi.adb:1153:56: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4)
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-avhpi_utils.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-rtis_addr.adb
grt-rtis_addr.adb:55:37: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4)
grt-rtis_addr.adb:90:54: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4)
grt-rtis_addr.adb:99:57: warning: source alignment (1) < alignment of "Ghdl_Rtin_Generate" (4)
grt-rtis_addr.adb:130:44: warning: source alignment (1) < alignment of "Ghdl_Rtin_Instance" (4)
grt-rtis_addr.adb:141:69: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4)
grt-rtis_addr.adb:207:36: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4)
grt-rtis_addr.adb:212:38: warning: source alignment (1) < alignment of "Ghdl_Rtin_Object" (4)
grt-rtis_addr.adb:212:52: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Scalar" (4)
grt-rtis_addr.adb:341:56: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Scalar" (4)
grt-rtis_addr.adb:350:59: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4)
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-errors_exec.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-table.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-rtis_utils.adb
grt-rtis_utils.adb:150:47: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Enum" (4)
grt-rtis_utils.adb:560:54: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Physical" (4)
grt-rtis_utils.adb:571:54: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Physical" (4)
grt-rtis_utils.adb:595:45: warning: source alignment (1) < alignment of "Ghdl_Rtin_Unit64" (8)
grt-rtis_utils.adb:597:46: warning: source alignment (1) < alignment of "Ghdl_Rtin_Unitptr" (4)
grt-rtis_utils.adb:609:45: warning: source alignment (1) < alignment of "Ghdl_Rtin_Unit64" (8)
grt-rtis_utils.adb:613:52: warning: source alignment (1) < alignment of "Ghdl_Rtin_Unitptr" (4)
grt-rtis_utils.adb:616:48: warning: source alignment (1) < alignment of "Ghdl_Rtin_Unitptr" (4)
grt-rtis_utils.adb:630:47: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Enum" (4)
grt-rtis_utils.adb:672:45: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4)
grt-rtis_utils.adb:714:66: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4)
grt-rtis_utils.adb:727:56: warning: source alignment (1) < alignment of "Ghdl_Rtin_Object" (4)
grt-rtis_utils.adb:739:51: warning: source alignment (1) < alignment of "Ghdl_Rtin_Generate" (4)
grt-rtis_utils.adb:745:58: warning: source alignment (1) < alignment of "Ghdl_Rtin_Object" (4)
grt-rtis_utils.adb:792:55: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block_Filename" (4)
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-to_strings.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-backtraces.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-fcvt.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-disp_rti.adb
grt-disp_rti.adb:48:37: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Enum" (4)
grt-disp_rti.adb:113:53: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Physical" (4)
grt-disp_rti.adb:119:53: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Physical" (4)
grt-disp_rti.adb:132:37: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Enum" (4)
grt-disp_rti.adb:257:45: warning: source alignment (1) < alignment of "Ghdl_Rtin_Element" (4)
grt-disp_rti.adb:282:57: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Scalar" (4)
grt-disp_rti.adb:296:54: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4)
grt-disp_rti.adb:298:49: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Array" (4)
grt-disp_rti.adb:305:54: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4)
grt-disp_rti.adb:307:49: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Array" (4)
grt-disp_rti.adb:328:48: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Record" (4)
grt-disp_rti.adb:341:48: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Record" (4)
grt-disp_rti.adb:348:54: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4)
grt-disp_rti.adb:350:50: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Record" (4)
grt-disp_rti.adb:357:54: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4)
grt-disp_rti.adb:359:50: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Record" (4)
grt-disp_rti.adb:533:46: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Enum" (4)
grt-disp_rti.adb:542:46: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Enum" (4)
grt-disp_rti.adb:560:56: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Scalar" (4)
grt-disp_rti.adb:570:52: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Enum" (4)
grt-disp_rti.adb:573:54: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Scalar" (4)
grt-disp_rti.adb:622:45: warning: source alignment (1) < alignment of "Ghdl_Rtin_Element" (4)
grt-disp_rti.adb:704:56: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Scalar" (4)
grt-disp_rti.adb:719:52: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Enum" (4)
grt-disp_rti.adb:722:54: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Scalar" (4)
grt-disp_rti.adb:725:55: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Fileacc" (4)
grt-disp_rti.adb:728:54: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Record" (4)
grt-disp_rti.adb:732:54: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4)
grt-disp_rti.adb:757:54: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4)
grt-disp_rti.adb:772:54: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4)
grt-disp_rti.adb:781:54: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Scalar" (4)
grt-disp_rti.adb:1022:56: warning: source alignment (1) < alignment of "Ghdl_Rtin_Component" (4)
grt-disp_rti.adb:1091:54: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Scalar" (4)
grt-disp_rti.adb:1105:56: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Physical" (4)
grt-disp_rti.adb:1124:62: warning: source alignment (1) < alignment of "Ghdl_Rtin_Unit64" (8)
grt-disp_rti.adb:1130:63: warning: source alignment (1) < alignment of "Ghdl_Rtin_Unitptr" (4)
grt-disp_rti.adb:1134:63: warning: source alignment (1) < alignment of "Ghdl_Rtin_Unitptr" (4)
grt-disp_rti.adb:1180:41: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Array" (4)
grt-disp_rti.adb:1204:41: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Array" (4)
grt-disp_rti.adb:1250:45: warning: source alignment (1) < alignment of "Ghdl_Rtin_Element" (4)
grt-disp_rti.adb:1266:42: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Record" (4)
grt-disp_rti.adb:1318:47: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4)
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-disp_tree.adb
grt-disp_tree.adb:94:42: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4)
grt-disp_tree.adb:104:47: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4)
grt-disp_tree.adb:106:53: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4)
grt-disp_tree.adb:108:56: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Scalar" (4)
grt-disp_tree.adb:116:45: warning: source alignment (1) < alignment of "Ghdl_Rtin_Generate" (4)
grt-disp_tree.adb:118:45: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4)
grt-disp_tree.adb:120:46: warning: source alignment (1) < alignment of "Ghdl_Rtin_Object" (4)
grt-disp_tree.adb:134:49: warning: source alignment (1) < alignment of "Ghdl_Rtin_Object" (4)
grt-disp_tree.adb:136:51: warning: source alignment (1) < alignment of "Ghdl_Rtin_Instance" (4)
grt-disp_tree.adb:250:45: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4)
grt-disp_tree.adb:261:48: warning: source alignment (1) < alignment of "Ghdl_Rtin_Generate" (4)
grt-disp_tree.adb:309:54: warning: source alignment (1) < alignment of "Ghdl_Rtin_Instance" (4)
grt-disp_tree.adb:311:62: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4)
grt-disp_tree.adb:316:62: warning: source alignment (1) < alignment of "Ghdl_Rtin_Component" (4)
grt-disp_tree.adb:407:45: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4)
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-fst.adb
grt-fst.adb:568:37: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Enum" (4)
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-psl.adb
grt-psl.adb:106:39: warning: source alignment (1) < alignment of "Ghdl_Rtin_Object" (4)
grt-psl.adb:159:04: warning: in instantiation at grt-rtis_utils.adb:48
grt-psl.adb:159:04: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4)
grt-psl.adb:159:04: warning: in instantiation at grt-rtis_utils.adb:59
grt-psl.adb:159:04: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4)
grt-psl.adb:159:04: warning: in instantiation at grt-rtis_utils.adb:67
grt-psl.adb:159:04: warning: source alignment (1) < alignment of "Ghdl_Rtin_Generate" (4)
grt-psl.adb:159:04: warning: in instantiation at grt-rtis_utils.adb:91
grt-psl.adb:159:04: warning: source alignment (1) < alignment of "Ghdl_Rtin_Instance" (4)
grt-psl.adb:159:04: warning: in instantiation at grt-rtis_utils.adb:120
grt-psl.adb:159:04: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4)
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-vcd.adb
grt-vcd.adb:279:49: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Scalar" (4)
grt-vcd.adb:320:43: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Scalar" (4)
grt-vcd.adb:370:54: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4)
grt-vcd.adb:372:49: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Array" (4)
grt-vcd.adb:385:47: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Array" (4)
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-vcdz.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-vital_annotate.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-vpi.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-waves.adb
grt-waves.adb:616:46: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Enum" (4)
grt-waves.adb:628:48: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Scalar" (4)
grt-waves.adb:636:50: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Physical" (4)
grt-waves.adb:649:51: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Scalar" (4)
grt-waves.adb:657:47: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Array" (4)
grt-waves.adb:669:48: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Record" (4)
grt-waves.adb:674:54: warning: source alignment (1) < alignment of "Ghdl_Rtin_Element" (4)
grt-waves.adb:685:54: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4)
grt-waves.adb:865:04: warning: in instantiation at grt-rtis_utils.adb:404
grt-waves.adb:865:04: warning: source alignment (1) < alignment of "Ghdl_Rtin_Element" (4)
grt-waves.adb:865:04: warning: in instantiation at grt-rtis_utils.adb:425
grt-waves.adb:865:04: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Scalar" (4)
grt-waves.adb:865:04: warning: in instantiation at grt-rtis_utils.adb:436
grt-waves.adb:865:04: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4)
grt-waves.adb:865:04: warning: in instantiation at grt-rtis_utils.adb:438
grt-waves.adb:865:04: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Array" (4)
grt-waves.adb:865:04: warning: in instantiation at grt-rtis_utils.adb:445
grt-waves.adb:865:04: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4)
grt-waves.adb:865:04: warning: in instantiation at grt-rtis_utils.adb:447
grt-waves.adb:865:04: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Array" (4)
grt-waves.adb:865:04: warning: in instantiation at grt-rtis_utils.adb:469
grt-waves.adb:865:04: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Record" (4)
grt-waves.adb:865:04: warning: in instantiation at grt-rtis_utils.adb:482
grt-waves.adb:865:04: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4)
grt-waves.adb:865:04: warning: in instantiation at grt-rtis_utils.adb:484
grt-waves.adb:865:04: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Record" (4)
grt-waves.adb:865:04: warning: in instantiation at grt-rtis_utils.adb:491
grt-waves.adb:865:04: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4)
grt-waves.adb:865:04: warning: in instantiation at grt-rtis_utils.adb:493
grt-waves.adb:865:04: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Record" (4)
grt-waves.adb:875:39: warning: source alignment (1) < alignment of "Ghdl_Rtin_Object" (4)
grt-waves.adb:1247:51: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Scalar" (4)
grt-waves.adb:1296:47: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Array" (4)
grt-waves.adb:1322:48: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Record" (4)
grt-waves.adb:1328:54: warning: source alignment (1) < alignment of "Ghdl_Rtin_Element" (4)
grt-waves.adb:1369:43: warning: source alignment (1) < alignment of "Ghdl_Rtin_Object" (4)
grt-waves.adb:1388:35: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4)
grt-waves.adb:1415:35: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4)
grt-waves.adb:1440:52: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Enum" (4)
grt-waves.adb:1453:54: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Scalar" (4)
grt-waves.adb:1461:56: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Physical" (4)
grt-waves.adb:1473:59: warning: source alignment (1) < alignment of "Ghdl_Rtin_Unit64" (8)
grt-waves.adb:1478:66: warning: source alignment (1) < alignment of "Ghdl_Rtin_Unitptr" (4)
grt-waves.adb:1482:66: warning: source alignment (1) < alignment of "Ghdl_Rtin_Unitptr" (4)
grt-waves.adb:1497:57: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Scalar" (4)
grt-waves.adb:1510:53: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Array" (4)
grt-waves.adb:1522:60: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4)
grt-waves.adb:1535:54: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Record" (4)
grt-waves.adb:1541:60: warning: source alignment (1) < alignment of "Ghdl_Rtin_Element" (4)
grt-waves.adb:1549:60: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4)
grt-waves.adb:1566:60: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4)
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-vstrings.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-disp.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-disp_signals.adb
grt-disp_signals.adb:57:07: warning: in instantiation at grt-rtis_utils.adb:404
grt-disp_signals.adb:57:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Element" (4)
grt-disp_signals.adb:57:07: warning: in instantiation at grt-rtis_utils.adb:425
grt-disp_signals.adb:57:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Scalar" (4)
grt-disp_signals.adb:57:07: warning: in instantiation at grt-rtis_utils.adb:436
grt-disp_signals.adb:57:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4)
grt-disp_signals.adb:57:07: warning: in instantiation at grt-rtis_utils.adb:438
grt-disp_signals.adb:57:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Array" (4)
grt-disp_signals.adb:57:07: warning: in instantiation at grt-rtis_utils.adb:445
grt-disp_signals.adb:57:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4)
grt-disp_signals.adb:57:07: warning: in instantiation at grt-rtis_utils.adb:447
grt-disp_signals.adb:57:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Array" (4)
grt-disp_signals.adb:57:07: warning: in instantiation at grt-rtis_utils.adb:469
grt-disp_signals.adb:57:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Record" (4)
grt-disp_signals.adb:57:07: warning: in instantiation at grt-rtis_utils.adb:482
grt-disp_signals.adb:57:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4)
grt-disp_signals.adb:57:07: warning: in instantiation at grt-rtis_utils.adb:484
grt-disp_signals.adb:57:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Record" (4)
grt-disp_signals.adb:57:07: warning: in instantiation at grt-rtis_utils.adb:491
grt-disp_signals.adb:57:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4)
grt-disp_signals.adb:57:07: warning: in instantiation at grt-rtis_utils.adb:493
grt-disp_signals.adb:57:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Record" (4)
grt-disp_signals.adb:74:48: warning: source alignment (1) < alignment of "Ghdl_Rtin_Object" (4)
grt-disp_signals.adb:85:07: warning: in instantiation at grt-rtis_utils.adb:48
grt-disp_signals.adb:85:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4)
grt-disp_signals.adb:85:07: warning: in instantiation at grt-rtis_utils.adb:59
grt-disp_signals.adb:85:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4)
grt-disp_signals.adb:85:07: warning: in instantiation at grt-rtis_utils.adb:67
grt-disp_signals.adb:85:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Generate" (4)
grt-disp_signals.adb:85:07: warning: in instantiation at grt-rtis_utils.adb:91
grt-disp_signals.adb:85:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Instance" (4)
grt-disp_signals.adb:85:07: warning: in instantiation at grt-rtis_utils.adb:120
grt-disp_signals.adb:85:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4)
grt-disp_signals.adb:105:42: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4)
grt-disp_signals.adb:520:07: warning: in instantiation at grt-rtis_utils.adb:404
grt-disp_signals.adb:520:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Element" (4)
grt-disp_signals.adb:520:07: warning: in instantiation at grt-rtis_utils.adb:425
grt-disp_signals.adb:520:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Scalar" (4)
grt-disp_signals.adb:520:07: warning: in instantiation at grt-rtis_utils.adb:436
grt-disp_signals.adb:520:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4)
grt-disp_signals.adb:520:07: warning: in instantiation at grt-rtis_utils.adb:438
grt-disp_signals.adb:520:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Array" (4)
grt-disp_signals.adb:520:07: warning: in instantiation at grt-rtis_utils.adb:445
grt-disp_signals.adb:520:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4)
grt-disp_signals.adb:520:07: warning: in instantiation at grt-rtis_utils.adb:447
grt-disp_signals.adb:520:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Array" (4)
grt-disp_signals.adb:520:07: warning: in instantiation at grt-rtis_utils.adb:469
grt-disp_signals.adb:520:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Record" (4)
grt-disp_signals.adb:520:07: warning: in instantiation at grt-rtis_utils.adb:482
grt-disp_signals.adb:520:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4)
grt-disp_signals.adb:520:07: warning: in instantiation at grt-rtis_utils.adb:484
grt-disp_signals.adb:520:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Record" (4)
grt-disp_signals.adb:520:07: warning: in instantiation at grt-rtis_utils.adb:491
grt-disp_signals.adb:520:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Subtype_Composite" (4)
grt-disp_signals.adb:520:07: warning: in instantiation at grt-rtis_utils.adb:493
grt-disp_signals.adb:520:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Type_Record" (4)
grt-disp_signals.adb:534:52: warning: source alignment (1) < alignment of "Ghdl_Rtin_Object" (4)
grt-disp_signals.adb:548:07: warning: in instantiation at grt-rtis_utils.adb:48
grt-disp_signals.adb:548:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4)
grt-disp_signals.adb:548:07: warning: in instantiation at grt-rtis_utils.adb:59
grt-disp_signals.adb:548:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4)
grt-disp_signals.adb:548:07: warning: in instantiation at grt-rtis_utils.adb:67
grt-disp_signals.adb:548:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Generate" (4)
grt-disp_signals.adb:548:07: warning: in instantiation at grt-rtis_utils.adb:91
grt-disp_signals.adb:548:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Instance" (4)
grt-disp_signals.adb:548:07: warning: in instantiation at grt-rtis_utils.adb:120
grt-disp_signals.adb:548:07: warning: source alignment (1) < alignment of "Ghdl_Rtin_Block" (4)
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-threads.ads
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-stack2.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-vstrings_io.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/grt-backtraces-impl.ads
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-fst_api.ads
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-rtis_types.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-wave_opt-design.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-zlib.ads
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-sdf.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/version.ads
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-avls.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-ghw.ads
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-unithread.adb
arm-linux-gnueabihf-gcc-10 -c -I./ -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/src/grt/grt-backtraces-gcc.adb
sed -e '/^P /s/P /P NR /' < grt/ghdl_main.ali > grt/ghdl_main-tmp.ali
mv grt/ghdl_main-tmp.ali grt/ghdl_main.ali
cd grt && gnatmake -b ghdl_main.ali -bargs  -Lgrt_ -o run-bind.adb -n
arm-linux-gnueabihf-gnatbind-10 -Lgrt_ -o run-bind.adb -n -x ghdl_main.ali
cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -u -c -g -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -o run-bind.o run-bind.adb
arm-linux-gnueabihf-gcc-10 -c -g -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY run-bind.adb
cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -u -c -g -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -o main.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/main.adb -Igrt
arm-linux-gnueabihf-gcc-10 -c -I/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/ -g -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -Igrt -I- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/main.adb
sed -e "1,/-- *BEGIN/d" -e "/-- *END/,\$d" \
  -e "s/   --   //" < grt/run-bind.adb | tr -d '\r' > grt/grt-files
rm -f -f lib/ghdl/gcc/libgrt.a
ar rcv lib/ghdl/gcc/libgrt.a `sed -e "/^-/d" -e "s!^!grt/!" < grt/grt-files` \
 jumps.o times.o grt-cstdio.o grt-cgnatrts.o grt-cvpi.o grt-cdynload.o fstapi.o lz4.o fastlz.o grt/run-bind.o grt/main.o
a - grt/./grt.o
a - grt/./grt-c.o
a - grt/./grt-fcvt.o
a - grt/./grt-severity.o
a - grt/./grt-stdio.o
a - grt/./grt-strings.o
a - grt/./grt-types.o
a - grt/./grt-astdio.o
a - grt/./grt-callbacks.o
a - grt/./grt-hooks.o
a - grt/./grt-wave_opt.o
a - grt/./grt-wave_opt-file.o
a - grt/./grt-astdio-vhdl.o
a - grt/./grt-errors.o
a - grt/./grt-options.o
a - grt/./grt-rtis.o
a - grt/./grt-rtis_binding.o
a - grt/./grt-shadow_ieee.o
a - grt/./grt-vstrings.o
a - grt/./grt-wave_opt-design.o
a - grt/./grt-avls.o
a - grt/./grt-fst_api.o
a - grt/./grt-ghw.o
a - grt/./grt-rtis_addr.o
a - grt/./grt-stack2.o
a - grt/./grt-table.o
a - grt/./grt-to_strings.o
a - grt/./grt-vstrings_io.o
a - grt/./grt-rtis_utils.o
a - grt/./grt-avhpi.o
a - grt/./grt-avhpi_utils.o
a - grt/./grt-backtraces-gcc.o
a - grt/./grt-backtraces-impl.o
a - grt/./grt-backtraces.o
a - grt/./grt-disp.o
a - grt/./grt-disp_signals.o
a - grt/./grt-stats.o
a - grt/./grt-unithread.o
a - grt/./grt-threads.o
a - grt/./grt-errors_exec.o
a - grt/./grt-processes.o
a - grt/./grt-signals.o
a - grt/./grt-disp_rti.o
a - grt/./grt-disp_tree.o
a - grt/./grt-files.o
a - grt/./grt-images.o
a - grt/./grt-lib.o
a - grt/./grt-names.o
a - grt/./grt-psl.o
a - grt/./grt-rtis_types.o
a - grt/./grt-vital_annotate.o
a - grt/./grt-sdf.o
a - grt/./grt-std_logic_1164.o
a - grt/./grt-values.o
a - grt/./grt-change_generics.o
a - grt/./grt-vcd.o
a - grt/./grt-fst.o
a - grt/./grt-waves.o
a - grt/./grt-zlib.o
a - grt/./grt-vcdz.o
a - grt/./version.o
a - grt/./grt-vpi.o
a - grt/./grt-modules.o
a - grt/./grt-main.o
a - grt/./ghdl_main.o
a - jumps.o
a - times.o
a - grt-cstdio.o
a - grt-cgnatrts.o
a - grt-cvpi.o
a - grt-cdynload.o
a - fstapi.o
a - lz4.o
a - fastlz.o
a - grt/run-bind.o
a - grt/main.o
ranlib lib/ghdl/gcc/libgrt.a
gnatgcc -c -g -o vpi_thunk.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/vpi_thunk.c -fPIC -O -Wall
gnatgcc -g -o libghdlvpi.so vpi_thunk.o -shared -Wl,-z,relro -Wl,-z,now -Wl,-soname,libghdlvpi.so
make[2]: Leaving directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc'
make[2]: Entering directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc'
make -f ../../libraries/Makefile.inc LIBSRC_DIR="../../libraries" LIBDST_DIR="lib/ghdl/gcc" enable_gplcompat="true" LN="ln -s" CP="cp" MKDIR="mkdir" GHDL="/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl" GHDL_FLAGS="--GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl1 " vhdl.libs.all libs.vhdl.standard
make[3]: Entering directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc'
sed -e '/--!V87/s/^/  --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/std/textio.vhdl > lib/ghdl/gcc/src/std/v87/textio.vhdl
sed -e '/--!V87/s/^/  --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/std/textio-body.vhdl > lib/ghdl/gcc/src/std/v87/textio-body.vhdl
rm -f -f lib/ghdl/gcc/std/v87/std-obj87.cf
cd lib/ghdl/gcc/std/v87; \
for i in std/v87/textio.vhdl std/v87/textio-body.vhdl; do \
  cmd="/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl1  --std=87 --bootstrap --work=std ../../src/$i"; \
  echo $cmd; eval $cmd || exit 1; \
done
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl1 --std=87 --bootstrap --work=std ../../src/std/v87/textio.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl1 --std=87 --bootstrap --work=std ../../src/std/v87/textio-body.vhdl
../../src/std/v87/textio-body.vhdl:457:35:warning: declaration of "left" hides enumeration literal left [-Whide]
../../src/std/v87/textio-body.vhdl:488:40:warning: declaration of "left" hides enumeration literal left [-Whide]
../../src/std/v87/textio-body.vhdl:844:14:warning: declaration of "left" hides enumeration literal left [-Whide]
../../src/std/v87/textio-body.vhdl:846:26:warning: declaration of "right" hides enumeration literal right [-Whide]
sed -e '/--!V87/s/^/  --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/std_logic_1164.vhdl > lib/ghdl/gcc/src/ieee/v87/std_logic_1164.vhdl
sed -e '/--!V87/s/^/  --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/std_logic_1164-body.vhdl > lib/ghdl/gcc/src/ieee/v87/std_logic_1164-body.vhdl
sed -e '/--!V87/s/^/  --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_bit.vhdl > lib/ghdl/gcc/src/ieee/v87/numeric_bit.vhdl
sed -e '/--!V87/s/^/  --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_bit-body.vhdl > lib/ghdl/gcc/src/ieee/v87/numeric_bit-body.vhdl
sed -e '/--!V87/s/^/  --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_std.vhdl > lib/ghdl/gcc/src/ieee/v87/numeric_std.vhdl
sed -e '/--!V87/s/^/  --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_std-body.vhdl > lib/ghdl/gcc/src/ieee/v87/numeric_std-body.vhdl
cp ../../libraries/upf/upf.vhdl lib/ghdl/gcc/src/upf/upf.vhdl
cp ../../libraries/upf/upf-body.vhdl lib/ghdl/gcc/src/upf/upf-body.vhdl
cp ../../libraries/synopsys/std_logic_arith.vhdl lib/ghdl/gcc/src/synopsys/std_logic_arith.vhdl
cp ../../libraries/synopsys/std_logic_unsigned.vhdl lib/ghdl/gcc/src/synopsys/std_logic_unsigned.vhdl
cp ../../libraries/synopsys/std_logic_signed.vhdl lib/ghdl/gcc/src/synopsys/std_logic_signed.vhdl
cp ../../libraries/synopsys/std_logic_misc.vhdl lib/ghdl/gcc/src/synopsys/std_logic_misc.vhdl
cp ../../libraries/synopsys/std_logic_misc-body.vhdl lib/ghdl/gcc/src/synopsys/std_logic_misc-body.vhdl
cp ../../libraries/synopsys/std_logic_textio.vhdl lib/ghdl/gcc/src/synopsys/std_logic_textio.vhdl
echo dep: lib/ghdl/gcc/src/synopsys/std_logic_arith.vhdl lib/ghdl/gcc/src/synopsys/std_logic_unsigned.vhdl lib/ghdl/gcc/src/synopsys/std_logic_signed.vhdl lib/ghdl/gcc/src/synopsys/std_logic_misc.vhdl lib/ghdl/gcc/src/synopsys/std_logic_misc-body.vhdl lib/ghdl/gcc/src/synopsys/std_logic_textio.vhdl
dep: lib/ghdl/gcc/src/synopsys/std_logic_arith.vhdl lib/ghdl/gcc/src/synopsys/std_logic_unsigned.vhdl lib/ghdl/gcc/src/synopsys/std_logic_signed.vhdl lib/ghdl/gcc/src/synopsys/std_logic_misc.vhdl lib/ghdl/gcc/src/synopsys/std_logic_misc-body.vhdl lib/ghdl/gcc/src/synopsys/std_logic_textio.vhdl
rm -f -f lib/ghdl/gcc/ieee/v87/ieee-obj87.cf
cd lib/ghdl/gcc/ieee/v87; \
for i in ieee/v87/std_logic_1164.vhdl ieee/v87/std_logic_1164-body.vhdl ieee/v87/numeric_bit.vhdl ieee/v87/numeric_bit-body.vhdl ieee/v87/numeric_std.vhdl ieee/v87/numeric_std-body.vhdl upf/upf.vhdl upf/upf-body.vhdl ; do \
          cmd="/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl1  --std=87 -P../.. --work=ieee ../../src/$i";\
  echo $cmd; eval $cmd || exit 1; \
done; \
for i in synopsys/std_logic_arith.vhdl synopsys/std_logic_unsigned.vhdl synopsys/std_logic_signed.vhdl synopsys/std_logic_misc.vhdl synopsys/std_logic_misc-body.vhdl synopsys/std_logic_textio.vhdl; do \
          cmd="/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl1  --std=87 -P../.. --work=ieee -fsynopsys ../../src/$i";\
  echo $cmd; eval $cmd || exit 1; \
done
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl1 --std=87 -P../.. --work=ieee ../../src/ieee/v87/std_logic_1164.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v87/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl1 --std=87 -P../.. --work=ieee ../../src/ieee/v87/std_logic_1164-body.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v87/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl1 --std=87 -P../.. --work=ieee ../../src/ieee/v87/numeric_bit.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v87/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl1 --std=87 -P../.. --work=ieee ../../src/ieee/v87/numeric_bit-body.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v87/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl1 --std=87 -P../.. --work=ieee ../../src/ieee/v87/numeric_std.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v87/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl1 --std=87 -P../.. --work=ieee ../../src/ieee/v87/numeric_std-body.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v87/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl1 --std=87 -P../.. --work=ieee ../../src/upf/upf.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v87/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl1 --std=87 -P../.. --work=ieee ../../src/upf/upf-body.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v87/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl1 --std=87 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_arith.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v87/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl1 --std=87 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_unsigned.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v87/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl1 --std=87 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_signed.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v87/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl1 --std=87 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_misc.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v87/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl1 --std=87 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_misc-body.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v87/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl1 --std=87 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_textio.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v87/' not found
sed -e '/--V87/s/^/  --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/std/textio.vhdl > lib/ghdl/gcc/src/std/v93/textio.vhdl
sed -e '/--V87/s/^/  --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/std/textio-body.vhdl > lib/ghdl/gcc/src/std/v93/textio-body.vhdl
rm -f -rf lib/ghdl/gcc/std/v93/std-obj93.cf
cd lib/ghdl/gcc/std/v93; \
for i in std/v93/textio.vhdl std/v93/textio-body.vhdl; do \
  cmd="/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl1  --std=93 --bootstrap --work=std ../../src/$i"; \
  echo $cmd; eval $cmd || exit 1; \
done
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl1 --std=93 --bootstrap --work=std ../../src/std/v93/textio.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl1 --std=93 --bootstrap --work=std ../../src/std/v93/textio-body.vhdl
../../src/std/v93/textio-body.vhdl:457:35:warning: declaration of "left" hides enumeration literal left [-Whide]
../../src/std/v93/textio-body.vhdl:488:40:warning: declaration of "left" hides enumeration literal left [-Whide]
../../src/std/v93/textio-body.vhdl:844:14:warning: declaration of "left" hides enumeration literal left [-Whide]
../../src/std/v93/textio-body.vhdl:846:26:warning: declaration of "right" hides enumeration literal right [-Whide]
sed -e '/--V87/s/^/  --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/std_logic_1164.vhdl > lib/ghdl/gcc/src/ieee/v93/std_logic_1164.vhdl
sed -e '/--V87/s/^/  --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/std_logic_1164-body.vhdl > lib/ghdl/gcc/src/ieee/v93/std_logic_1164-body.vhdl
sed -e '/--V87/s/^/  --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_bit.vhdl > lib/ghdl/gcc/src/ieee/v93/numeric_bit.vhdl
sed -e '/--V87/s/^/  --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_bit-body.vhdl > lib/ghdl/gcc/src/ieee/v93/numeric_bit-body.vhdl
sed -e '/--V87/s/^/  --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_std.vhdl > lib/ghdl/gcc/src/ieee/v93/numeric_std.vhdl
sed -e '/--V87/s/^/  --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_std-body.vhdl > lib/ghdl/gcc/src/ieee/v93/numeric_std-body.vhdl
cp ../../libraries/ieee/math_real.vhdl lib/ghdl/gcc/src/ieee/math_real.vhdl
cp ../../libraries/ieee/math_real-body.vhdl lib/ghdl/gcc/src/ieee/math_real-body.vhdl
cp ../../libraries/ieee/math_complex.vhdl lib/ghdl/gcc/src/ieee/math_complex.vhdl
cp ../../libraries/ieee/math_complex-body.vhdl lib/ghdl/gcc/src/ieee/math_complex-body.vhdl
rm -f -f lib/ghdl/gcc/ieee/v93/ieee-obj93.cf
cd lib/ghdl/gcc/ieee/v93; \
for i in ieee/v93/std_logic_1164.vhdl ieee/v93/std_logic_1164-body.vhdl ieee/v93/numeric_bit.vhdl ieee/v93/numeric_bit-body.vhdl ieee/v93/numeric_std.vhdl ieee/v93/numeric_std-body.vhdl ieee/math_real.vhdl ieee/math_real-body.vhdl ieee/math_complex.vhdl ieee/math_complex-body.vhdl upf/upf.vhdl upf/upf-body.vhdl ; do \
          cmd="/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl1  --std=93 -P../.. --work=ieee ../../src/$i"; \
  echo $cmd; eval $cmd || exit 1; \
done; \
for i in synopsys/std_logic_arith.vhdl synopsys/std_logic_unsigned.vhdl synopsys/std_logic_signed.vhdl synopsys/std_logic_misc.vhdl synopsys/std_logic_misc-body.vhdl synopsys/std_logic_textio.vhdl; do \
          cmd="/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl1  --std=93 -P../.. --work=ieee -fsynopsys ../../src/$i"; \
  echo $cmd; eval $cmd || exit 1; \
done
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl1 --std=93 -P../.. --work=ieee ../../src/ieee/v93/std_logic_1164.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl1 --std=93 -P../.. --work=ieee ../../src/ieee/v93/std_logic_1164-body.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl1 --std=93 -P../.. --work=ieee ../../src/ieee/v93/numeric_bit.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl1 --std=93 -P../.. --work=ieee ../../src/ieee/v93/numeric_bit-body.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl1 --std=93 -P../.. --work=ieee ../../src/ieee/v93/numeric_std.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl1 --std=93 -P../.. --work=ieee ../../src/ieee/v93/numeric_std-body.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl1 --std=93 -P../.. --work=ieee ../../src/ieee/math_real.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl1 --std=93 -P../.. --work=ieee ../../src/ieee/math_real-body.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found
../../src/ieee/math_real-body.vhdl:830:14:warning: declaration of "real_vector" hides type "real_vector" [-Whide]
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl1 --std=93 -P../.. --work=ieee ../../src/ieee/math_complex.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl1 --std=93 -P../.. --work=ieee ../../src/ieee/math_complex-body.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl1 --std=93 -P../.. --work=ieee ../../src/upf/upf.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl1 --std=93 -P../.. --work=ieee ../../src/upf/upf-body.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl1 --std=93 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_arith.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl1 --std=93 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_unsigned.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl1 --std=93 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_signed.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl1 --std=93 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_misc.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl1 --std=93 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_misc-body.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl1 --std=93 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_textio.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found
sed -e '/--V87/s/^/  --/' -e '/--!V08/s/^/  --/' -e '/--START-!V08/,/--END-!V08/s/^/--/' < ../../libraries/std/textio.vhdl > lib/ghdl/gcc/src/std/v08/textio.vhdl
sed -e '/--V87/s/^/  --/' -e '/--!V08/s/^/  --/' -e '/--START-!V08/,/--END-!V08/s/^/--/' < ../../libraries/std/textio-body.vhdl > lib/ghdl/gcc/src/std/v08/textio-body.vhdl
cp ../../libraries/std/env.vhdl lib/ghdl/gcc/src/std/env.vhdl
cp ../../libraries/std/env-body.vhdl lib/ghdl/gcc/src/std/env-body.vhdl
rm -f -f lib/ghdl/gcc/std/v08/std-obj08.cf
cd lib/ghdl/gcc/std/v08; \
for i in std/v08/textio.vhdl std/v08/textio-body.vhdl std/env.vhdl std/env-body.vhdl; do \
  cmd="/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl1  --std=08 --bootstrap --work=std ../../src/$i"; \
  echo $cmd; eval $cmd || exit 1; \
done
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl1 --std=08 --bootstrap --work=std ../../src/std/v08/textio.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl1 --std=08 --bootstrap --work=std ../../src/std/v08/textio-body.vhdl
../../src/std/v08/textio-body.vhdl:457:35:warning: declaration of "left" hides enumeration literal left [-Whide]
../../src/std/v08/textio-body.vhdl:488:40:warning: declaration of "left" hides enumeration literal left [-Whide]
../../src/std/v08/textio-body.vhdl:844:14:warning: declaration of "left" hides enumeration literal left [-Whide]
../../src/std/v08/textio-body.vhdl:846:26:warning: declaration of "right" hides enumeration literal right [-Whide]
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl1 --std=08 --bootstrap --work=std ../../src/std/env.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl1 --std=08 --bootstrap --work=std ../../src/std/env-body.vhdl
cp ../../libraries/ieee2008/std_logic_1164.vhdl lib/ghdl/gcc/src/ieee2008/std_logic_1164.vhdl
cp ../../libraries/ieee2008/std_logic_1164-body.vhdl lib/ghdl/gcc/src/ieee2008/std_logic_1164-body.vhdl
cp ../../libraries/ieee2008/std_logic_textio.vhdl lib/ghdl/gcc/src/ieee2008/std_logic_textio.vhdl
cp ../../libraries/ieee2008/math_real.vhdl lib/ghdl/gcc/src/ieee2008/math_real.vhdl
cp ../../libraries/ieee2008/math_real-body.vhdl lib/ghdl/gcc/src/ieee2008/math_real-body.vhdl
cp ../../libraries/ieee2008/math_complex.vhdl lib/ghdl/gcc/src/ieee2008/math_complex.vhdl
cp ../../libraries/ieee2008/math_complex-body.vhdl lib/ghdl/gcc/src/ieee2008/math_complex-body.vhdl
cp ../../libraries/ieee2008/numeric_bit.vhdl lib/ghdl/gcc/src/ieee2008/numeric_bit.vhdl
cp ../../libraries/ieee2008/numeric_bit-body.vhdl lib/ghdl/gcc/src/ieee2008/numeric_bit-body.vhdl
cp ../../libraries/ieee2008/numeric_bit_unsigned.vhdl lib/ghdl/gcc/src/ieee2008/numeric_bit_unsigned.vhdl
cp ../../libraries/ieee2008/numeric_bit_unsigned-body.vhdl lib/ghdl/gcc/src/ieee2008/numeric_bit_unsigned-body.vhdl
cp ../../libraries/ieee2008/numeric_std.vhdl lib/ghdl/gcc/src/ieee2008/numeric_std.vhdl
cp ../../libraries/ieee2008/numeric_std-body.vhdl lib/ghdl/gcc/src/ieee2008/numeric_std-body.vhdl
cp ../../libraries/ieee2008/numeric_std_unsigned.vhdl lib/ghdl/gcc/src/ieee2008/numeric_std_unsigned.vhdl
cp ../../libraries/ieee2008/numeric_std_unsigned-body.vhdl lib/ghdl/gcc/src/ieee2008/numeric_std_unsigned-body.vhdl
cp ../../libraries/ieee2008/fixed_float_types.vhdl lib/ghdl/gcc/src/ieee2008/fixed_float_types.vhdl
cp ../../libraries/ieee2008/fixed_generic_pkg.vhdl lib/ghdl/gcc/src/ieee2008/fixed_generic_pkg.vhdl
cp ../../libraries/ieee2008/fixed_generic_pkg-body.vhdl lib/ghdl/gcc/src/ieee2008/fixed_generic_pkg-body.vhdl
cp ../../libraries/ieee2008/fixed_pkg.vhdl lib/ghdl/gcc/src/ieee2008/fixed_pkg.vhdl
cp ../../libraries/ieee2008/float_generic_pkg.vhdl lib/ghdl/gcc/src/ieee2008/float_generic_pkg.vhdl
cp ../../libraries/ieee2008/float_generic_pkg-body.vhdl lib/ghdl/gcc/src/ieee2008/float_generic_pkg-body.vhdl
cp ../../libraries/ieee2008/float_pkg.vhdl lib/ghdl/gcc/src/ieee2008/float_pkg.vhdl
cp ../../libraries/ieee2008/ieee_bit_context.vhdl lib/ghdl/gcc/src/ieee2008/ieee_bit_context.vhdl
cp ../../libraries/ieee2008/ieee_std_context.vhdl lib/ghdl/gcc/src/ieee2008/ieee_std_context.vhdl
sed -e '/--V87/s/^/  --/' -e '/--!V08/s/^/  --/' -e '/--START-!V08/,/--END-!V08/s/^/--/' < ../../libraries/synopsys/std_logic_misc.vhdl > lib/ghdl/gcc/src/synopsys/v08/std_logic_misc.vhdl
sed -e '/--V87/s/^/  --/' -e '/--!V08/s/^/  --/' -e '/--START-!V08/,/--END-!V08/s/^/--/' < ../../libraries/synopsys/std_logic_misc-body.vhdl > lib/ghdl/gcc/src/synopsys/v08/std_logic_misc-body.vhdl
rm -f -f lib/ghdl/gcc/ieee/v08/ieee-obj08.cf
cd lib/ghdl/gcc/ieee/v08; \
for i in ieee2008/std_logic_1164.vhdl ieee2008/std_logic_1164-body.vhdl ieee2008/std_logic_textio.vhdl ieee2008/math_real.vhdl ieee2008/math_real-body.vhdl ieee2008/math_complex.vhdl ieee2008/math_complex-body.vhdl ieee2008/numeric_bit.vhdl ieee2008/numeric_bit-body.vhdl ieee2008/numeric_bit_unsigned.vhdl ieee2008/numeric_bit_unsigned-body.vhdl ieee2008/numeric_std.vhdl ieee2008/numeric_std-body.vhdl ieee2008/numeric_std_unsigned.vhdl ieee2008/numeric_std_unsigned-body.vhdl ieee2008/fixed_float_types.vhdl ieee2008/fixed_generic_pkg.vhdl ieee2008/fixed_generic_pkg-body.vhdl ieee2008/fixed_pkg.vhdl ieee2008/float_generic_pkg.vhdl ieee2008/float_generic_pkg-body.vhdl ieee2008/float_pkg.vhdl ieee2008/ieee_bit_context.vhdl ieee2008/ieee_std_context.vhdl upf/upf.vhdl upf/upf-body.vhdl; do \
          cmd="/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl1  --std=08 -P../.. --work=ieee ../../src/$i"; \
  echo $cmd; eval $cmd || exit 1; \
done; \
for i in ; do \
          cmd="/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl1  --std=08 -P../.. --work=ieee -frelaxed-rules ../../src/$i"; \
  echo $cmd; eval $cmd || exit 1; \
done; \
for i in synopsys/std_logic_arith.vhdl synopsys/std_logic_unsigned.vhdl synopsys/std_logic_signed.vhdl synopsys/v08/std_logic_misc.vhdl synopsys/v08/std_logic_misc-body.vhdl; do \
          cmd="/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl1  --std=08 -P../.. --work=ieee -fsynopsys ../../src/$i"; \
  echo $cmd; eval $cmd || exit 1; \
done
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/std_logic_1164.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/std_logic_1164-body.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/std_logic_textio.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/math_real.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/math_real-body.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found
../../src/ieee2008/math_real-body.vhdl:830:14:warning: declaration of "real_vector" hides type "real_vector" [-Whide]
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/math_complex.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/math_complex-body.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_bit.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_bit-body.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_bit_unsigned.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_bit_unsigned-body.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_std.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_std-body.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_std_unsigned.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_std_unsigned-body.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/fixed_float_types.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/fixed_generic_pkg.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/fixed_generic_pkg-body.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found
../../src/ieee2008/fixed_generic_pkg-body.vhdl:192:25:warning: declaration of "remainder" hides function "remainder" [-Whide]
../../src/ieee2008/fixed_generic_pkg-body.vhdl:225:25:warning: declaration of "remainder" hides function "remainder" [-Whide]
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/fixed_pkg.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/float_generic_pkg.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/float_generic_pkg-body.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found
../../src/ieee2008/float_generic_pkg-body.vhdl:182:5:warning: declaration of "remainder" hides function "remainder" [-Whide]
../../src/ieee2008/float_generic_pkg-body.vhdl:302:14:warning: declaration of "remainder" hides function "remainder" [-Whide]
../../src/ieee2008/float_generic_pkg-body.vhdl:1026:7:warning: declaration of "arg" hides constant interface "arg" [-Whide]
../../src/ieee2008/float_generic_pkg-body.vhdl:5299:14:warning: declaration of "ne" hides function "ne" [-Whide]
../../src/ieee2008/float_generic_pkg-body.vhdl:5378:14:warning: declaration of "ne" hides function "ne" [-Whide]
../../src/ieee2008/float_generic_pkg-body.vhdl:5448:14:warning: declaration of "ne" hides function "ne" [-Whide]
../../src/ieee2008/float_generic_pkg-body.vhdl:5527:14:warning: declaration of "ne" hides function "ne" [-Whide]
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/float_pkg.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/ieee_bit_context.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/ieee_std_context.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/upf/upf.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/upf/upf-body.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl1 --std=08 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_arith.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl1 --std=08 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_unsigned.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl1 --std=08 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_signed.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl1 --std=08 -P../.. --work=ieee -fsynopsys ../../src/synopsys/v08/std_logic_misc.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl -a --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl1 --std=08 -P../.. --work=ieee -fsynopsys ../../src/synopsys/v08/std_logic_misc-body.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found
cp ../../libraries/ieee2008/LICENSE lib/ghdl/gcc/src/ieee2008/LICENSE
cd lib/ghdl/gcc/std/v87; /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl --bootstrap-standard --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl1  --std=87
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v87/' not found
cd lib/ghdl/gcc/std/v93; /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl --bootstrap-standard --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl1  --std=93
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found
cd lib/ghdl/gcc/std/v08; /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl --bootstrap-standard --GHDL1=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl1  --std=08
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found
make[3]: Leaving directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc'
cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -c -aI/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -aI.. \
  -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY ghdl_main  -cargs -g
gnatmake: objects up to date.
sed -e '/^P /s/P /P NR /' < grt/ghdl_main.ali > grt/ghdl_main-tmp.ali
mv grt/ghdl_main-tmp.ali grt/ghdl_main.ali
cd grt && gnatmake -b ghdl_main.ali -bargs  -Lgrt_ -o run-bind.adb -n
arm-linux-gnueabihf-gnatbind-10 -Lgrt_ -o run-bind.adb -n -x ghdl_main.ali
cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -u -c -g -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -o run-bind.o run-bind.adb
gnatmake: objects up to date.
cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -u -c -g -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -o main.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/main.adb -Igrt
gnatmake: objects up to date.
sed -e "1,/-- *BEGIN/d" -e "/-- *END/,\$d" \
  -e "s/   --   //" < grt/run-bind.adb | tr -d '\r' > grt/grt-files
rm -f -f lib/ghdl/gcc/libgrt.a
ar rcv lib/ghdl/gcc/libgrt.a `sed -e "/^-/d" -e "s!^!grt/!" < grt/grt-files` \
 jumps.o times.o grt-cstdio.o grt-cgnatrts.o grt-cvpi.o grt-cdynload.o fstapi.o lz4.o fastlz.o grt/run-bind.o grt/main.o
a - grt/./grt.o
a - grt/./grt-c.o
a - grt/./grt-fcvt.o
a - grt/./grt-severity.o
a - grt/./grt-stdio.o
a - grt/./grt-strings.o
a - grt/./grt-types.o
a - grt/./grt-astdio.o
a - grt/./grt-callbacks.o
a - grt/./grt-hooks.o
a - grt/./grt-wave_opt.o
a - grt/./grt-wave_opt-file.o
a - grt/./grt-astdio-vhdl.o
a - grt/./grt-errors.o
a - grt/./grt-options.o
a - grt/./grt-rtis.o
a - grt/./grt-rtis_binding.o
a - grt/./grt-shadow_ieee.o
a - grt/./grt-vstrings.o
a - grt/./grt-wave_opt-design.o
a - grt/./grt-avls.o
a - grt/./grt-fst_api.o
a - grt/./grt-ghw.o
a - grt/./grt-rtis_addr.o
a - grt/./grt-stack2.o
a - grt/./grt-table.o
a - grt/./grt-to_strings.o
a - grt/./grt-vstrings_io.o
a - grt/./grt-rtis_utils.o
a - grt/./grt-avhpi.o
a - grt/./grt-avhpi_utils.o
a - grt/./grt-backtraces-gcc.o
a - grt/./grt-backtraces-impl.o
a - grt/./grt-backtraces.o
a - grt/./grt-disp.o
a - grt/./grt-disp_signals.o
a - grt/./grt-stats.o
a - grt/./grt-unithread.o
a - grt/./grt-threads.o
a - grt/./grt-errors_exec.o
a - grt/./grt-processes.o
a - grt/./grt-signals.o
a - grt/./grt-disp_rti.o
a - grt/./grt-disp_tree.o
a - grt/./grt-files.o
a - grt/./grt-images.o
a - grt/./grt-lib.o
a - grt/./grt-names.o
a - grt/./grt-psl.o
a - grt/./grt-rtis_types.o
a - grt/./grt-vital_annotate.o
a - grt/./grt-sdf.o
a - grt/./grt-std_logic_1164.o
a - grt/./grt-values.o
a - grt/./grt-change_generics.o
a - grt/./grt-vcd.o
a - grt/./grt-fst.o
a - grt/./grt-waves.o
a - grt/./grt-zlib.o
a - grt/./grt-vcdz.o
a - grt/./version.o
a - grt/./grt-vpi.o
a - grt/./grt-modules.o
a - grt/./grt-main.o
a - grt/./ghdl_main.o
a - jumps.o
a - times.o
a - grt-cstdio.o
a - grt-cgnatrts.o
a - grt-cvpi.o
a - grt-cdynload.o
a - fstapi.o
a - lz4.o
a - fastlz.o
a - grt/run-bind.o
a - grt/main.o
ranlib lib/ghdl/gcc/libgrt.a
make[2]: Leaving directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc'
make[1]: Leaving directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg'
   debian/rules override_dh_auto_test
make[1]: Entering directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg'
# Avoid running dh_auto_test as it stumbles over the lack of test or
# check targets in the Makefile and complains about python-distutils
# because of pyGHDL.
make[1]: Leaving directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg'
   create-stamp debian/debhelper-build-stamp
   dh_prep -a
   debian/rules override_dh_auto_install
make[1]: Entering directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg'
install -pD debian/ghdl.wrapper /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/bin/ghdl

------------------------------------------------------------
Installing with mcode backend
------------------------------------------------------------
if [ -n "" ]; then \
	/usr/bin/make -C /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/mcode install DESTDIR=../../debian/tmp; \
fi

------------------------------------------------------------
Installing with llvm backend
------------------------------------------------------------
if [ -n "1" ]; then \
	/usr/bin/make -C /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm install DESTDIR=../../debian/tmp; \
fi
make[2]: Entering directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm'
mkdir -p ../../debian/tmp/usr
mkdir -p ../../debian/tmp/usr/bin
mkdir -p ../../debian/tmp/usr/lib/ghdl/llvm
mkdir -p ../../debian/tmp/usr/lib/ghdl/llvm
mkdir -p ../../debian/tmp/usr/lib/ghdl/include
GHDL_VER_DESC=${GHDL_VER_DESC:-tarball}; \
GHDL_VER_REF=${GHDL_VER_REF:-unknown}; \
GHDL_VER_HASH=${GHDL_VER_HASH:-unknown}; \
sed \
  -e "s#@VER@#1.0.0#" \
  -e "s#@DESC@#${GHDL_VER_DESC}#" \
  -e "s#@REF@#${GHDL_VER_REF}#" \
  -e "s#@HASH@#${GHDL_VER_HASH}#" \
  < ../../src/version.in > version.tmp;
if [ ! -r version.ads ] || ! cmp version.tmp version.ads > /dev/null; then cp version.tmp version.ads; fi
make -f ../../src/ortho/llvm6/Makefile \
 ortho_srcdir=../../src/ortho ortho_exec=ghdl1-llvm \
 GNATFLAGS="-aI../../src -aI../../src/vhdl -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/ghdldrv -aI../../src/ortho -aI../../src/ortho/llvm6 -aI../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe" LDFLAGS="-Wl,-z,relro -Wl,-z,now" \
 LLVM_CONFIG="llvm-config" CXX="clang++" \
 CFLAGS=" -g" \
 GNATMAKE="gnatmake" all
make[3]: Entering directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm'
gnatmake -o ghdl1-llvm -aI../../src/ortho/llvm6 -aI../../src/ortho \
-aI../../src -aI../../src/vhdl -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/ghdldrv -aI../../src/ortho -aI../../src/ortho/llvm6 -aI../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe ortho_code_main -bargs -E \
-largs llvm-cbindings.o --LINK=clang++ \
-Wl,-z,relro -Wl,-z,now `llvm-config --ldflags --libs --system-libs`
gnatmake: "ghdl1-llvm" up to date.
make[3]: Leaving directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm'
gnatmake -aI../../src -aI../../src/vhdl -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/ghdldrv -aI../../src/ortho -aI../../src/ortho/llvm6 -aI../../src/synth \
         -aI../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe \
 ghdl_llvm -bargs -E \
         -largs -Wl,-z,relro -Wl,-z,now grt-cstdio.o 
gnatmake: "ghdl_llvm" up to date.
install -m 755 ghdl_llvm ../../debian/tmp/usr/bin/ghdl-llvm
install -m 755 ghdl1-llvm ../../debian/tmp/usr/lib/ghdl/llvm/ghdl1-llvm
for d in ieee/v87 ieee/v93 ieee/v08 std/v87 std/v93 std/v08 src/ieee src/ieee/v87 src/ieee/v93 src/ieee2008 src/std src/std/v87 src/std/v93 src/std/v08 src/synopsys src/synopsys/v08 src/upf; do \
  mkdir -p ../../debian/tmp/usr/lib/ghdl/llvm/vhdl/$d; \
  install -m 644 -p \
    lib/ghdl/llvm/$d/* ../../debian/tmp/usr/lib/ghdl/llvm/vhdl/$d; \
done
install: omitting directory 'lib/ghdl/llvm/src/ieee/v87'
install: omitting directory 'lib/ghdl/llvm/src/ieee/v93'
install: omitting directory 'lib/ghdl/llvm/src/std/v08'
install: omitting directory 'lib/ghdl/llvm/src/std/v87'
install: omitting directory 'lib/ghdl/llvm/src/std/v93'
install: omitting directory 'lib/ghdl/llvm/src/synopsys/v08'
install -m 644 -p \
    ../../scripts/ansi_color.sh ../../debian/tmp/usr/lib/ghdl/llvm/vhdl/;
mkdir -p ../../debian/tmp/usr/lib/ghdl/llvm/vhdl/vendors
install -m 644 -p \
    ../../scripts/vendors/* ../../debian/tmp/usr/lib/ghdl/llvm/vhdl/vendors/
install -m 755 -p \
    ../../scripts/vendors/*.sh ../../debian/tmp/usr/lib/ghdl/llvm/vhdl/vendors/
cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -c -aI/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -aI.. \
  -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY ghdl_main  -cargs -g
gnatmake: objects up to date.
sed -e '/^P /s/P /P NR /' < grt/ghdl_main.ali > grt/ghdl_main-tmp.ali
mv grt/ghdl_main-tmp.ali grt/ghdl_main.ali
cd grt && gnatmake -b ghdl_main.ali -bargs  -Lgrt_ -o run-bind.adb -n
arm-linux-gnueabihf-gnatbind-10 -Lgrt_ -o run-bind.adb -n -x ghdl_main.ali
cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -u -c -g -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -o run-bind.o run-bind.adb
gnatmake: objects up to date.
cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -u -c -g -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -o main.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/main.adb -Igrt
gnatmake: objects up to date.
sed -e "1,/-- *BEGIN/d" -e "/-- *END/,\$d" \
  -e "s/   --   //" < grt/run-bind.adb | tr -d '\r' > grt/grt-files
rm -f -f lib/ghdl/llvm/libgrt.a
ar rcv lib/ghdl/llvm/libgrt.a `sed -e "/^-/d" -e "s!^!grt/!" < grt/grt-files` \
 jumps.o times.o grt-cstdio.o grt-cgnatrts.o grt-cvpi.o grt-cdynload.o fstapi.o lz4.o fastlz.o grt/run-bind.o grt/main.o
a - grt/./grt.o
a - grt/./grt-c.o
a - grt/./grt-fcvt.o
a - grt/./grt-severity.o
a - grt/./grt-stdio.o
a - grt/./grt-strings.o
a - grt/./grt-types.o
a - grt/./grt-astdio.o
a - grt/./grt-callbacks.o
a - grt/./grt-hooks.o
a - grt/./grt-wave_opt.o
a - grt/./grt-wave_opt-file.o
a - grt/./grt-astdio-vhdl.o
a - grt/./grt-errors.o
a - grt/./grt-options.o
a - grt/./grt-rtis.o
a - grt/./grt-rtis_binding.o
a - grt/./grt-shadow_ieee.o
a - grt/./grt-vstrings.o
a - grt/./grt-wave_opt-design.o
a - grt/./grt-avls.o
a - grt/./grt-fst_api.o
a - grt/./grt-ghw.o
a - grt/./grt-rtis_addr.o
a - grt/./grt-stack2.o
a - grt/./grt-table.o
a - grt/./grt-to_strings.o
a - grt/./grt-vstrings_io.o
a - grt/./grt-rtis_utils.o
a - grt/./grt-avhpi.o
a - grt/./grt-avhpi_utils.o
a - grt/./grt-backtraces-jit.o
a - grt/./grt-backtraces-impl.o
a - grt/./grt-backtraces.o
a - grt/./grt-disp.o
a - grt/./grt-disp_signals.o
a - grt/./grt-stats.o
a - grt/./grt-unithread.o
a - grt/./grt-threads.o
a - grt/./grt-errors_exec.o
a - grt/./grt-processes.o
a - grt/./grt-signals.o
a - grt/./grt-disp_rti.o
a - grt/./grt-disp_tree.o
a - grt/./grt-files.o
a - grt/./grt-images.o
a - grt/./grt-lib.o
a - grt/./grt-names.o
a - grt/./grt-psl.o
a - grt/./grt-rtis_types.o
a - grt/./grt-vital_annotate.o
a - grt/./grt-sdf.o
a - grt/./grt-std_logic_1164.o
a - grt/./grt-values.o
a - grt/./grt-change_generics.o
a - grt/./grt-vcd.o
a - grt/./grt-fst.o
a - grt/./grt-waves.o
a - grt/./grt-zlib.o
a - grt/./grt-vcdz.o
a - grt/./version.o
a - grt/./grt-vpi.o
a - grt/./grt-modules.o
a - grt/./grt-main.o
a - grt/./ghdl_main.o
a - jumps.o
a - times.o
a - grt-cstdio.o
a - grt-cgnatrts.o
a - grt-cvpi.o
a - grt-cdynload.o
a - fstapi.o
a - lz4.o
a - fastlz.o
a - grt/run-bind.o
a - grt/main.o
ranlib lib/ghdl/llvm/libgrt.a
sed -e "\!^.[/\\]!d" -e "/-shared/d" -e "/-static/d" -e "/-lgnat/d" \
  -e "\X-L/Xd" < grt/grt-files > grt/grt-files.in
echo "@/libgrt.a" > lib/ghdl/llvm/grt.lst
for i in -ldl -lm; do echo $i >> lib/ghdl/llvm/grt.lst; done
cat grt/grt-files.in >> lib/ghdl/llvm/grt.lst
install -m 644 lib/ghdl/llvm/libgrt.a ../../debian/tmp/usr/lib/ghdl/llvm/vhdl/libgrt.a
install -m 644 lib/ghdl/llvm/grt.lst ../../debian/tmp/usr/lib/ghdl/llvm/vhdl/grt.lst
install -m 644 lib/ghdl/llvm/grt-exec.lst ../../debian/tmp/usr/lib/ghdl/llvm/vhdl/grt-exec.lst
install -m 644 lib/ghdl/llvm/grt-shared.lst ../../debian/tmp/usr/lib/ghdl/llvm/vhdl/grt-shared.lst
install -m 644 /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.ver ../../debian/tmp/usr/lib/ghdl/llvm/vhdl/grt.ver
test "x" = x || test "xllvm" = xgcc || \
  install -m 644  ../../debian/tmp/usr/lib/ghdl/llvm/vhdl/libbacktrace.a
install -m 755 -p libghdlvpi.so ../../debian/tmp/usr/lib/ghdl/llvm/
install -m 644 -p /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/vpi_user.h ../../debian/tmp/usr/lib/ghdl/include/
../../debian/tmp/usr/bin/ghdl --disp-standard --std=87 > ../../debian/tmp/usr/lib/ghdl/llvm/vhdl/src/std/v87/standard.vhdl
../../debian/tmp/usr/bin/ghdl --disp-standard --std=93 > ../../debian/tmp/usr/lib/ghdl/llvm/vhdl/src/std/v93/standard.vhdl
../../debian/tmp/usr/bin/ghdl --disp-standard --std=08 > ../../debian/tmp/usr/lib/ghdl/llvm/vhdl/src/std/v08/standard.vhdl
make[2]: Leaving directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/llvm'

------------------------------------------------------------
Installing with gcc backend
------------------------------------------------------------
if [ -n "1" ]; then \
	/usr/bin/make -C /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild install \
		DESTDIR=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp; \
	/usr/bin/make -C /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc install DESTDIR=/<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp; \
	mv debian/tmp/usr/lib/ghdl/gcc/bin/ghdl-gcc debian/tmp/usr/bin/ghdl-gcc; \
	mv debian/tmp/usr/lib/ghdl/gcc/lib/ghdl/libbacktrace.a debian/tmp/usr/lib/ghdl/gcc/vhdl/libbacktrace.a; \
fi
make[2]: Entering directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild'
make[3]: Entering directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild'
/bin/bash ../src/mkinstalldirs /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc
mkdir -p -- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc
make[4]: Entering directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/fixincludes'
rm -rf /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/libexec/gcc/armv7l-unknown-linux-gnueabihf/10.3.0/install-tools
/bin/bash ../../src/fixincludes/../mkinstalldirs /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/libexec/gcc/armv7l-unknown-linux-gnueabihf/10.3.0/install-tools
mkdir -p -- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/libexec/gcc/armv7l-unknown-linux-gnueabihf/10.3.0/install-tools
/bin/bash ../../src/fixincludes/../mkinstalldirs /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/armv7l-unknown-linux-gnueabihf/10.3.0/install-tools/include
mkdir -p -- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/armv7l-unknown-linux-gnueabihf/10.3.0/install-tools/include
/usr/bin/install -c -m 644 ../../src/fixincludes/README-fixinc \
  /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/armv7l-unknown-linux-gnueabihf/10.3.0/install-tools/include/README
/usr/bin/install -c fixinc.sh /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/libexec/gcc/armv7l-unknown-linux-gnueabihf/10.3.0/install-tools/fixinc.sh
/usr/bin/install -c fixincl /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/libexec/gcc/armv7l-unknown-linux-gnueabihf/10.3.0/install-tools/fixincl
/usr/bin/install -c mkheaders /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/libexec/gcc/armv7l-unknown-linux-gnueabihf/10.3.0/install-tools/mkheaders
make[4]: Leaving directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/fixincludes'
make[4]: Entering directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc'
gawk -f ../../src/gcc/config/arm/parsecpu.awk -v cmd=md \
	../../src/gcc/config/arm/arm-cpus.in > arm-tune.new
../../src/gcc/../move-if-change arm-tune.new \
			    ../../src/gcc/config/arm/arm-tune.md
gawk -f ../../src/gcc/config/arm/parsecpu.awk -v cmd=opt \
	../../src/gcc/config/arm/arm-cpus.in > arm-tables.new
../../src/gcc/../move-if-change arm-tables.new \
			    ../../src/gcc/config/arm/arm-tables.opt
gnatmake -c -aI../../src/gcc/vhdl --subdirs=vhdl ortho_gcc-main \
 -cargs -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC
gnatmake: objects up to date.
gnatmake -o ghdl1 -aI../../src/gcc/vhdl -aOvhdl ortho_gcc-main \
         -cargs -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC \
 -bargs -E \
 -largs --LINK=g++ -no-pie   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -Wl,-z,relro -Wl,-z,now attribs.o vhdl/ortho-lang.o \
 libbackend.a libcommon-target.a libcommon.a ../libcpp/libcpp.a ../libdecnumber/libdecnumber.a libcommon.a ../libcpp/libcpp.a   ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a   -lmpc -lmpfr -lgmp -rdynamic -ldl  -lz 
gnatmake: "ghdl1" up to date.
gnatmake -o ghdl -aI../../src/gcc/vhdl -aI../../src/gcc/vhdl/ghdldrv \
 -aIvhdl -aOvhdl ghdl_gcc \
 -cargs -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC \
 -bargs -E \
         -largs --LINK=g++ -no-pie   -g -O2 -ffile-prefix-map=/<<BUILDDIR>>/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC     -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings   -DHAVE_CONFIG_H -Wl,-z,relro -Wl,-z,now \
           vhdl/grt-cstdio.o libcommon.a ../libcpp/libcpp.a   ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a 
gnatmake: "ghdl" up to date.
mkdir /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/bin
rm -f /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/bin/ghdl-gcc
/usr/bin/install -c ghdl /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/bin/ghdl-gcc
mkdir /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib
mkdir: cannot create directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib': File exists
make[4]: [../../src/gcc/vhdl/Make-lang.in:166: vhdl.install-common] Error 1 (ignored)
mkdir /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/ghdl
/usr/bin/install -c -m 644 ../libbacktrace/.libs/libbacktrace.a /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/ghdl
/bin/bash ../../src/gcc/../mkinstalldirs /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/armv7l-unknown-linux-gnueabihf/10.3.0
/bin/bash ../../src/gcc/../mkinstalldirs /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/libexec/gcc/armv7l-unknown-linux-gnueabihf/10.3.0
/bin/bash ../../src/gcc/../mkinstalldirs /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/bin
/bin/bash ../../src/gcc/../mkinstalldirs /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/include
mkdir -p -- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/include
/bin/bash ../../src/gcc/../mkinstalldirs /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/info
mkdir -p -- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/info
/bin/bash ../../src/gcc/../mkinstalldirs /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/man/man1
mkdir -p -- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/man/man1
/bin/bash ../../src/gcc/../mkinstalldirs /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/man/man7
mkdir -p -- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/man/man7
for file in gnat1 brig1 cc1 cc1plus d21 f951 go1  lto1 cc1gm2 gm2l gm2lcc            gm2lgen gm2lsub gm2cc            gm2lorder cc1obj cc1objplus ghdl1; do \
  if [ -f $file ] ; then \
    rm -f /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/libexec/gcc/armv7l-unknown-linux-gnueabihf/10.3.0/$file; \
    /usr/bin/install -c $file /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/libexec/gcc/armv7l-unknown-linux-gnueabihf/10.3.0/$file; \
  else true; \
  fi; \
done
for file in  collect2 ..; do \
  if [ x"$file" != x.. ]; then \
    rm -f /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/libexec/gcc/armv7l-unknown-linux-gnueabihf/10.3.0/$file; \
    /usr/bin/install -c $file /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/libexec/gcc/armv7l-unknown-linux-gnueabihf/10.3.0/$file; \
  else true; fi; \
done
rm -f /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/armv7l-unknown-linux-gnueabihf/10.3.0/specs
if test "" != "yes" ; then \
  if [ -f gcov ]; \
  then \
    rm -f /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/bin/gcov; \
    /usr/bin/install -c gcov /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/bin/gcov; \
  fi; \
fi
if test "" != "yes" ; then \
  if [ -f gcov-tool ]; \
  then \
    rm -f /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/bin/gcov-tool; \
    /usr/bin/install -c \
    gcov-tool /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/bin/gcov-tool; \
  fi; \
fi
if test "" != "yes" ; then \
  if [ -f gcov-dump ]; \
  then \
    rm -f /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/bin/gcov-dump; \
    /usr/bin/install -c \
    gcov-dump /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/bin/gcov-dump; \
  fi; \
fi
/bin/bash ../../src/gcc/../mkinstalldirs /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/armv7l-unknown-linux-gnueabihf/10.3.0/include
mkdir -p -- /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/armv7l-unknown-linux-gnueabihf/10.3.0/include
rm -rf /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/armv7l-unknown-linux-gnueabihf/10.3.0/include-fixed
mkdir /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/armv7l-unknown-linux-gnueabihf/10.3.0/include-fixed
chmod a+rx /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/armv7l-unknown-linux-gnueabihf/10.3.0/include-fixed
(cd `${PWDCMD-pwd}`/include ; \
 tar -cf - .; exit 0) | (cd /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/armv7l-unknown-linux-gnueabihf/10.3.0/include; tar xpf - )
(cd `${PWDCMD-pwd}`/include-fixed ; \
 tar -cf - .; exit 0) | (cd /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/armv7l-unknown-linux-gnueabihf/10.3.0/include-fixed; tar xpf - )
files=`cd /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/armv7l-unknown-linux-gnueabihf/10.3.0/include-fixed; find . -type l -print 2>/dev/null`; \
if [ $? -eq 0 ]; then \
  dir=`cd include-fixed; ${PWDCMD-pwd}`; \
  for i in $files; do \
    dest=`ls -ld /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/armv7l-unknown-linux-gnueabihf/10.3.0/include-fixed/$i | sed -n 's/.*-> //p'`; \
    if expr "$dest" : "$dir.*" > /dev/null; then \
      rm -f /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/armv7l-unknown-linux-gnueabihf/10.3.0/include-fixed/$i; \
      ln -s `echo $i | sed "s|/[^/]*|/..|g" | sed 's|/..$||'``echo "$dest" | sed "s|$dir||"` /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/armv7l-unknown-linux-gnueabihf/10.3.0/include-fixed/$i; \
    fi; \
  done; \
fi
/bin/bash ../../src/gcc/../mkinstalldirs /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/armv7l-unknown-linux-gnueabihf/10.3.0/install-tools/include
/bin/bash ../../src/gcc/../mkinstalldirs /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/libexec/gcc/armv7l-unknown-linux-gnueabihf/10.3.0/install-tools
/usr/bin/install -c -m 644 ../../src/gcc/gsyslimits.h \
  /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/armv7l-unknown-linux-gnueabihf/10.3.0/install-tools/gsyslimits.h
/usr/bin/install -c -m 644 macro_list /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/armv7l-unknown-linux-gnueabihf/10.3.0/install-tools/macro_list
/usr/bin/install -c -m 644 fixinc_list /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/armv7l-unknown-linux-gnueabihf/10.3.0/install-tools/fixinc_list
set -e; for ml in `cat fixinc_list`; do \
  multi_dir=`echo ${ml} | sed -e 's/^[^;]*;//'`; \
  /bin/bash ../../src/gcc/../mkinstalldirs /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/armv7l-unknown-linux-gnueabihf/10.3.0/install-tools/include${multi_dir}; \
  /usr/bin/install -c -m 644 include-fixed${multi_dir}/limits.h /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/armv7l-unknown-linux-gnueabihf/10.3.0/install-tools/include${multi_dir}/limits.h; \
done
/usr/bin/install -c ../../src/gcc/../mkinstalldirs \
	/<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/libexec/gcc/armv7l-unknown-linux-gnueabihf/10.3.0/install-tools/mkinstalldirs ; \
sysroot_headers_suffix='${sysroot_headers_suffix}'; \
	echo 'SYSTEM_HEADER_DIR="'"`echo /usr/include | sed -e :a -e 's,[^/]*/\.\.\/,,' -e ta`"'"' \
	> /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/armv7l-unknown-linux-gnueabihf/10.3.0/install-tools/mkheaders.conf
echo 'OTHER_FIXINCLUDES_DIRS=""' \
	>> /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/armv7l-unknown-linux-gnueabihf/10.3.0/install-tools/mkheaders.conf
echo 'STMP_FIXINC="stmp-fixinc"' \
	>> /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/armv7l-unknown-linux-gnueabihf/10.3.0/install-tools/mkheaders.conf
if test "" != "yes" ; then \
  rm -f /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/bin/cpp; \
  /usr/bin/install -c -m 755 cpp /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/bin/cpp; \
  if [ x != x ]; then \
    rm -f /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc//cpp; \
    /usr/bin/install -c -m 755 cpp /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc//cpp; \
  else true; fi; \
fi
rm -f /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/man/man1/ghdl.1
/usr/bin/install -c -m 644 ../../src/gcc/vhdl/ghdl.1 /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/man/man1/ghdl.1
chmod a-x /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/man/man1/ghdl.1
rm -f /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/man/man1/gcc.1
/usr/bin/install -c -m 644 doc/gcc.1 /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/man/man1/gcc.1
chmod a-x /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/man/man1/gcc.1
rm -f /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/man/man1/cpp.1
/usr/bin/install -c -m 644 ../../src/gcc/doc/cpp.1 /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/man/man1/cpp.1
chmod a-x /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/man/man1/cpp.1
rm -f /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/man/man1/gcov.1
/usr/bin/install -c -m 644 ../../src/gcc/doc/gcov.1 /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/man/man1/gcov.1
chmod a-x /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/man/man1/gcov.1
rm -f /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/man/man1/gcov-tool.1
/usr/bin/install -c -m 644 ../../src/gcc/doc/gcov-tool.1 /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/man/man1/gcov-tool.1
chmod a-x /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/man/man1/gcov-tool.1
rm -f /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/man/man1/gcov-dump.1
/usr/bin/install -c -m 644 ../../src/gcc/doc/gcov-dump.1 /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/man/man1/gcov-dump.1
chmod a-x /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/man/man1/gcov-dump.1
rm -f /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/man/man7/fsf-funding.7
/usr/bin/install -c -m 644 ../../src/gcc/doc/fsf-funding.7 /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/man/man7/fsf-funding.7
chmod a-x /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/man/man7/fsf-funding.7
rm -f /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/man/man7/gfdl.7
/usr/bin/install -c -m 644 ../../src/gcc/doc/gfdl.7 /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/man/man7/gfdl.7
chmod a-x /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/man/man7/gfdl.7
rm -f /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/man/man7/gpl.7
/usr/bin/install -c -m 644 ../../src/gcc/doc/gpl.7 /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/man/man7/gpl.7
chmod a-x /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/man/man7/gpl.7
rm -f doc/ghdl.info*
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/missing makeinfo --split-size=5000000 --split-size=5000000 --no-split -o doc/ghdl.info ../../src/gcc/vhdl/ghdl.texi
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/src/missing: 81: makeinfo: not found
WARNING: 'makeinfo' is missing on your system.
         You should only need it if you modified a '.texi' file, or
         any other file indirectly affecting the aspect of the manual.
         You might want to install the Texinfo package:
         <http://www.gnu.org/software/texinfo/>
         The spurious makeinfo call might also be the consequence of
         using a buggy 'make' (AIX, DU, IRIX), in which case you might
         want to install GNU make:
         <http://www.gnu.org/software/make/>
make[4]: *** [../../src/gcc/vhdl/Make-lang.in:141: doc/ghdl.info] Error 127
make[4]: Leaving directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc'
make[3]: *** [Makefile:4507: install-gcc] Error 2
make[3]: Leaving directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild'
make[2]: *** [Makefile:2421: install] Error 2
make[2]: Leaving directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild'
make[2]: Entering directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc'
mkdir -p /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr
mkdir -p /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/bin
mkdir -p /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc
mkdir -p /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc
mkdir -p /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/include
for d in ieee/v87 ieee/v93 ieee/v08 std/v87 std/v93 std/v08 src/ieee src/ieee/v87 src/ieee/v93 src/ieee2008 src/std src/std/v87 src/std/v93 src/std/v08 src/synopsys src/synopsys/v08 src/upf; do \
  mkdir -p /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/vhdl/$d; \
  install -m 644 -p \
    lib/ghdl/gcc/$d/* /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/vhdl/$d; \
done
install: omitting directory 'lib/ghdl/gcc/src/ieee/v87'
install: omitting directory 'lib/ghdl/gcc/src/ieee/v93'
install: omitting directory 'lib/ghdl/gcc/src/std/v08'
install: omitting directory 'lib/ghdl/gcc/src/std/v87'
install: omitting directory 'lib/ghdl/gcc/src/std/v93'
install: omitting directory 'lib/ghdl/gcc/src/synopsys/v08'
install -m 644 -p \
    ../../scripts/ansi_color.sh /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/vhdl/;
mkdir -p /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/vhdl/vendors
install -m 644 -p \
    ../../scripts/vendors/* /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/vhdl/vendors/
install -m 755 -p \
    ../../scripts/vendors/*.sh /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/vhdl/vendors/
cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -c -aI/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -aI.. \
  -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY ghdl_main  -cargs -g
gnatmake: objects up to date.
sed -e '/^P /s/P /P NR /' < grt/ghdl_main.ali > grt/ghdl_main-tmp.ali
mv grt/ghdl_main-tmp.ali grt/ghdl_main.ali
cd grt && gnatmake -b ghdl_main.ali -bargs  -Lgrt_ -o run-bind.adb -n
arm-linux-gnueabihf-gnatbind-10 -Lgrt_ -o run-bind.adb -n -x ghdl_main.ali
cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -u -c -g -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -o run-bind.o run-bind.adb
gnatmake: objects up to date.
cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -u -c -g -gnatec/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -o main.o /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/main.adb -Igrt
gnatmake: objects up to date.
sed -e "1,/-- *BEGIN/d" -e "/-- *END/,\$d" \
  -e "s/   --   //" < grt/run-bind.adb | tr -d '\r' > grt/grt-files
rm -f -f lib/ghdl/gcc/libgrt.a
ar rcv lib/ghdl/gcc/libgrt.a `sed -e "/^-/d" -e "s!^!grt/!" < grt/grt-files` \
 jumps.o times.o grt-cstdio.o grt-cgnatrts.o grt-cvpi.o grt-cdynload.o fstapi.o lz4.o fastlz.o grt/run-bind.o grt/main.o
a - grt/./grt.o
a - grt/./grt-c.o
a - grt/./grt-fcvt.o
a - grt/./grt-severity.o
a - grt/./grt-stdio.o
a - grt/./grt-strings.o
a - grt/./grt-types.o
a - grt/./grt-astdio.o
a - grt/./grt-callbacks.o
a - grt/./grt-hooks.o
a - grt/./grt-wave_opt.o
a - grt/./grt-wave_opt-file.o
a - grt/./grt-astdio-vhdl.o
a - grt/./grt-errors.o
a - grt/./grt-options.o
a - grt/./grt-rtis.o
a - grt/./grt-rtis_binding.o
a - grt/./grt-shadow_ieee.o
a - grt/./grt-vstrings.o
a - grt/./grt-wave_opt-design.o
a - grt/./grt-avls.o
a - grt/./grt-fst_api.o
a - grt/./grt-ghw.o
a - grt/./grt-rtis_addr.o
a - grt/./grt-stack2.o
a - grt/./grt-table.o
a - grt/./grt-to_strings.o
a - grt/./grt-vstrings_io.o
a - grt/./grt-rtis_utils.o
a - grt/./grt-avhpi.o
a - grt/./grt-avhpi_utils.o
a - grt/./grt-backtraces-gcc.o
a - grt/./grt-backtraces-impl.o
a - grt/./grt-backtraces.o
a - grt/./grt-disp.o
a - grt/./grt-disp_signals.o
a - grt/./grt-stats.o
a - grt/./grt-unithread.o
a - grt/./grt-threads.o
a - grt/./grt-errors_exec.o
a - grt/./grt-processes.o
a - grt/./grt-signals.o
a - grt/./grt-disp_rti.o
a - grt/./grt-disp_tree.o
a - grt/./grt-files.o
a - grt/./grt-images.o
a - grt/./grt-lib.o
a - grt/./grt-names.o
a - grt/./grt-psl.o
a - grt/./grt-rtis_types.o
a - grt/./grt-vital_annotate.o
a - grt/./grt-sdf.o
a - grt/./grt-std_logic_1164.o
a - grt/./grt-values.o
a - grt/./grt-change_generics.o
a - grt/./grt-vcd.o
a - grt/./grt-fst.o
a - grt/./grt-waves.o
a - grt/./grt-zlib.o
a - grt/./grt-vcdz.o
a - grt/./version.o
a - grt/./grt-vpi.o
a - grt/./grt-modules.o
a - grt/./grt-main.o
a - grt/./ghdl_main.o
a - jumps.o
a - times.o
a - grt-cstdio.o
a - grt-cgnatrts.o
a - grt-cvpi.o
a - grt-cdynload.o
a - fstapi.o
a - lz4.o
a - fastlz.o
a - grt/run-bind.o
a - grt/main.o
ranlib lib/ghdl/gcc/libgrt.a
sed -e "\!^.[/\\]!d" -e "/-shared/d" -e "/-static/d" -e "/-lgnat/d" \
  -e "\X-L/Xd" < grt/grt-files > grt/grt-files.in
echo "@/libgrt.a" > lib/ghdl/gcc/grt.lst
for i in -ldl -lm; do echo $i >> lib/ghdl/gcc/grt.lst; done
echo "@/libbacktrace.a" >> lib/ghdl/gcc/grt.lst
cat grt/grt-files.in >> lib/ghdl/gcc/grt.lst
echo "# link options for executables" > lib/ghdl/gcc/grt-exec.lst
for i in -Wl,--version-script=@/grt.ver -Wl,--export-dynamic; do echo $i >> lib/ghdl/gcc/grt-exec.lst; done
echo "# link options for shared libraries" > lib/ghdl/gcc/grt-shared.lst
for i in -Wl,-u,ghdl_main; do echo $i >> lib/ghdl/gcc/grt-shared.lst; done
install -m 644 lib/ghdl/gcc/libgrt.a /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/vhdl/libgrt.a
install -m 644 lib/ghdl/gcc/grt.lst /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/vhdl/grt.lst
install -m 644 lib/ghdl/gcc/grt-exec.lst /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/vhdl/grt-exec.lst
install -m 644 lib/ghdl/gcc/grt-shared.lst /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/vhdl/grt-shared.lst
install -m 644 /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.ver /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/vhdl/grt.ver
test "x/usr/lib/ghdl/gcc/libbacktrace.a" = x || test "xgcc" = xgcc || \
  install -m 644 /usr/lib/ghdl/gcc/libbacktrace.a /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/vhdl/libbacktrace.a
install -m 755 -p libghdlvpi.so /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/
install -m 644 -p /<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/vpi_user.h /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/include/
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/bin/ghdl --disp-standard --std=87 > /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/vhdl/src/std/v87/standard.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/bin/ghdl --disp-standard --std=93 > /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/vhdl/src/std/v93/standard.vhdl
/<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/bin/ghdl --disp-standard --std=08 > /<<BUILDDIR>>/ghdl-1.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/vhdl/src/std/v08/standard.vhdl
make[2]: Leaving directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg/builddir/gcc'

------------------------------------------------------------
Moving parts to required locations
------------------------------------------------------------
if [ -n "" ]; then \
	rm -f -r debian/tmp/usr/lib/ghdl/src; \
	mv debian/tmp/usr/lib/ghdl/mcode/vhdl/src debian/tmp/usr/lib/ghdl; \
	ln -s ../../src debian/tmp/usr/lib/ghdl/mcode/vhdl/src; \
fi
if [ -n "1" ]; then \
	rm -f -r debian/tmp/usr/lib/ghdl/src; \
	mv debian/tmp/usr/lib/ghdl/llvm/vhdl/src debian/tmp/usr/lib/ghdl; \
	ln -s ../../src debian/tmp/usr/lib/ghdl/llvm/vhdl/src; \
fi
if [ -n "1" ]; then \
	rm -f -r debian/tmp/usr/lib/ghdl/src; \
	mv debian/tmp/usr/lib/ghdl/gcc/vhdl/src debian/tmp/usr/lib/ghdl; \
	ln -s ../../src debian/tmp/usr/lib/ghdl/gcc/vhdl/src; \
fi
rm -f debian/tmp/usr/lib/ghdl/src/ieee2008/LICENSE
make[1]: Leaving directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg'
   dh_install -a
   debian/rules override_dh_installdocs
make[1]: Entering directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg'
dh_installdocs --link-doc=ghdl-common
make[1]: Leaving directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg'
   dh_installchangelogs -a
   dh_installman -a
   dh_lintian -a
   dh_perl -a
   dh_link -a
   dh_strip_nondeterminism -a
   dh_compress -a
   dh_fixperms -a
   dh_missing -a
   dh_dwz -a
dwz: debian/ghdl-gcc/usr/lib/ghdl/gcc/libexec/gcc/armv7l-unknown-linux-gnueabihf/10.3.0/ghdl1: DWARF compression not beneficial - old size 61563871 new size 61681573
   dh_strip -a
   dh_makeshlibs -a
   dh_shlibdeps -a
   dh_installdeb -a
   debian/rules override_dh_gencontrol
make[1]: Entering directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg'
if [ -n "1" ]; then \
	dh_gencontrol -- -VBuilt-Using-GCC="gcc-10 (= 10.3.0-11+rpi1)"; \
else \
	dh_gencontrol; \
fi
make[1]: Leaving directory '/<<BUILDDIR>>/ghdl-1.0.0+dfsg'
   dh_md5sums -a
   dh_builddeb -a
dpkg-deb: building package 'ghdl' in '../ghdl_1.0.0+dfsg-5_armhf.deb'.
dpkg-deb: building package 'ghdl-llvm' in '../ghdl-llvm_1.0.0+dfsg-5_armhf.deb'.
dpkg-deb: building package 'ghdl-gcc' in '../ghdl-gcc_1.0.0+dfsg-5_armhf.deb'.
dpkg-deb: building package 'ghdl-common' in '../ghdl-common_1.0.0+dfsg-5_armhf.deb'.
dpkg-deb: building package 'ghdl-llvm-dbgsym' in '../ghdl-llvm-dbgsym_1.0.0+dfsg-5_armhf.deb'.
dpkg-deb: building package 'ghdl-gcc-dbgsym' in '../ghdl-gcc-dbgsym_1.0.0+dfsg-5_armhf.deb'.
 dpkg-genbuildinfo --build=any
 dpkg-genchanges --build=any -mRaspbian wandboard test autobuilder <root@raspbian.org> >../ghdl_1.0.0+dfsg-5_armhf.changes
dpkg-genchanges: info: binary-only arch-specific upload (source code and arch-indep packages not included)
 dpkg-source --after-build .
dpkg-buildpackage: info: binary-only upload (no source included)
--------------------------------------------------------------------------------
Build finished at 2021-11-07T08:59:06Z

Finished
--------

I: Built successfully

+------------------------------------------------------------------------------+
| Post Build Chroot                                                            |
+------------------------------------------------------------------------------+


+------------------------------------------------------------------------------+
| Changes                                                                      |
+------------------------------------------------------------------------------+


ghdl_1.0.0+dfsg-5_armhf.changes:
--------------------------------

Format: 1.8
Date: Tue, 02 Nov 2021 08:49:46 +0000
Source: ghdl
Binary: ghdl ghdl-common ghdl-gcc ghdl-gcc-dbgsym ghdl-llvm ghdl-llvm-dbgsym
Architecture: armhf
Version: 1.0.0+dfsg-5
Distribution: bookworm-staging
Urgency: medium
Maintainer: Raspbian wandboard test autobuilder <root@raspbian.org>
Changed-By: Jonathan McDowell <noodles@earth.li>
Description:
 ghdl       - VHDL compiler/simulator
 ghdl-common - VHDL compiler/simulator (common files)
 ghdl-gcc   - VHDL compiler/simulator (GCC backend)
 ghdl-llvm  - VHDL compiler/simulator (LLVM backend)
Closes: 997729
Changes:
 ghdl (1.0.0+dfsg-5) unstable; urgency=medium
 .
   * Add llvm11.1.0.patch to enable building with LLVM 11.1 (Closes: #997729)
Checksums-Sha1:
 718484343ebacd4033bfb4903c5145e3bfb63d61 155000 ghdl-common_1.0.0+dfsg-5_armhf.deb
 b508dfced520c69e1210dc8cc7e4da768a1c32a3 51387292 ghdl-gcc-dbgsym_1.0.0+dfsg-5_armhf.deb
 6aeeb4fab29cc3ebcfffcd1010a17f6fc3ec03e7 7382636 ghdl-gcc_1.0.0+dfsg-5_armhf.deb
 5258f00f7d3b998d3425e1b44f95e42495b2ee77 1940660 ghdl-llvm-dbgsym_1.0.0+dfsg-5_armhf.deb
 358dffbe3a12536a702c011ba16e029b6cb9ae73 1711420 ghdl-llvm_1.0.0+dfsg-5_armhf.deb
 2b4c8f9db760654005410a3aef9c2a3b83ea81e3 8107 ghdl_1.0.0+dfsg-5_armhf.buildinfo
 2a5dac7cfde60206452745effe4c8801383ac29d 1692 ghdl_1.0.0+dfsg-5_armhf.deb
Checksums-Sha256:
 d2b7812adc40c34800d90bc211f72ed022bba5485fd4a451466033df9ab43b0e 155000 ghdl-common_1.0.0+dfsg-5_armhf.deb
 065c47b2677a4fa869cfe2a6c7d74c97d3fdb040d055db29da289c7067c51ca8 51387292 ghdl-gcc-dbgsym_1.0.0+dfsg-5_armhf.deb
 18c8eaae52899c703226f07718da4b41eefe55622b03a69aa17a109147c6bf76 7382636 ghdl-gcc_1.0.0+dfsg-5_armhf.deb
 593bbe03ea14fca4e5198886e718b0171b065fd74102d045fa2da4f1e9410897 1940660 ghdl-llvm-dbgsym_1.0.0+dfsg-5_armhf.deb
 d82766f44ddda59c3d06083a1672133b9d9c2e05da74cc2ea80981684a3b564a 1711420 ghdl-llvm_1.0.0+dfsg-5_armhf.deb
 c34468c108ad2f8f85ada5ba0e5ab476c23575408d3bf898d02bf0f8d6e92ea9 8107 ghdl_1.0.0+dfsg-5_armhf.buildinfo
 f257bd3a25f01e36f98246b776917114a7b72e09237015ab096c102c3eb2d672 1692 ghdl_1.0.0+dfsg-5_armhf.deb
Files:
 7f119859fe22203cbad14f6dadf8ebb3 155000 electronics optional ghdl-common_1.0.0+dfsg-5_armhf.deb
 b459a713cc532b6998785c11ec7c8325 51387292 debug optional ghdl-gcc-dbgsym_1.0.0+dfsg-5_armhf.deb
 772cfb9aa8dd2ec8fb5d437d33d61d70 7382636 electronics optional ghdl-gcc_1.0.0+dfsg-5_armhf.deb
 81d24ed6742828bfd6c8e0ad499470a2 1940660 debug optional ghdl-llvm-dbgsym_1.0.0+dfsg-5_armhf.deb
 a8c35a9a9b5b5bef8774ebee191be06a 1711420 electronics optional ghdl-llvm_1.0.0+dfsg-5_armhf.deb
 354f9669658b8b3b554f640c97d11e0a 8107 electronics optional ghdl_1.0.0+dfsg-5_armhf.buildinfo
 40b9624ff9a3081a2e39ad055936ffdd 1692 electronics optional ghdl_1.0.0+dfsg-5_armhf.deb

+------------------------------------------------------------------------------+
| Package contents                                                             |
+------------------------------------------------------------------------------+


ghdl-common_1.0.0+dfsg-5_armhf.deb
----------------------------------

 new Debian package, version 2.0.
 size 155000 bytes: control archive=2344 bytes.
     709 bytes,    18 lines      control              
    5303 bytes,    68 lines      md5sums              
 Package: ghdl-common
 Source: ghdl
 Version: 1.0.0+dfsg-5
 Architecture: armhf
 Maintainer: Debian Electronics Team <pkg-electronics-devel@lists.alioth.debian.org>
 Installed-Size: 2387
 Breaks: ghdl (<< 0.37+dfsg2)
 Replaces: ghdl (<< 0.37+dfsg2)
 Section: electronics
 Priority: optional
 Homepage: https://github.com/ghdl/ghdl
 Description: VHDL compiler/simulator (common files)
  GHDL is a compiler and simulator for VHDL, a Hardware Description Language.
  GHDL is not an interpreter: it allows you to analyse and elaborate sources to
  generate machine code from your design. Native program execution is the only
  way for high speed simulation.
  .
  This package contains common files for the GHDL compiler packages.

drwxr-xr-x root/root         0 2021-11-02 08:49 ./
drwxr-xr-x root/root         0 2021-11-02 08:49 ./usr/
drwxr-xr-x root/root         0 2021-11-02 08:49 ./usr/bin/
-rwxr-xr-x root/root       393 2020-04-06 10:54 ./usr/bin/ghdl
drwxr-xr-x root/root         0 2021-11-02 08:49 ./usr/lib/
drwxr-xr-x root/root         0 2021-11-02 08:49 ./usr/lib/ghdl/
drwxr-xr-x root/root         0 2021-11-02 08:49 ./usr/lib/ghdl/include/
-rw-r--r-- root/root     45550 2021-02-02 19:52 ./usr/lib/ghdl/include/vpi_user.h
drwxr-xr-x root/root         0 2021-11-02 08:49 ./usr/lib/ghdl/src/
drwxr-xr-x root/root         0 2021-11-02 08:49 ./usr/lib/ghdl/src/ieee/
-rw-r--r-- root/root     52648 2021-11-02 08:49 ./usr/lib/ghdl/src/ieee/math_complex-body.vhdl
-rw-r--r-- root/root     34106 2021-11-02 08:49 ./usr/lib/ghdl/src/ieee/math_complex.vhdl
-rw-r--r-- root/root     64760 2021-11-02 08:49 ./usr/lib/ghdl/src/ieee/math_real-body.vhdl
-rw-r--r-- root/root     21134 2021-11-02 08:49 ./usr/lib/ghdl/src/ieee/math_real.vhdl
drwxr-xr-x root/root         0 2021-11-02 08:49 ./usr/lib/ghdl/src/ieee/v87/
-rw-r--r-- root/root     57337 2021-11-02 08:49 ./usr/lib/ghdl/src/ieee/v87/numeric_bit-body.vhdl
-rw-r--r-- root/root     32919 2021-11-02 08:49 ./usr/lib/ghdl/src/ieee/v87/numeric_bit.vhdl
-rw-r--r-- root/root     83364 2021-11-02 08:49 ./usr/lib/ghdl/src/ieee/v87/numeric_std-body.vhdl
-rw-r--r-- root/root     34334 2021-11-02 08:49 ./usr/lib/ghdl/src/ieee/v87/numeric_std.vhdl
-rw-r--r-- root/root     32350 2021-11-02 08:49 ./usr/lib/ghdl/src/ieee/v87/std_logic_1164-body.vhdl
-rw-r--r-- root/root      9402 2021-11-02 08:49 ./usr/lib/ghdl/src/ieee/v87/std_logic_1164.vhdl
drwxr-xr-x root/root         0 2021-11-02 08:49 ./usr/lib/ghdl/src/ieee/v93/
-rw-r--r-- root/root     57057 2021-11-02 08:49 ./usr/lib/ghdl/src/ieee/v93/numeric_bit-body.vhdl
-rw-r--r-- root/root     32879 2021-11-02 08:49 ./usr/lib/ghdl/src/ieee/v93/numeric_bit.vhdl
-rw-r--r-- root/root     83082 2021-11-02 08:49 ./usr/lib/ghdl/src/ieee/v93/numeric_std-body.vhdl
-rw-r--r-- root/root     34294 2021-11-02 08:49 ./usr/lib/ghdl/src/ieee/v93/numeric_std.vhdl
-rw-r--r-- root/root     32260 2021-11-02 08:49 ./usr/lib/ghdl/src/ieee/v93/std_logic_1164-body.vhdl
-rw-r--r-- root/root      9390 2021-11-02 08:49 ./usr/lib/ghdl/src/ieee/v93/std_logic_1164.vhdl
drwxr-xr-x root/root         0 2021-11-02 08:49 ./usr/lib/ghdl/src/ieee2008/
-rw-r--r-- root/root      2614 2021-11-02 08:49 ./usr/lib/ghdl/src/ieee2008/fixed_float_types.vhdl
-rw-r--r-- root/root    216296 2021-11-02 08:49 ./usr/lib/ghdl/src/ieee2008/fixed_generic_pkg-body.vhdl
-rw-r--r-- root/root     66781 2021-11-02 08:49 ./usr/lib/ghdl/src/ieee2008/fixed_generic_pkg.vhdl
-rw-r--r-- root/root      2249 2021-11-02 08:49 ./usr/lib/ghdl/src/ieee2008/fixed_pkg.vhdl
-rw-r--r-- root/root    230768 2021-11-02 08:49 ./usr/lib/ghdl/src/ieee2008/float_generic_pkg-body.vhdl
-rw-r--r-- root/root     51264 2021-11-02 08:49 ./usr/lib/ghdl/src/ieee2008/float_generic_pkg.vhdl
-rw-r--r-- root/root      2542 2021-11-02 08:49 ./usr/lib/ghdl/src/ieee2008/float_pkg.vhdl
-rw-r--r-- root/root       102 2021-11-02 08:49 ./usr/lib/ghdl/src/ieee2008/ieee_bit_context.vhdl
-rw-r--r-- root/root       133 2021-11-02 08:49 ./usr/lib/ghdl/src/ieee2008/ieee_std_context.vhdl
-rw-r--r-- root/root     52648 2021-11-02 08:49 ./usr/lib/ghdl/src/ieee2008/math_complex-body.vhdl
-rw-r--r-- root/root     34106 2021-11-02 08:49 ./usr/lib/ghdl/src/ieee2008/math_complex.vhdl
-rw-r--r-- root/root     64760 2021-11-02 08:49 ./usr/lib/ghdl/src/ieee2008/math_real-body.vhdl
-rw-r--r-- root/root     21134 2021-11-02 08:49 ./usr/lib/ghdl/src/ieee2008/math_real.vhdl
-rw-r--r-- root/root     93982 2021-11-02 08:49 ./usr/lib/ghdl/src/ieee2008/numeric_bit-body.vhdl
-rw-r--r-- root/root     63541 2021-11-02 08:49 ./usr/lib/ghdl/src/ieee2008/numeric_bit.vhdl
-rw-r--r-- root/root     17135 2021-11-02 08:49 ./usr/lib/ghdl/src/ieee2008/numeric_bit_unsigned-body.vhdl
-rw-r--r-- root/root     25675 2021-11-02 08:49 ./usr/lib/ghdl/src/ieee2008/numeric_bit_unsigned.vhdl
-rw-r--r-- root/root    139714 2021-11-02 08:49 ./usr/lib/ghdl/src/ieee2008/numeric_std-body.vhdl
-rw-r--r-- root/root     75853 2021-11-02 08:49 ./usr/lib/ghdl/src/ieee2008/numeric_std.vhdl
-rw-r--r-- root/root     18190 2021-11-02 08:49 ./usr/lib/ghdl/src/ieee2008/numeric_std_unsigned-body.vhdl
-rw-r--r-- root/root     25976 2021-11-02 08:49 ./usr/lib/ghdl/src/ieee2008/numeric_std_unsigned.vhdl
-rw-r--r-- root/root     57019 2021-11-02 08:49 ./usr/lib/ghdl/src/ieee2008/std_logic_1164-body.vhdl
-rw-r--r-- root/root     14971 2021-11-02 08:49 ./usr/lib/ghdl/src/ieee2008/std_logic_1164.vhdl
-rw-r--r-- root/root        62 2021-11-02 08:49 ./usr/lib/ghdl/src/ieee2008/std_logic_textio.vhdl
drwxr-xr-x root/root         0 2021-11-02 08:49 ./usr/lib/ghdl/src/std/
-rw-r--r-- root/root      2129 2021-11-02 08:49 ./usr/lib/ghdl/src/std/env-body.vhdl
-rw-r--r-- root/root      1009 2021-11-02 08:49 ./usr/lib/ghdl/src/std/env.vhdl
drwxr-xr-x root/root         0 2021-11-02 08:49 ./usr/lib/ghdl/src/std/v08/
-rw-r--r-- root/root      2697 2021-11-02 08:49 ./usr/lib/ghdl/src/std/v08/standard.vhdl
-rw-r--r-- root/root     41854 2021-11-02 08:49 ./usr/lib/ghdl/src/std/v08/textio-body.vhdl
-rw-r--r-- root/root      7000 2021-11-02 08:49 ./usr/lib/ghdl/src/std/v08/textio.vhdl
drwxr-xr-x root/root         0 2021-11-02 08:49 ./usr/lib/ghdl/src/std/v87/
-rw-r--r-- root/root      1544 2021-11-02 08:49 ./usr/lib/ghdl/src/std/v87/standard.vhdl
-rw-r--r-- root/root     42560 2021-11-02 08:49 ./usr/lib/ghdl/src/std/v87/textio-body.vhdl
-rw-r--r-- root/root      7088 2021-11-02 08:49 ./usr/lib/ghdl/src/std/v87/textio.vhdl
drwxr-xr-x root/root         0 2021-11-02 08:49 ./usr/lib/ghdl/src/std/v93/
-rw-r--r-- root/root      2461 2021-11-02 08:49 ./usr/lib/ghdl/src/std/v93/standard.vhdl
-rw-r--r-- root/root     42528 2021-11-02 08:49 ./usr/lib/ghdl/src/std/v93/textio-body.vhdl
-rw-r--r-- root/root      7088 2021-11-02 08:49 ./usr/lib/ghdl/src/std/v93/textio.vhdl
drwxr-xr-x root/root         0 2021-11-02 08:49 ./usr/lib/ghdl/src/synopsys/
-rw-r--r-- root/root     70557 2021-11-02 08:49 ./usr/lib/ghdl/src/synopsys/std_logic_arith.vhdl
-rw-r--r-- root/root     27741 2021-11-02 08:49 ./usr/lib/ghdl/src/synopsys/std_logic_misc-body.vhdl
-rw-r--r-- root/root      6037 2021-11-02 08:49 ./usr/lib/ghdl/src/synopsys/std_logic_misc.vhdl
-rw-r--r-- root/root     12622 2021-11-02 08:49 ./usr/lib/ghdl/src/synopsys/std_logic_signed.vhdl
-rw-r--r-- root/root     17971 2021-11-02 08:49 ./usr/lib/ghdl/src/synopsys/std_logic_textio.vhdl
-rw-r--r-- root/root     12038 2021-11-02 08:49 ./usr/lib/ghdl/src/synopsys/std_logic_unsigned.vhdl
drwxr-xr-x root/root         0 2021-11-02 08:49 ./usr/lib/ghdl/src/synopsys/v08/
-rw-r--r-- root/root     27997 2021-11-02 08:49 ./usr/lib/ghdl/src/synopsys/v08/std_logic_misc-body.vhdl
-rw-r--r-- root/root      6077 2021-11-02 08:49 ./usr/lib/ghdl/src/synopsys/v08/std_logic_misc.vhdl
drwxr-xr-x root/root         0 2021-11-02 08:49 ./usr/lib/ghdl/src/upf/
-rw-r--r-- root/root       483 2021-11-02 08:49 ./usr/lib/ghdl/src/upf/upf-body.vhdl
-rw-r--r-- root/root       388 2021-11-02 08:49 ./usr/lib/ghdl/src/upf/upf.vhdl
drwxr-xr-x root/root         0 2021-11-02 08:49 ./usr/share/
drwxr-xr-x root/root         0 2021-11-02 08:49 ./usr/share/doc/
drwxr-xr-x root/root         0 2021-11-02 08:49 ./usr/share/doc/ghdl-common/
-rw-r--r-- root/root      7207 2021-02-02 19:52 ./usr/share/doc/ghdl-common/NEWS.md.gz
-rw-r--r-- root/root      3543 2021-02-02 19:52 ./usr/share/doc/ghdl-common/README.md.gz
-rw-r--r-- root/root      4516 2021-11-02 08:49 ./usr/share/doc/ghdl-common/changelog.Debian.gz
-rw-r--r-- root/root     29788 2021-04-16 15:18 ./usr/share/doc/ghdl-common/copyright
drwxr-xr-x root/root         0 2021-11-02 08:49 ./usr/share/man/
drwxr-xr-x root/root         0 2021-11-02 08:49 ./usr/share/man/man1/
-rw-r--r-- root/root      1936 2021-11-02 08:49 ./usr/share/man/man1/ghdl.1.gz


ghdl-gcc-dbgsym_1.0.0+dfsg-5_armhf.deb
--------------------------------------

 new Debian package, version 2.0.
 size 51387292 bytes: control archive=708 bytes.
     463 bytes,    12 lines      control              
     406 bytes,     4 lines      md5sums              
 Package: ghdl-gcc-dbgsym
 Source: ghdl
 Version: 1.0.0+dfsg-5
 Auto-Built-Package: debug-symbols
 Architecture: armhf
 Maintainer: Debian Electronics Team <pkg-electronics-devel@lists.alioth.debian.org>
 Installed-Size: 53993
 Depends: ghdl-gcc (= 1.0.0+dfsg-5)
 Section: debug
 Priority: optional
 Description: debug symbols for ghdl-gcc
 Build-Ids: 0fb3ad3e5b85a7c16d10c0635d41a7c7faf4bb0c 65e68c29bc9f3a449f9e7875bbf4edd8d3370baa aebe766904efeb7ff5607b8f8f015992a5aafd99

drwxr-xr-x root/root         0 2021-11-02 08:49 ./
drwxr-xr-x root/root         0 2021-11-02 08:49 ./usr/
drwxr-xr-x root/root         0 2021-11-02 08:49 ./usr/lib/
drwxr-xr-x root/root         0 2021-11-02 08:49 ./usr/lib/debug/
drwxr-xr-x root/root         0 2021-11-02 08:49 ./usr/lib/debug/.build-id/
drwxr-xr-x root/root         0 2021-11-02 08:49 ./usr/lib/debug/.build-id/0f/
-rw-r--r-- root/root  52329448 2021-11-02 08:49 ./usr/lib/debug/.build-id/0f/b3ad3e5b85a7c16d10c0635d41a7c7faf4bb0c.debug
drwxr-xr-x root/root         0 2021-11-02 08:49 ./usr/lib/debug/.build-id/65/
-rw-r--r-- root/root   2780600 2021-11-02 08:49 ./usr/lib/debug/.build-id/65/e68c29bc9f3a449f9e7875bbf4edd8d3370baa.debug
drwxr-xr-x root/root         0 2021-11-02 08:49 ./usr/lib/debug/.build-id/ae/
-rw-r--r-- root/root      9204 2021-11-02 08:49 ./usr/lib/debug/.build-id/ae/be766904efeb7ff5607b8f8f015992a5aafd99.debug
drwxr-xr-x root/root         0 2021-11-02 08:49 ./usr/lib/debug/.dwz/
drwxr-xr-x root/root         0 2021-11-02 08:49 ./usr/lib/debug/.dwz/arm-linux-gnueabihf/
-rw-r--r-- root/root    154440 2021-11-02 08:49 ./usr/lib/debug/.dwz/arm-linux-gnueabihf/ghdl-gcc.debug
drwxr-xr-x root/root         0 2021-11-02 08:49 ./usr/share/
drwxr-xr-x root/root         0 2021-11-02 08:49 ./usr/share/doc/
lrwxrwxrwx root/root         0 2021-11-02 08:49 ./usr/share/doc/ghdl-gcc-dbgsym -> ghdl-gcc


ghdl-gcc_1.0.0+dfsg-5_armhf.deb
-------------------------------

 new Debian package, version 2.0.
 size 7382636 bytes: control archive=3032 bytes.
     858 bytes,    18 lines      control              
    7212 bytes,    90 lines      md5sums              
     196 bytes,     5 lines   *  postinst             #!/bin/sh
     196 bytes,     5 lines   *  postrm               #!/bin/sh
     196 bytes,     5 lines   *  preinst              #!/bin/sh
     196 bytes,     5 lines   *  prerm                #!/bin/sh
 Package: ghdl-gcc
 Source: ghdl
 Version: 1.0.0+dfsg-5
 Architecture: armhf
 Maintainer: Debian Electronics Team <pkg-electronics-devel@lists.alioth.debian.org>
 Installed-Size: 28600
 Depends: ghdl-common (= 1.0.0+dfsg-5), libc6 (>= 2.29), libgmp10 (>= 2:6.2.1+dfsg), libgnat-10 (>= 10.3.0), libmpc3 (>= 1.1.0), libmpfr6 (>= 3.1.3), zlib1g (>= 1:1.2.3.3), zlib1g-dev
 Built-Using: gcc-10 (= 10.3.0-11+rpi1)
 Section: electronics
 Priority: optional
 Homepage: https://github.com/ghdl/ghdl
 Description: VHDL compiler/simulator (GCC backend)
  GHDL is a compiler and simulator for VHDL, a Hardware Description Language.
  GHDL is not an interpreter: it allows you to analyse and elaborate sources to
  generate machine code from your design. Native program execution is the only
  way for high speed simulation.
  .
  This package contains the compiler with the GCC backend.

drwxr-xr-x root/root         0 2021-11-02 08:49 ./
drwxr-xr-x root/root         0 2021-11-02 08:49 ./usr/
drwxr-xr-x root/root         0 2021-11-02 08:49 ./usr/bin/
-rwxr-xr-x root/root   1998440 2021-11-02 08:49 ./usr/bin/ghdl-gcc
drwxr-xr-x root/root         0 2021-11-02 08:49 ./usr/lib/
drwxr-xr-x root/root         0 2021-11-02 08:49 ./usr/lib/ghdl/
drwxr-xr-x root/root         0 2021-11-02 08:49 ./usr/lib/ghdl/gcc/
drwxr-xr-x root/root         0 2021-11-02 08:49 ./usr/lib/ghdl/gcc/libexec/
drwxr-xr-x root/root         0 2021-11-02 08:49 ./usr/lib/ghdl/gcc/libexec/gcc/
drwxr-xr-x root/root         0 2021-11-02 08:49 ./usr/lib/ghdl/gcc/libexec/gcc/armv7l-unknown-linux-gnueabihf/
drwxr-xr-x root/root         0 2021-11-02 08:49 ./usr/lib/ghdl/gcc/libexec/gcc/armv7l-unknown-linux-gnueabihf/10.3.0/
-rwxr-xr-x root/root  21081360 2021-11-02 08:49 ./usr/lib/ghdl/gcc/libexec/gcc/armv7l-unknown-linux-gnueabihf/10.3.0/ghdl1
-rw-r--r-- root/root      5400 2021-11-02 08:49 ./usr/lib/ghdl/gcc/libghdlvpi.so
drwxr-xr-x root/root         0 2021-11-02 08:49 ./usr/lib/ghdl/gcc/vhdl/
-rw-r--r-- root/root        83 2021-11-02 08:49 ./usr/lib/ghdl/gcc/vhdl/grt-exec.lst
-rw-r--r-- root/root        53 2021-11-02 08:49 ./usr/lib/ghdl/gcc/vhdl/grt-shared.lst
-rw-r--r-- root/root        46 2021-11-02 08:49 ./usr/lib/ghdl/gcc/vhdl/grt.lst
-rw-r--r-- root/root       359 2021-11-02 08:49 ./usr/lib/ghdl/gcc/vhdl/grt.ver
drwxr-xr-x root/root         0 2021-11-02 08:49 ./usr/lib/ghdl/gcc/vhdl/ieee/
drwxr-xr-x root/root         0 2021-11-02 08:49 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/
-rw-r--r-- root/root      3600 2021-11-02 08:49 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/fixed_float_types.o
-rw-r--r-- root/root    642568 2021-11-02 08:49 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/fixed_generic_pkg-body.o
-rw-r--r-- root/root      2852 2021-11-02 08:49 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/fixed_generic_pkg.o
-rw-r--r-- root/root      1508 2021-11-02 08:49 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/fixed_pkg.o
-rw-r--r-- root/root    616748 2021-11-02 08:49 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/float_generic_pkg-body.o
-rw-r--r-- root/root      5648 2021-11-02 08:49 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/float_generic_pkg.o
-rw-r--r-- root/root      1776 2021-11-02 08:49 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/float_pkg.o
-rw-r--r-- root/root      5340 2021-11-02 08:49 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/ieee-obj08.cf
-rw-r--r-- root/root       900 2021-11-02 08:49 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/ieee_bit_context.o
-rw-r--r-- root/root       900 2021-11-02 08:49 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/ieee_std_context.o
-rw-r--r-- root/root     80652 2021-11-02 08:49 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/math_complex-body.o
-rw-r--r-- root/root      6008 2021-11-02 08:49 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/math_complex.o
-rw-r--r-- root/root     84708 2021-11-02 08:49 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/math_real-body.o
-rw-r--r-- root/root      7364 2021-11-02 08:49 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/math_real.o
-rw-r--r-- root/root    259456 2021-11-02 08:49 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/numeric_bit-body.o
-rw-r--r-- root/root     14012 2021-11-02 08:49 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/numeric_bit.o
-rw-r--r-- root/root     39072 2021-11-02 08:49 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/numeric_bit_unsigned-body.o
-rw-r--r-- root/root      2412 2021-11-02 08:49 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/numeric_bit_unsigned.o
-rw-r--r-- root/root    386448 2021-11-02 08:49 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/numeric_std-body.o
-rw-r--r-- root/root      5840 2021-11-02 08:49 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/numeric_std.o
-rw-r--r-- root/root     37768 2021-11-02 08:49 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/numeric_std_unsigned-body.o
-rw-r--r-- root/root      2616 2021-11-02 08:49 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/numeric_std_unsigned.o
-rw-r--r-- root/root    157384 2021-11-02 08:49 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/std_logic_1164-body.o
-rw-r--r-- root/root      5404 2021-11-02 08:49 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/std_logic_1164.o
-rw-r--r-- root/root    149748 2021-11-02 08:49 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/std_logic_arith.o
-rw-r--r-- root/root     54556 2021-11-02 08:49 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/std_logic_misc-body.o
-rw-r--r-- root/root      4124 2021-11-02 08:49 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/std_logic_misc.o
-rw-r--r-- root/root     25404 2021-11-02 08:49 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/std_logic_signed.o
-rw-r--r-- root/root      1572 2021-11-02 08:49 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/std_logic_textio.o
-rw-r--r-- root/root     23536 2021-11-02 08:49 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/std_logic_unsigned.o
-rw-r--r-- root/root      1852 2021-11-02 08:49 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/upf-body.o
-rw-r--r-- root/root      1460 2021-11-02 08:49 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/upf.o
drwxr-xr-x root/root         0 2021-11-02 08:49 ./usr/lib/ghdl/gcc/vhdl/ieee/v87/
-rw-r--r-- root/root      2522 2021-11-02 08:49 ./usr/lib/ghdl/gcc/vhdl/ieee/v87/ieee-obj87.cf
-rw-r--r-- root/root    163304 2021-11-02 08:49 ./usr/lib/ghdl/gcc/vhdl/ieee/v87/numeric_bit-body.o
-rw-r--r-- root/root      9492 2021-11-02 08:49 ./usr/lib/ghdl/gcc/vhdl/ieee/v87/numeric_bit.o
-rw-r--r-- root/root    259432 2021-11-02 08:49 ./usr/lib/ghdl/gcc/vhdl/ieee/v87/numeric_std-body.o
-rw-r--r-- root/root      4684 2021-11-02 08:49 ./usr/lib/ghdl/gcc/vhdl/ieee/v87/numeric_std.o
-rw-r--r-- root/root     78196 2021-11-02 08:49 ./usr/lib/ghdl/gcc/vhdl/ieee/v87/std_logic_1164-body.o
-rw-r--r-- root/root      6100 2021-11-02 08:49 ./usr/lib/ghdl/gcc/vhdl/ieee/v87/std_logic_1164.o
-rw-r--r-- root/root    147660 2021-11-02 08:49 ./usr/lib/ghdl/gcc/vhdl/ieee/v87/std_logic_arith.o
-rw-r--r-- root/root     66416 2021-11-02 08:49 ./usr/lib/ghdl/gcc/vhdl/ieee/v87/std_logic_misc-body.o
-rw-r--r-- root/root      4120 2021-11-02 08:49 ./usr/lib/ghdl/gcc/vhdl/ieee/v87/std_logic_misc.o
-rw-r--r-- root/root     25404 2021-11-02 08:49 ./usr/lib/ghdl/gcc/vhdl/ieee/v87/std_logic_signed.o
-rw-r--r-- root/root     70844 2021-11-02 08:49 ./usr/lib/ghdl/gcc/vhdl/ieee/v87/std_logic_textio.o
-rw-r--r-- root/root     23536 2021-11-02 08:49 ./usr/lib/ghdl/gcc/vhdl/ieee/v87/std_logic_unsigned.o
-rw-r--r-- root/root      1852 2021-11-02 08:49 ./usr/lib/ghdl/gcc/vhdl/ieee/v87/upf-body.o
-rw-r--r-- root/root      1460 2021-11-02 08:49 ./usr/lib/ghdl/gcc/vhdl/ieee/v87/upf.o
drwxr-xr-x root/root         0 2021-11-02 08:49 ./usr/lib/ghdl/gcc/vhdl/ieee/v93/
-rw-r--r-- root/root      3156 2021-11-02 08:49 ./usr/lib/ghdl/gcc/vhdl/ieee/v93/ieee-obj93.cf
-rw-r--r-- root/root     80648 2021-11-02 08:49 ./usr/lib/ghdl/gcc/vhdl/ieee/v93/math_complex-body.o
-rw-r--r-- root/root      6008 2021-11-02 08:49 ./usr/lib/ghdl/gcc/vhdl/ieee/v93/math_complex.o
-rw-r--r-- root/root     84764 2021-11-02 08:49 ./usr/lib/ghdl/gcc/vhdl/ieee/v93/math_real-body.o
-rw-r--r-- root/root      7360 2021-11-02 08:49 ./usr/lib/ghdl/gcc/vhdl/ieee/v93/math_real.o
-rw-r--r-- root/root    171260 2021-11-02 08:49 ./usr/lib/ghdl/gcc/vhdl/ieee/v93/numeric_bit-body.o
-rw-r--r-- root/root     13824 2021-11-02 08:49 ./usr/lib/ghdl/gcc/vhdl/ieee/v93/numeric_bit.o
-rw-r--r-- root/root    267384 2021-11-02 08:49 ./usr/lib/ghdl/gcc/vhdl/ieee/v93/numeric_std-body.o
-rw-r--r-- root/root      4684 2021-11-02 08:49 ./usr/lib/ghdl/gcc/vhdl/ieee/v93/numeric_std.o
-rw-r--r-- root/root     83056 2021-11-02 08:49 ./usr/lib/ghdl/gcc/vhdl/ieee/v93/std_logic_1164-body.o
-rw-r--r-- root/root      6100 2021-11-02 08:49 ./usr/lib/ghdl/gcc/vhdl/ieee/v93/std_logic_1164.o
-rw-r--r-- root/root    149812 2021-11-02 08:49 ./usr/lib/ghdl/gcc/vhdl/ieee/v93/std_logic_arith.o
-rw-r--r-- root/root     66416 2021-11-02 08:49 ./usr/lib/ghdl/gcc/vhdl/ieee/v93/std_logic_misc-body.o
-rw-r--r-- root/root      4120 2021-11-02 08:49 ./usr/lib/ghdl/gcc/vhdl/ieee/v93/std_logic_misc.o
-rw-r--r-- root/root     25404 2021-11-02 08:49 ./usr/lib/ghdl/gcc/vhdl/ieee/v93/std_logic_signed.o
-rw-r--r-- root/root     70944 2021-11-02 08:49 ./usr/lib/ghdl/gcc/vhdl/ieee/v93/std_logic_textio.o
-rw-r--r-- root/root     23536 2021-11-02 08:49 ./usr/lib/ghdl/gcc/vhdl/ieee/v93/std_logic_unsigned.o
-rw-r--r-- root/root      1852 2021-11-02 08:49 ./usr/lib/ghdl/gcc/vhdl/ieee/v93/upf-body.o
-rw-r--r-- root/root      1460 2021-11-02 08:49 ./usr/lib/ghdl/gcc/vhdl/ieee/v93/upf.o
-rw-r--r-- root/root     70166 2021-11-02 08:49 ./usr/lib/ghdl/gcc/vhdl/libbacktrace.a
-rw-r--r-- root/root   1156826 2021-11-02 08:49 ./usr/lib/ghdl/gcc/vhdl/libgrt.a
lrwxrwxrwx root/root         0 2021-11-02 08:49 ./usr/lib/ghdl/gcc/vhdl/src -> ../../src
drwxr-xr-x root/root         0 2021-11-02 08:49 ./usr/lib/ghdl/gcc/vhdl/std/
drwxr-xr-x root/root         0 2021-11-02 08:49 ./usr/lib/ghdl/gcc/vhdl/std/v08/
-rw-r--r-- root/root      2564 2021-11-02 08:49 ./usr/lib/ghdl/gcc/vhdl/std/v08/env-body.o
-rw-r--r-- root/root      1452 2021-11-02 08:49 ./usr/lib/ghdl/gcc/vhdl/std/v08/env.o
-rw-r--r-- root/root       590 2021-11-02 08:49 ./usr/lib/ghdl/gcc/vhdl/std/v08/std-obj08.cf
-rw-r--r-- root/root     40780 2021-11-02 08:49 ./usr/lib/ghdl/gcc/vhdl/std/v08/std_standard.o
-rw-r--r-- root/root     86068 2021-11-02 08:49 ./usr/lib/ghdl/gcc/vhdl/std/v08/textio-body.o
-rw-r--r-- root/root      3540 2021-11-02 08:49 ./usr/lib/ghdl/gcc/vhdl/std/v08/textio.o
drwxr-xr-x root/root         0 2021-11-02 08:49 ./usr/lib/ghdl/gcc/vhdl/std/v87/
-rw-r--r-- root/root       307 2021-11-02 08:49 ./usr/lib/ghdl/gcc/vhdl/std/v87/std-obj87.cf
-rw-r--r-- root/root     19596 2021-11-02 08:49 ./usr/lib/ghdl/gcc/vhdl/std/v87/std_standard.o
-rw-r--r-- root/root     63832 2021-11-02 08:49 ./usr/lib/ghdl/gcc/vhdl/std/v87/textio-body.o
-rw-r--r-- root/root      3540 2021-11-02 08:49 ./usr/lib/ghdl/gcc/vhdl/std/v87/textio.o
drwxr-xr-x root/root         0 2021-11-02 08:49 ./usr/lib/ghdl/gcc/vhdl/std/v93/
-rw-r--r-- root/root       307 2021-11-02 08:49 ./usr/lib/ghdl/gcc/vhdl/std/v93/std-obj93.cf
-rw-r--r-- root/root     32508 2021-11-02 08:49 ./usr/lib/ghdl/gcc/vhdl/std/v93/std_standard.o
-rw-r--r-- root/root     64316 2021-11-02 08:49 ./usr/lib/ghdl/gcc/vhdl/std/v93/textio-body.o
-rw-r--r-- root/root      3540 2021-11-02 08:49 ./usr/lib/ghdl/gcc/vhdl/std/v93/textio.o
drwxr-xr-x root/root         0 2021-11-02 08:49 ./usr/share/
drwxr-xr-x root/root         0 2021-11-02 08:49 ./usr/share/doc/
lrwxrwxrwx root/root         0 2021-11-02 08:49 ./usr/share/doc/ghdl-gcc -> ghdl-common
drwxr-xr-x root/root         0 2021-11-02 08:49 ./usr/share/lintian/
drwxr-xr-x root/root         0 2021-11-02 08:49 ./usr/share/lintian/overrides/
-rw-r--r-- root/root       205 2021-04-16 15:18 ./usr/share/lintian/overrides/ghdl-gcc
drwxr-xr-x root/root         0 2021-11-02 08:49 ./usr/share/man/
drwxr-xr-x root/root         0 2021-11-02 08:49 ./usr/share/man/man1/
lrwxrwxrwx root/root         0 2021-11-02 08:49 ./usr/share/man/man1/ghdl-gcc.1.gz -> ghdl.1.gz


ghdl-llvm-dbgsym_1.0.0+dfsg-5_armhf.deb
---------------------------------------

 new Debian package, version 2.0.
 size 1940660 bytes: control archive=704 bytes.
     465 bytes,    12 lines      control              
     407 bytes,     4 lines      md5sums              
 Package: ghdl-llvm-dbgsym
 Source: ghdl
 Version: 1.0.0+dfsg-5
 Auto-Built-Package: debug-symbols
 Architecture: armhf
 Maintainer: Debian Electronics Team <pkg-electronics-devel@lists.alioth.debian.org>
 Installed-Size: 3329
 Depends: ghdl-llvm (= 1.0.0+dfsg-5)
 Section: debug
 Priority: optional
 Description: debug symbols for ghdl-llvm
 Build-Ids: 26630aabd4c0a6c7620368df18aab294cb5db4b5 3439d14e6ea817e4f522c59f7c4482e6037d4696 5a662bb28eb86b1f64b5c9fa51ce9cf06fa2ad7e

drwxr-xr-x root/root         0 2021-11-02 08:49 ./
drwxr-xr-x root/root         0 2021-11-02 08:49 ./usr/
drwxr-xr-x root/root         0 2021-11-02 08:49 ./usr/lib/
drwxr-xr-x root/root         0 2021-11-02 08:49 ./usr/lib/debug/
drwxr-xr-x root/root         0 2021-11-02 08:49 ./usr/lib/debug/.build-id/
drwxr-xr-x root/root         0 2021-11-02 08:49 ./usr/lib/debug/.build-id/26/
-rw-r--r-- root/root      9224 2021-11-02 08:49 ./usr/lib/debug/.build-id/26/630aabd4c0a6c7620368df18aab294cb5db4b5.debug
drwxr-xr-x root/root         0 2021-11-02 08:49 ./usr/lib/debug/.build-id/34/
-rw-r--r-- root/root   1795648 2021-11-02 08:49 ./usr/lib/debug/.build-id/34/39d14e6ea817e4f522c59f7c4482e6037d4696.debug
drwxr-xr-x root/root         0 2021-11-02 08:49 ./usr/lib/debug/.build-id/5a/
-rw-r--r-- root/root   1490224 2021-11-02 08:49 ./usr/lib/debug/.build-id/5a/662bb28eb86b1f64b5c9fa51ce9cf06fa2ad7e.debug
drwxr-xr-x root/root         0 2021-11-02 08:49 ./usr/lib/debug/.dwz/
drwxr-xr-x root/root         0 2021-11-02 08:49 ./usr/lib/debug/.dwz/arm-linux-gnueabihf/
-rw-r--r-- root/root     97012 2021-11-02 08:49 ./usr/lib/debug/.dwz/arm-linux-gnueabihf/ghdl-llvm.debug
drwxr-xr-x root/root         0 2021-11-02 08:49 ./usr/share/
drwxr-xr-x root/root         0 2021-11-02 08:49 ./usr/share/doc/
lrwxrwxrwx root/root         0 2021-11-02 08:49 ./usr/share/doc/ghdl-llvm-dbgsym -> ghdl-llvm


ghdl-llvm_1.0.0+dfsg-5_armhf.deb
--------------------------------

 new Debian package, version 2.0.
 size 1711420 bytes: control archive=3096 bytes.
     804 bytes,    17 lines      control              
    7185 bytes,    89 lines      md5sums              
     197 bytes,     5 lines   *  postinst             #!/bin/sh
     197 bytes,     5 lines   *  postrm               #!/bin/sh
     197 bytes,     5 lines   *  preinst              #!/bin/sh
     197 bytes,     5 lines   *  prerm                #!/bin/sh
 Package: ghdl-llvm
 Source: ghdl
 Version: 1.0.0+dfsg-5
 Architecture: armhf
 Maintainer: Debian Electronics Team <pkg-electronics-devel@lists.alioth.debian.org>
 Installed-Size: 11088
 Depends: ghdl-common (= 1.0.0+dfsg-5), libc6 (>= 2.29), libgcc-s1 (>= 3.5), libgnat-10 (>= 10.3.0), libllvm11 (>= 1:9~svn298832-1~), libstdc++6 (>= 5.2), zlib1g-dev
 Section: electronics
 Priority: optional
 Homepage: https://github.com/ghdl/ghdl
 Description: VHDL compiler/simulator (LLVM backend)
  GHDL is a compiler and simulator for VHDL, a Hardware Description Language.
  GHDL is not an interpreter: it allows you to analyse and elaborate sources to
  generate machine code from your design. Native program execution is the only
  way for high speed simulation.
  .
  This package contains the compiler with the LLVM backend.

drwxr-xr-x root/root         0 2021-11-02 08:49 ./
drwxr-xr-x root/root         0 2021-11-02 08:49 ./usr/
drwxr-xr-x root/root         0 2021-11-02 08:49 ./usr/bin/
-rwxr-xr-x root/root   3186284 2021-11-02 08:49 ./usr/bin/ghdl-llvm
drwxr-xr-x root/root         0 2021-11-02 08:49 ./usr/lib/
drwxr-xr-x root/root         0 2021-11-02 08:49 ./usr/lib/ghdl/
drwxr-xr-x root/root         0 2021-11-02 08:49 ./usr/lib/ghdl/llvm/
-rwxr-xr-x root/root   2925032 2021-11-02 08:49 ./usr/lib/ghdl/llvm/ghdl1-llvm
-rw-r--r-- root/root      5404 2021-11-02 08:49 ./usr/lib/ghdl/llvm/libghdlvpi.so
drwxr-xr-x root/root         0 2021-11-02 08:49 ./usr/lib/ghdl/llvm/vhdl/
-rw-r--r-- root/root        83 2021-11-02 08:49 ./usr/lib/ghdl/llvm/vhdl/grt-exec.lst
-rw-r--r-- root/root        53 2021-11-02 08:49 ./usr/lib/ghdl/llvm/vhdl/grt-shared.lst
-rw-r--r-- root/root        29 2021-11-02 08:49 ./usr/lib/ghdl/llvm/vhdl/grt.lst
-rw-r--r-- root/root       359 2021-11-02 08:49 ./usr/lib/ghdl/llvm/vhdl/grt.ver
drwxr-xr-x root/root         0 2021-11-02 08:49 ./usr/lib/ghdl/llvm/vhdl/ieee/
drwxr-xr-x root/root         0 2021-11-02 08:49 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/
-rw-r--r-- root/root      4560 2021-11-02 08:49 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/fixed_float_types.o
-rw-r--r-- root/root    512892 2021-11-02 08:49 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/fixed_generic_pkg-body.o
-rw-r--r-- root/root      2380 2021-11-02 08:49 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/fixed_generic_pkg.o
-rw-r--r-- root/root      2448 2021-11-02 08:49 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/fixed_pkg.o
-rw-r--r-- root/root    436152 2021-11-02 08:49 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/float_generic_pkg-body.o
-rw-r--r-- root/root      5220 2021-11-02 08:49 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/float_generic_pkg.o
-rw-r--r-- root/root      2592 2021-11-02 08:49 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/float_pkg.o
-rw-r--r-- root/root      5340 2021-11-02 08:49 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/ieee-obj08.cf
-rw-r--r-- root/root       568 2021-11-02 08:49 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/ieee_bit_context.o
-rw-r--r-- root/root       568 2021-11-02 08:49 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/ieee_std_context.o
-rw-r--r-- root/root     72564 2021-11-02 08:49 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/math_complex-body.o
-rw-r--r-- root/root      6908 2021-11-02 08:49 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/math_complex.o
-rw-r--r-- root/root     70996 2021-11-02 08:49 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/math_real-body.o
-rw-r--r-- root/root      8192 2021-11-02 08:49 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/math_real.o
-rw-r--r-- root/root    217124 2021-11-02 08:49 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/numeric_bit-body.o
-rw-r--r-- root/root     15616 2021-11-02 08:49 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/numeric_bit.o
-rw-r--r-- root/root     42052 2021-11-02 08:49 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/numeric_bit_unsigned-body.o
-rw-r--r-- root/root      3376 2021-11-02 08:49 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/numeric_bit_unsigned.o
-rw-r--r-- root/root    303624 2021-11-02 08:49 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/numeric_std-body.o
-rw-r--r-- root/root      7624 2021-11-02 08:49 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/numeric_std.o
-rw-r--r-- root/root     41028 2021-11-02 08:49 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/numeric_std_unsigned-body.o
-rw-r--r-- root/root      3504 2021-11-02 08:49 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/numeric_std_unsigned.o
-rw-r--r-- root/root    117500 2021-11-02 08:49 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/std_logic_1164-body.o
-rw-r--r-- root/root      6780 2021-11-02 08:49 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/std_logic_1164.o
-rw-r--r-- root/root    133060 2021-11-02 08:49 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/std_logic_arith.o
-rw-r--r-- root/root     32852 2021-11-02 08:49 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/std_logic_misc-body.o
-rw-r--r-- root/root      5404 2021-11-02 08:49 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/std_logic_misc.o
-rw-r--r-- root/root     25432 2021-11-02 08:49 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/std_logic_signed.o
-rw-r--r-- root/root      2644 2021-11-02 08:49 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/std_logic_textio.o
-rw-r--r-- root/root     23972 2021-11-02 08:49 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/std_logic_unsigned.o
-rw-r--r-- root/root      3168 2021-11-02 08:49 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/upf-body.o
-rw-r--r-- root/root      2488 2021-11-02 08:49 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/upf.o
drwxr-xr-x root/root         0 2021-11-02 08:49 ./usr/lib/ghdl/llvm/vhdl/ieee/v87/
-rw-r--r-- root/root      2522 2021-11-02 08:49 ./usr/lib/ghdl/llvm/vhdl/ieee/v87/ieee-obj87.cf
-rw-r--r-- root/root    132176 2021-11-02 08:49 ./usr/lib/ghdl/llvm/vhdl/ieee/v87/numeric_bit-body.o
-rw-r--r-- root/root     11704 2021-11-02 08:49 ./usr/lib/ghdl/llvm/vhdl/ieee/v87/numeric_bit.o
-rw-r--r-- root/root    190612 2021-11-02 08:49 ./usr/lib/ghdl/llvm/vhdl/ieee/v87/numeric_std-body.o
-rw-r--r-- root/root      6292 2021-11-02 08:49 ./usr/lib/ghdl/llvm/vhdl/ieee/v87/numeric_std.o
-rw-r--r-- root/root     55664 2021-11-02 08:49 ./usr/lib/ghdl/llvm/vhdl/ieee/v87/std_logic_1164-body.o
-rw-r--r-- root/root      8012 2021-11-02 08:49 ./usr/lib/ghdl/llvm/vhdl/ieee/v87/std_logic_1164.o
-rw-r--r-- root/root    131012 2021-11-02 08:49 ./usr/lib/ghdl/llvm/vhdl/ieee/v87/std_logic_arith.o
-rw-r--r-- root/root     43528 2021-11-02 08:49 ./usr/lib/ghdl/llvm/vhdl/ieee/v87/std_logic_misc-body.o
-rw-r--r-- root/root      5380 2021-11-02 08:49 ./usr/lib/ghdl/llvm/vhdl/ieee/v87/std_logic_misc.o
-rw-r--r-- root/root     25428 2021-11-02 08:49 ./usr/lib/ghdl/llvm/vhdl/ieee/v87/std_logic_signed.o
-rw-r--r-- root/root     58680 2021-11-02 08:49 ./usr/lib/ghdl/llvm/vhdl/ieee/v87/std_logic_textio.o
-rw-r--r-- root/root     23972 2021-11-02 08:49 ./usr/lib/ghdl/llvm/vhdl/ieee/v87/std_logic_unsigned.o
-rw-r--r-- root/root      3168 2021-11-02 08:49 ./usr/lib/ghdl/llvm/vhdl/ieee/v87/upf-body.o
-rw-r--r-- root/root      2488 2021-11-02 08:49 ./usr/lib/ghdl/llvm/vhdl/ieee/v87/upf.o
drwxr-xr-x root/root         0 2021-11-02 08:49 ./usr/lib/ghdl/llvm/vhdl/ieee/v93/
-rw-r--r-- root/root      3156 2021-11-02 08:49 ./usr/lib/ghdl/llvm/vhdl/ieee/v93/ieee-obj93.cf
-rw-r--r-- root/root     72556 2021-11-02 08:49 ./usr/lib/ghdl/llvm/vhdl/ieee/v93/math_complex-body.o
-rw-r--r-- root/root      6900 2021-11-02 08:49 ./usr/lib/ghdl/llvm/vhdl/ieee/v93/math_complex.o
-rw-r--r-- root/root     70988 2021-11-02 08:49 ./usr/lib/ghdl/llvm/vhdl/ieee/v93/math_real-body.o
-rw-r--r-- root/root      8168 2021-11-02 08:49 ./usr/lib/ghdl/llvm/vhdl/ieee/v93/math_real.o
-rw-r--r-- root/root    139252 2021-11-02 08:49 ./usr/lib/ghdl/llvm/vhdl/ieee/v93/numeric_bit-body.o
-rw-r--r-- root/root     15484 2021-11-02 08:49 ./usr/lib/ghdl/llvm/vhdl/ieee/v93/numeric_bit.o
-rw-r--r-- root/root    197688 2021-11-02 08:49 ./usr/lib/ghdl/llvm/vhdl/ieee/v93/numeric_std-body.o
-rw-r--r-- root/root      6292 2021-11-02 08:49 ./usr/lib/ghdl/llvm/vhdl/ieee/v93/numeric_std.o
-rw-r--r-- root/root     59280 2021-11-02 08:49 ./usr/lib/ghdl/llvm/vhdl/ieee/v93/std_logic_1164-body.o
-rw-r--r-- root/root      8012 2021-11-02 08:49 ./usr/lib/ghdl/llvm/vhdl/ieee/v93/std_logic_1164.o
-rw-r--r-- root/root    133120 2021-11-02 08:49 ./usr/lib/ghdl/llvm/vhdl/ieee/v93/std_logic_arith.o
-rw-r--r-- root/root     43528 2021-11-02 08:49 ./usr/lib/ghdl/llvm/vhdl/ieee/v93/std_logic_misc-body.o
-rw-r--r-- root/root      5380 2021-11-02 08:49 ./usr/lib/ghdl/llvm/vhdl/ieee/v93/std_logic_misc.o
-rw-r--r-- root/root     25428 2021-11-02 08:49 ./usr/lib/ghdl/llvm/vhdl/ieee/v93/std_logic_signed.o
-rw-r--r-- root/root     58760 2021-11-02 08:49 ./usr/lib/ghdl/llvm/vhdl/ieee/v93/std_logic_textio.o
-rw-r--r-- root/root     23972 2021-11-02 08:49 ./usr/lib/ghdl/llvm/vhdl/ieee/v93/std_logic_unsigned.o
-rw-r--r-- root/root      3168 2021-11-02 08:49 ./usr/lib/ghdl/llvm/vhdl/ieee/v93/upf-body.o
-rw-r--r-- root/root      2488 2021-11-02 08:49 ./usr/lib/ghdl/llvm/vhdl/ieee/v93/upf.o
-rw-r--r-- root/root   1156084 2021-11-02 08:49 ./usr/lib/ghdl/llvm/vhdl/libgrt.a
lrwxrwxrwx root/root         0 2021-11-02 08:49 ./usr/lib/ghdl/llvm/vhdl/src -> ../../src
drwxr-xr-x root/root         0 2021-11-02 08:49 ./usr/lib/ghdl/llvm/vhdl/std/
drwxr-xr-x root/root         0 2021-11-02 08:49 ./usr/lib/ghdl/llvm/vhdl/std/v08/
-rw-r--r-- root/root      4248 2021-11-02 08:49 ./usr/lib/ghdl/llvm/vhdl/std/v08/env-body.o
-rw-r--r-- root/root      2476 2021-11-02 08:49 ./usr/lib/ghdl/llvm/vhdl/std/v08/env.o
-rw-r--r-- root/root       590 2021-11-02 08:49 ./usr/lib/ghdl/llvm/vhdl/std/v08/std-obj08.cf
-rw-r--r-- root/root     43740 2021-11-02 08:49 ./usr/lib/ghdl/llvm/vhdl/std/v08/std_standard.o
-rw-r--r-- root/root     69636 2021-11-02 08:49 ./usr/lib/ghdl/llvm/vhdl/std/v08/textio-body.o
-rw-r--r-- root/root      4364 2021-11-02 08:49 ./usr/lib/ghdl/llvm/vhdl/std/v08/textio.o
drwxr-xr-x root/root         0 2021-11-02 08:49 ./usr/lib/ghdl/llvm/vhdl/std/v87/
-rw-r--r-- root/root       307 2021-11-02 08:49 ./usr/lib/ghdl/llvm/vhdl/std/v87/std-obj87.cf
-rw-r--r-- root/root     21272 2021-11-02 08:49 ./usr/lib/ghdl/llvm/vhdl/std/v87/std_standard.o
-rw-r--r-- root/root     53368 2021-11-02 08:49 ./usr/lib/ghdl/llvm/vhdl/std/v87/textio-body.o
-rw-r--r-- root/root      4364 2021-11-02 08:49 ./usr/lib/ghdl/llvm/vhdl/std/v87/textio.o
drwxr-xr-x root/root         0 2021-11-02 08:49 ./usr/lib/ghdl/llvm/vhdl/std/v93/
-rw-r--r-- root/root       307 2021-11-02 08:49 ./usr/lib/ghdl/llvm/vhdl/std/v93/std-obj93.cf
-rw-r--r-- root/root     33832 2021-11-02 08:49 ./usr/lib/ghdl/llvm/vhdl/std/v93/std_standard.o
-rw-r--r-- root/root     53740 2021-11-02 08:49 ./usr/lib/ghdl/llvm/vhdl/std/v93/textio-body.o
-rw-r--r-- root/root      4364 2021-11-02 08:49 ./usr/lib/ghdl/llvm/vhdl/std/v93/textio.o
drwxr-xr-x root/root         0 2021-11-02 08:49 ./usr/share/
drwxr-xr-x root/root         0 2021-11-02 08:49 ./usr/share/doc/
lrwxrwxrwx root/root         0 2021-11-02 08:49 ./usr/share/doc/ghdl-llvm -> ghdl-common
drwxr-xr-x root/root         0 2021-11-02 08:49 ./usr/share/lintian/
drwxr-xr-x root/root         0 2021-11-02 08:49 ./usr/share/lintian/overrides/
-rw-r--r-- root/root        74 2021-04-16 15:18 ./usr/share/lintian/overrides/ghdl-llvm
drwxr-xr-x root/root         0 2021-11-02 08:49 ./usr/share/man/
drwxr-xr-x root/root         0 2021-11-02 08:49 ./usr/share/man/man1/
lrwxrwxrwx root/root         0 2021-11-02 08:49 ./usr/share/man/man1/ghdl-llvm.1.gz -> ghdl.1.gz


ghdl_1.0.0+dfsg-5_armhf.deb
---------------------------

 new Debian package, version 2.0.
 size 1692 bytes: control archive=1260 bytes.
    1588 bytes,    32 lines      control              
       0 bytes,     0 lines      md5sums              
     192 bytes,     5 lines   *  postinst             #!/bin/sh
     192 bytes,     5 lines   *  postrm               #!/bin/sh
     192 bytes,     5 lines   *  preinst              #!/bin/sh
     192 bytes,     5 lines   *  prerm                #!/bin/sh
 Package: ghdl
 Version: 1.0.0+dfsg-5
 Architecture: armhf
 Maintainer: Debian Electronics Team <pkg-electronics-devel@lists.alioth.debian.org>
 Installed-Size: 10
 Depends: ghdl-common (= 1.0.0+dfsg-5), ghdl-gcc | ghdl-llvm
 Suggests: gtkwave
 Section: electronics
 Priority: optional
 Homepage: https://github.com/ghdl/ghdl
 Description: VHDL compiler/simulator
  GHDL is a compiler and simulator for VHDL, a Hardware Description Language.
  GHDL is not an interpreter: it allows you to analyse and elaborate sources to
  generate machine code from your design. Native program execution is the only
  way for high speed simulation.
  .
  GHDL offers three machine code generation backends: one based on GCC, one
  using the LLVM compiler suite and a GHDL specific one called mcode. These are
  available in the ghdl-gcc, ghdl-llvm and ghdl-mcode packages respectively.
  Both the GCC and LLVM backends create highly optimized code for excellent
  simulation performance while simulations compiled with the GCC backend also
  allow coverage testing using gcov. The mcode backend creates less performant
  code but makes up for it with much faster compilation. It is therefore
  preferable for smaller projects without large or long running simulations.
  .
  Multiple backends can be installed at the same time and selected by either
  invoking the desired GHDL directly (as ghdl-gcc, ghdl-llvm or ghdl-mcode) or
  by providing a GHDL_BACKEND environment variable (containing gcc, llvm or
  mcode) while invoking ghdl.
  .
  This package is a dependency package that will make sure at least one backend
  is installed.

drwxr-xr-x root/root         0 2021-11-02 08:49 ./
drwxr-xr-x root/root         0 2021-11-02 08:49 ./usr/
drwxr-xr-x root/root         0 2021-11-02 08:49 ./usr/share/
drwxr-xr-x root/root         0 2021-11-02 08:49 ./usr/share/doc/
lrwxrwxrwx root/root         0 2021-11-02 08:49 ./usr/share/doc/ghdl -> ghdl-common


+------------------------------------------------------------------------------+
| Post Build                                                                   |
+------------------------------------------------------------------------------+


+------------------------------------------------------------------------------+
| Cleanup                                                                      |
+------------------------------------------------------------------------------+

Purging /<<BUILDDIR>>
Not cleaning session: cloned chroot in use

+------------------------------------------------------------------------------+
| Summary                                                                      |
+------------------------------------------------------------------------------+

Build Architecture: armhf
Build-Space: 2662584
Build-Time: 7819
Distribution: bookworm-staging
Host Architecture: armhf
Install-Time: 817
Job: ghdl_1.0.0+dfsg-5
Machine Architecture: armhf
Package: ghdl
Package-Time: 8705
Source-Version: 1.0.0+dfsg-5
Space: 2662584
Status: successful
Version: 1.0.0+dfsg-5
--------------------------------------------------------------------------------
Finished at 2021-11-07T08:59:06Z
Build needed 02:25:05, 2662584k disc space